Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Missing circuit matching. #70

Open
d-m-bailey opened this issue Dec 3, 2022 · 0 comments
Open

Missing circuit matching. #70

d-m-bailey opened this issue Dec 3, 2022 · 0 comments

Comments

@d-m-bailey
Copy link
Contributor

If there are circuit instances but no circuit definition, netgen will warn of a black box match.

However, if the spice circuit exists and is a stub with no devices, netgen will match with no warning.

We hope for at least a warning, when as in the case of black boxing, there are missing definitions in one of the netlists.

I'll try to post a test case in the next couple days.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant