Skip to content

Commit

Permalink
cpu/microwatt: reorder sources, add comments
Browse files Browse the repository at this point in the history
  • Loading branch information
enjoy-digital committed Jan 3, 2020
1 parent e36df2a commit 690de79
Showing 1 changed file with 32 additions and 14 deletions.
46 changes: 32 additions & 14 deletions litex/soc/cores/cpu/microwatt/core.py
Original file line number Diff line number Diff line change
Expand Up @@ -100,38 +100,56 @@ def set_reset_address(self, reset_address):
def add_sources(platform):
sdir = os.path.join(os.path.abspath(os.path.dirname(__file__)), "sources")
platform.add_sources(sdir,
# Common / Types / Helpers
"decode_types.vhdl",
"wishbone_types.vhdl",
"utils.vhdl",
"common.vhdl",
"helpers.vhdl",

# Fetch
"fetch1.vhdl",
"fetch2.vhdl",

# Instruction/Data Cache
"cache_ram.vhdl",
"plru.vhdl",
"dcache.vhdl",
"icache.vhdl",

# Decode
"insn_helpers.vhdl",
"decode1.vhdl",
"helpers.vhdl",
"gpr_hazard.vhdl",
"cr_hazard.vhdl",
"control.vhdl",
"decode2.vhdl",

# Register/CR File
"register_file.vhdl",
"cr_file.vhdl",
"crhelpers.vhdl",
"cr_file.vhdl",

# Execute
"ppc_fx_insns.vhdl",
"sim_console.vhdl",
"logical.vhdl",
"rotator.vhdl",
"countzero.vhdl",
"gpr_hazard.vhdl",
"cr_hazard.vhdl",
"control.vhdl",
"execute1.vhdl",

# Load/Store
"loadstore1.vhdl",
"dcache.vhdl",

# Multiply/Divide
"multiply.vhdl",
"divider.vhdl",
"rotator.vhdl",

# Writeback
"writeback.vhdl",
"insn_helpers.vhdl",
"core.vhdl",
"icache.vhdl",
"plru.vhdl",
"cache_ram.vhdl",

# Core
"core_debug.vhdl",
"utils.vhdl"
"core.vhdl",
)
platform.add_source(os.path.join(sdir, "..", "microwatt_wrapper.vhdl"))

Expand Down

0 comments on commit 690de79

Please sign in to comment.