Skip to content

Commit

Permalink
sayma2: remove serwb
Browse files Browse the repository at this point in the history
  • Loading branch information
sbourdeauducq committed Oct 6, 2019
1 parent fc31a9e commit ecf8412
Show file tree
Hide file tree
Showing 2 changed files with 0 additions and 20 deletions.
10 changes: 0 additions & 10 deletions migen/build/platforms/sinara/sayma_amc2.py
Original file line number Diff line number Diff line change
Expand Up @@ -156,16 +156,6 @@
IOStandard("LVCMOS33")
),

("amc_rtm_serwb", 0,
Subsignal("clk_p", Pins("J8")), # rtm_fpga_usr_io_p
Subsignal("clk_n", Pins("H8")), # rtm_fpga_usr_io_n
Subsignal("tx_p", Pins("A13")), # rtm_fpga_lvds1_p
Subsignal("tx_n", Pins("A12")), # rtm_fpga_lvds1_n
Subsignal("rx_p", Pins("C12"), Misc("DIFF_TERM_ADV=TERM_100")), # rtm_fpga_lvds2_p
Subsignal("rx_n", Pins("B12"), Misc("DIFF_TERM_ADV=TERM_100")), # rtm_fpga_lvds2_n
IOStandard("LVDS")
),

("filtered_clk_sel", 0, Pins("N23"), IOStandard("LVCMOS33")),
("si5324", 0,
Subsignal("rst_n", Pins("L24"), IOStandard("LVCMOS33")),
Expand Down
10 changes: 0 additions & 10 deletions migen/build/platforms/sinara/sayma_rtm2.py
Original file line number Diff line number Diff line change
Expand Up @@ -14,16 +14,6 @@
IOStandard("LVCMOS33")
),

("amc_rtm_serwb", 0,
Subsignal("clk_p", Pins("P4"), Misc("DIFF_TERM=TRUE")), # LVDS26_CC_P
Subsignal("clk_n", Pins("P3"), Misc("DIFF_TERM=TRUE")), # LVDS26_CC_N
Subsignal("tx_p", Pins("V3")), # LVDS27_P
Subsignal("tx_n", Pins("V2")), # LVDS27_N
Subsignal("rx_p", Pins("U2"), Misc("DIFF_TERM=TRUE")), # LVDS25_P
Subsignal("rx_n", Pins("U1"), Misc("DIFF_TERM=TRUE")), # LVDS25_N
IOStandard("LVDS_25")
),

# HMC clocking chips (830 and 7043)
("hmc830_pwr_en", 0, Pins("V7"), IOStandard("LVCMOS25")),
("hmc7043_out_en", 0, Pins("V8"), IOStandard("LVCMOS25")),
Expand Down

0 comments on commit ecf8412

Please sign in to comment.