Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SystemVerilog Language Support #2048

Closed
sw23 opened this issue Jul 20, 2020 · 0 comments
Closed

SystemVerilog Language Support #2048

sw23 opened this issue Jul 20, 2020 · 0 comments
Milestone

Comments

@sw23
Copy link
Contributor

sw23 commented Jul 20, 2020

This work item is to add SystemVerilog language support to enable Verilog and SystemVerilog file syntax highlighting.

We have put together a small team to work on this as part of a Hackathon project next week. We hope to have a PR for this in place late next week!

We haven't seen any existing work to support this language but think we have everything we need from the docs. Any comments, tips, or feedback are welcome!

@alexdima alexdima added this to the August 2020 milestone Sep 9, 2020
@alexdima alexdima closed this as completed Sep 9, 2020
@vscodebot vscodebot bot locked and limited conversation to collaborators Oct 24, 2020
Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants