diff --git a/src/Standards/Squiz/Sniffs/ControlStructures/ControlSignatureSniff.php b/src/Standards/Squiz/Sniffs/ControlStructures/ControlSignatureSniff.php index 032c59ace8..8a56b23fcb 100644 --- a/src/Standards/Squiz/Sniffs/ControlStructures/ControlSignatureSniff.php +++ b/src/Standards/Squiz/Sniffs/ControlStructures/ControlSignatureSniff.php @@ -53,6 +53,7 @@ public function register() T_ELSE, T_ELSEIF, T_SWITCH, + T_MATCH, ]; }//end register() diff --git a/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc b/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc index 249ae15847..8eaf1b0373 100644 --- a/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc +++ b/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc @@ -299,6 +299,12 @@ while ( $level-- ): ob_end_clean(); endwhile; +$r = match ($x) { + 1 => 1, +}; + +$r = match($x){1 => 1}; + // Intentional parse error. This should be the last test in the file. foreach // Some unrelated comment. diff --git a/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc.fixed b/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc.fixed index f0cdde7d78..dc5233d917 100644 --- a/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc.fixed +++ b/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.inc.fixed @@ -302,6 +302,13 @@ while ( $level-- ): ob_end_clean(); endwhile; +$r = match ($x) { + 1 => 1, +}; + +$r = match ($x) { +1 => 1}; + // Intentional parse error. This should be the last test in the file. foreach // Some unrelated comment. diff --git a/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.php b/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.php index 07e57b4661..92427f0da1 100644 --- a/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.php +++ b/src/Standards/Squiz/Tests/ControlStructures/ControlSignatureUnitTest.php @@ -76,6 +76,7 @@ public function getErrorList($testFile='ControlSignatureUnitTest.inc') $errors[276] = 1; $errors[279] = 1; $errors[283] = 1; + $errors[306] = 3; }//end if return $errors;