Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

scripts: Use Linux MUSL toolchain for building Linux Kernel. #188

Merged
merged 1 commit into from
Aug 3, 2019

Conversation

mithro
Copy link
Member

@mithro mithro commented Jul 31, 2019

@@ -44,8 +44,8 @@ if [ "$FIRMWARE" != "linux" ]; then
fi

# Install a toolchain with the newlib standard library
if ! ${CPU_ARCH}-elf-newlib-gcc --version > /dev/null 2>&1; then
Copy link
Collaborator

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

In line 172 we use this compiler to build VexRiscv's emulator. I suggest changing it from:

export RISCV_BIN="${CPU_ARCH}-elf-newlib-"

to:

export RISCV_BIN="${CPU_ARCH}-linux-musl-"

@mithro mithro force-pushed the linux-compiler-cleanup branch 2 times, most recently from 8662c48 to 3ba984f Compare August 3, 2019 19:19
@mithro mithro merged commit fc388ff into timvideos:master Aug 3, 2019
@mithro mithro deleted the linux-compiler-cleanup branch August 3, 2019 20:44
@mithro
Copy link
Member Author

mithro commented Aug 3, 2019

@mateusz-holenko Can you check this works on hardware and in renode?

@mateusz-holenko
Copy link
Collaborator

I confirm that the current master works both on HW and in Renode.

@mateusz-holenko
Copy link
Collaborator

I tried to switch to ${CPU_ARCH}-linux-musl- compiler to build the VexRiscv's emulator, but it seems that it references __libc_init_array function in start.S file and it's not provided by the musl compiler.

In this context my comment from the review is invalid.

piotr-binkowski pushed a commit to antmicro/litex-buildenv that referenced this pull request Nov 7, 2019
 * edid-decode changed from 6def7bc to 15df4ae
    * 15df4ae - Makefile: add CPPFLAGS <Hans Verkuil>
    * dc763d7 - Update email addresses <Hans Verkuil>
    * 726576d - edid-decode: add CTA-861.4/5 support <Hans Verkuil>

 * flash_proxies changed from a628956 to 1c21ee4
    * 1c21ee4 - README: update <Robert Jördens>

 * litedram changed from d89b171 to 67de3ce
    *   67de3ce - Merge pull request timvideos#85 from antmicro/fix_databits <enjoy-digital>
    |\
    | * 24851c9 - PhySettings: set missing databits parameter for S6QuarterRateDDRPHY <Mateusz Holenko>
    * | fef5303 - test: clean test_downconverter/test_upconverter (thanks sb0) <Florent Kermarrec>
    |/
    *   7fbe0b7 - Merge pull request timvideos#84 from open-design/is42s16320 <enjoy-digital>
    |\
    | * 5c66547 - modules: SDRAM: add IS42S16320 support <Antony Pavlov>
    |/
    * 8e2df17 - modules: fix tRFC change on MT16KTF1G64HZ <Florent Kermarrec>
    * bc88cfa - modules: allow tRFC to be defined in ck or ns, fix some DDR3/DDR4 definitions (thanks @ambrop72 for the review) <Florent Kermarrec>
    * fbd7ae3 - modules: make IS43TR16128B consistent with others SDRAMModules <Florent Kermarrec>
    *   02448a3 - Merge pull request timvideos#83 from ambrop72/IS43TR16128B_125K <enjoy-digital>
    |\
    | * d108970 - modules/ddr3: add IS43TR16128B_125K <Ambroz Bizjak>
    |/
    *   da68e21 - Merge pull request timvideos#82 from gsomlo/gls-expose-csr <enjoy-digital>
    |\
    | * 65451f4 - examples/litedram_gen: allow direct access to CSR (I/O) registers <Gabriel L. Somlo>
    |/
    * 50e1d47 - PhySettings: add databits to allow SoC to compute memory size more easily <Florent Kermarrec>
    * b93412b - examples: remove verilog simulation <Florent Kermarrec>
    * a7e46bb - example/litedram_gen: reserve_nmi_interrupt no longer exists <Florent Kermarrec>
    *   094fc2e - Merge pull request timvideos#79 from gsomlo/gls-ulong-addr <enjoy-digital>
    |\
    | * 54d3312 - sdram_init: use "unsigned long" for address values <Gabriel L. Somlo>
    |/
    * 3caaa2e - common/tXXDController: revert Yosys workarounds <Florent Kermarrec>
    * 44bbb93 - phy: add copyrights <Florent Kermarrec>
    * 6ddc2c8 - README: update <Florent Kermarrec>
    * 9190a76 - travis: simplify and add RISC-V toolchain to run examples <Florent Kermarrec>
    * e824288 - frontend/axi: move AXIBurst2Beat to LiteX <Florent Kermarrec>
    * be269da - frontend/axi: use definitions from LiteX <Florent Kermarrec>
    * e81b5a1 - sdram_init: set __attribute__((unused)) on command_px to avoid compilation warning <Florent Kermarrec>
    * c4161cf - examples: update sim <Florent Kermarrec>
    * 201a0e2 - test/test_examples: add nexys4ddr <Florent Kermarrec>
    * 69afaf5 - common: add separators, reorganize a bit <Florent Kermarrec>
    * 0bc241c - phy/ecp5ddrphy: use inline comments on ECP5DDRPHYInit <Florent Kermarrec>
    * c65ff97 - phy/ecp5ddrphy: simplify ECP5DDRPHYInit, integrate it in the PHY, add burstdet registers <Florent Kermarrec>
    * 4274db8 - common/TXXDcontroller: fix for compatibility with Yosys and vendor tools <Florent Kermarrec>
    * a74d5c9 - common/TXXDcontroller: set ready default value to 1 with self.comb instead of reset value <Florent Kermarrec>
    *   cec35f3 - Merge pull request timvideos#77 from daveshah1/ecp5_75MHz <enjoy-digital>
    |\
    | * fa26dcd - ecp5ddrphy: Shift read position forwards to fix higher frequencies <David Shah>
    |/
    *   6715c1b - Merge pull request timvideos#76 from daveshah1/trellis_io <enjoy-digital>
    |\
    | * 691d930 - ecp5ddrphy: Use triples for inputs to fix build with TRELLIS_IOs <David Shah>
    |/
    * 9057f51 - phy: add ECP5 imports <Florent Kermarrec>
    * f660618 - phy: add initial ECP5DDRPHY <Florent Kermarrec>
    * 640194a - examples: add nexys4ddr_config <Florent Kermarrec>
    * 0ac1af3 - examples/litedram_gen: add DDR2 support <Florent Kermarrec>
    * f4184ec - example/litedram_gen: update, add descriptions of config parameters <Florent Kermarrec>
    * 79806aa - modules/ddr3: add MT41K64M16 <Florent Kermarrec>
    * ea6b841 - phy/s7ddrphy and usddrphy: add cmd_latency parameter <Florent Kermarrec>
    * fd3e9af - phy/s7ddrphy: fix cmd delays <Florent Kermarrec>
    * f61c8d9 - phy/s7ddrphy: make clk/cmd odelaye2s configurable <Florent Kermarrec>
    * e0224f4 - phy/usddrphy: make clk/cmd odelaye3s configurable <Florent Kermarrec>

 * liteeth changed from 77fa4bf to 2424e62
    * 2424e62 - software: also include generated/mem.h <Florent Kermarrec>
    * e88fc50 - software: remote ethmac_mem.h dependency (no longer exists in LiteX) <Florent Kermarrec>
    * b318300 - phy/ku_1000basex: keep tx/rx in reset until pll is fully reseted and locked <Florent Kermarrec>
    * e6c35cd - phy/ku_1000basex: incease pll_reset <Florent Kermarrec>
    * 816f592 - phy: add initial ECP5RGMII PHY <Florent Kermarrec>
    * b4c1cfe - core/icmp: fix reply checksum when request checksum >= 0xf800 <Florent Kermarrec>

 * litepcie changed from 3804c49 to de6cd01
    * de6cd01 - frontend/dma: ensure we finish LitePCIeDMAWriter transaction when DMA is disabled. <Florent Kermarrec>
    * 260c562 - frontend/wishbone: cleanup qword_aligned support <Florent Kermarrec>
    * 89b3920 - README: update <Florent Kermarrec>
    * 22310cc - phy: add initial Cyclone5 support <Florent Kermarrec>
    * 9cdb982 - phy/s7pciephy: rename external_phy to external_hard_ip <Florent Kermarrec>
    * 3b6cffd - frontend/wishbone: add qword_aligned parameter <Florent Kermarrec>
    * d191b1e - core: add endianness support <Florent Kermarrec>
    * 4df720a - examples/targets/dma: remove typo (dma connection is done internally in loopback mode) <Florent Kermarrec>
    * 14d852e - examples/targets/dma: remove soft reset, simplify crg, minor cleanups <Florent Kermarrec>
    * 64857af - phy/s7pciephy: improve presentation <Florent Kermarrec>
    * 55fa0d4 - phy/s7pciephy: remove pcie clk presence detection. <Florent Kermarrec>
    * f042273 - phy/s7pciephy: allow using external sources for the PHY. <Florent Kermarrec>
    * bd5d4dc - phy/s7pciephy: remove unnecessary reset on pcie clock domain <Florent Kermarrec>
    * ccfb201 - frontend/dma: update loop_status when request is sent <Florent Kermarrec>

 * litesata changed from b78a731 to 6fe4cce
    * 6fe4cce - examples/targets/bist: simplify analyzer <Florent Kermarrec>
    * 846bd62 - phy/a7sataphy: rework tx/rx_startup_fsm using liteiclink code <Florent Kermarrec>
    * 5e02ac9 - phy/a7sataphy: use proper transceiver name <Florent Kermarrec>
    * e63c8aa - examples/test/test_analyzer: use shorter import <Florent Kermarrec>
    * 319dd72 - examples/targets/bist: update <Florent Kermarrec>
    * df27cdf - examples/targets: add bist_nexys_video (still wip) <Florent Kermarrec>
    *   2ba5508 - Merge pull request timvideos#15 from enjoy-digital/artix7 <enjoy-digital>
    |\
    | * 0b254b0 - examples/make: remove platform option <Florent Kermarrec>
    | * e0fc55c - examples/targets/bist: revert kc705/genesys2 bist example <Florent Kermarrec>
    | * cabc908 - example: add led blinking on refclk, add startup fsm to analyzer <Florent Kermarrec>
    | * d16b495 - examples: add more debug, rx/tx leds not blinking (no clock? bad init?) <Florent Kermarrec>
    | * 1519dc3 - targets/bist: use 100 MHz clock, fix reset polarity <Florent Kermarrec>
    | * 1fe543f - phy/a7sataphy: integrate GTPQuadPLL <Florent Kermarrec>
    | * ca47e05 - examples/targets/bist: start artix7 testing with sata_gen1 <Florent Kermarrec>
    | * 1fc848e - examples: add nexys_video support <Florent Kermarrec>
    | * 9152729 - phy/a7sataphy: update parameters from wizard <Florent Kermarrec>
    | * ef5d0b9 - phy: add initial a7sataphy <Florent Kermarrec>
    | * 12b5085 - phy/k7sataphy: remove drp interface (not used) <Florent Kermarrec>
    | * 246487c - phy/k7sataphy: improve readibility <Florent Kermarrec>
    | * 41f4446 - phy/k7sataphy: make GTXE2_CHANNEL instance similar to gtx_7series in liteiclink <Florent Kermarrec>
    | * 27df062 - phy: replace trx_dw with data_width <Florent Kermarrec>
    | * d52c7b8 - phy/k7sataphy: remove ones <Florent Kermarrec>
    | * 1d1da98 - phy/k7sataphy: refactor gtxe2_channel instance <Florent Kermarrec>
    | * 10d6376 - phy: move k7 phy to a single k7sataphy file <Florent Kermarrec>
    * 7299fef - example/make.py: create the build directory when building the core if not existing <Florent Kermarrec>

 * litescope changed from c1d8bdf to 2474ce9
    * 2474ce9 - software/dump/common: change variable name for values2x loop (thanks keesj) <Florent Kermarrec>
    * 7f20aa4 - examples/make/build-core: create build directory if not existing <Florent Kermarrec>

 * litex changed from af52842f to 113f7f40
    *   113f7f40 - Merge pull request timvideos#199 from ambrop72/no-ethmac-fix <enjoy-digital>
    |\
    | * ca70ea91 - bios: Fix build when ethphy is present but ethmac is not. <Ambroz Bizjak>
    |/
    * ab1f5804 - test/test_axi: remove litex.gen.sim import (was only useful for debug) <Florent Kermarrec>
    * 5318bcd3 - setup.py: add migen to install_requires <Florent Kermarrec>
    *   33d7cc5f - Merge pull request timvideos#198 from TomKeddie/tomk_20190610_artyspi <enjoy-digital>
    |\
    | * 5346c368 - boards/arty : Add directly connected spi clk pin to avoid need for STARTUPE2 <Tom Keddie>
    * | 38a2d89a - test/test_code8b10b: add test_coding <Florent Kermarrec>
    * | 8fdd5220 - test/test_prbs: add PRBSGenerator/Checker tests <Florent Kermarrec>
    * | 243d7c76 - soc/cores: add PRBS (Pseudo Random Binary Sequence) Generator/Checker <Florent Kermarrec>
    * | cfa952b0 - tools/litex_term: exit on 2 consecutive CTRL-C <Florent Kermarrec>
    * | 1c34b4a0 - cpu/vexriscv: update submodule <Florent Kermarrec>
    * | 79665873 - doc: add litex-hub logo <Florent Kermarrec>
    * | 442d7358 - doc: redesign new logo <Florent Kermarrec>
    * | 59118627 - doc: add new logo <Florent Kermarrec>
    * | 850b311d - cpu/vexriscv: update submodule <Florent Kermarrec>
    * | 755a2660 - build/sim: allow configuring verilator optimization level <Florent Kermarrec>
    * | 4b6ad8aa - build/sim: allow defining start/end cycles for tracing <Florent Kermarrec>
    * | ecb60f6e - build/sim: use -O0 for verilator compilation <Florent Kermarrec>
    * | c64129dc - soc/integration/soc_core: list rocket as supported CPU <Florent Kermarrec>
    * | ca4e7811 - software/bios: change prompt to "litex" in green. <Florent Kermarrec>
    * | 8d0f008a - integration/soc_core: improve readibility (add separators/comments) <Florent Kermarrec>
    * | 55ebcc00 - test/test_targets: add de10lite <Florent Kermarrec>
    * |   e545b15f - Merge pull request timvideos#196 from msloniewski/de10lite_support <enjoy-digital>
    |\ \
    | * | 04ce4790 - boards/targets: add target for de10lite platform <msloniewski>
    | * | f2a740d5 - boards/platforms: add de10lite Terasic platform support <msloniewski>
    | * | a826aaca - build/altera: Add possibility to turn off generation of .rbf file <msloniewski>
    * | |   77805a5e - Merge pull request timvideos#195 from antmicro/extend_generated_headers <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | 93b61a65 - integration/builder: generate flash_boot address to csv <Mateusz Holenko>
    | * | d0b019b1 - integration/builder: generate shadow_base address to mem.h and csv <Mateusz Holenko>
    |/ /
    * |   cb2d4372 - Merge pull request timvideos#193 from gsomlo/gls-memcpy-fix <enjoy-digital>
    |\ \
    | * | f88b85a3 - software/libbase: memcpy: simple, arch-width agnostic implementation <Gabriel L. Somlo>
    |/ /
    * |   42e9d097 - Merge pull request timvideos#192 from sutajiokousagi/pr_c99_types <Tim Ansell>
    |\ \
    | * | ab0b2cac - fix signed char type to be explicitly signed <bunnie>
    * | |   b0d35a49 - Merge pull request timvideos#191 from sergachev/master <Tim Ansell>
    |\ \ \
    | * | | db890736 - fix csr_name in add_csr() <Ilia Sergachev>
    | * | | 40cbe3a9 - fix interrupt_name <Ilia Sergachev>
    |/ / /
    * | | b300c321 - test/test_targets: add de2_115, de1soc <Florent Kermarrec>
    * | | 220e2bdc - boards/platform/arty: add Arty A7-100 variant <Florent Kermarrec>
    * | |   8e6ecfb9 - Merge pull request timvideos#189 from open-design/terasic-boards <enjoy-digital>
    |\ \ \
    | * | | 6cf1a814 - boards: add Terasic DE2-115 initial support <Antony Pavlov>
    | * | | 03725991 - boards: add Terasic DE1-SoC Board support <Antony Pavlov>
    * | | |   9682189b - Merge pull request timvideos#190 from sutajiokousagi/pr_c99_types <Tim Ansell>
    |\ \ \ \
    | |/ / /
    |/| / /
    | |/ /
    | * | 200d413d - update stdint.h to include c99 types <bunnie>
    |/ /
    * |   a48858f8 - Merge pull request timvideos#188 from gsomlo/gls-csr-cleanup <enjoy-digital>
    |\ \
    | * | 273a3ea1 - soc/integration/cpu_interface: improve code legibility <Gabriel L. Somlo>
    |/ /
    * | 08a811b1 - soc/interconnect/gearbox: add msb_first/lsb_first order <Florent Kermarrec>
    * | 675f7830 - boards/targets/arty: generate 25MHz ethernet clock with S7PLL <Florent Kermarrec>
    * |   d7b00c8c - Merge pull request timvideos#187 from open-design/indent <Tim Ansell>
    |\ \
    | * | 26e6355f - litex/boards/targets: don't use tab for indentation <Antony Pavlov>
    |/ /
    * | 51095112 - soc/interconnect/axi: add round/robin arbitration between writes/reads <Florent Kermarrec>
    * | 0fb6342f - travis: update RISC-V toolchain <Florent Kermarrec>
    * | 961101d8 - bios/irc: remove compilation workaround <Florent Kermarrec>
    * | cd543b29 - README: update RISC-V toolchain <Florent Kermarrec>
    * | 7e837bf1 - .gitmodules/rocket: switch to https://github.com/enjoy-digital/rocket-litex-verilog <Florent Kermarrec>
    * | 712977a0 - software/bios/isr.c: workaround compilation issue (need to be fixed) <Florent Kermarrec>
    * | 28ba8b32 - soc/integration/soc_core: revert default mem_map (do specific RocketChip remapping for now) <Florent Kermarrec>
    * | cf369c43 - boards/targets: revert default sys_clk_freq on nexys4ddr/versa_ecp5 (but add parameter to configure it) <Florent Kermarrec>
    * |   aa640f29 - Merge pull request timvideos#186 from gsomlo/gls-rocket <enjoy-digital>
    |\ \
    | * | 019fd940 - fixup: generated-verilog submodule for experimental Rocket support <Gabriel L. Somlo>
    | * | 1a530cf2 - soc/cores/cpu/rocket: Support for 64-bit RocketChip (experimental) <Gabriel L. Somlo>
    |/ /
    * |   3de49118 - Merge pull request timvideos#185 from gsomlo/gls-sim-sdram <enjoy-digital>
    |\ \
    | |/
    |/|
    | * e90caa86 - tools/litex_sim: restore functionality of '--with-sdram' option <Gabriel L. Somlo>
    |/
    *   3a72688b - Merge pull request timvideos#183 from xobs/usb-to-0x43 <enjoy-digital>
    |\
    | * 014c9505 - remote: usb: print "access denied" error <Sean Cross>
    | * faf6554c - remote: usb: use 0x43/0xc3 for packet header <Sean Cross>
    |/
    * 10670e22 - soc/cores/minerva: update to latest <Florent Kermarrec>
    *   a3134f13 - Merge pull request timvideos#182 from gsomlo/gls-nexys4-eth-fixup <enjoy-digital>
    |\
    | * 5707bdc0 - boards/nexys4ddr: ethernet support fix-up <Gabriel L. Somlo>
    |/
    *   0a8699f1 - Merge branch 'master' of http://github.com/enjoy-digital/litex <Florent Kermarrec>
    |\
    | * 1ea22d49 - software/include/base/csr-defs.h: add specific CSR_IRQ_MASK/PENDING for Minerva <Florent Kermarrec>
    * | 526ba1b1 - soc_core: remove csr_expose and add add_csr_master method <Florent Kermarrec>
    |/
    * f2570701 - software/bios/boot: remove specific linux commands (not needed with device tree) <Florent Kermarrec>
    * 938d00c2 - boards/targets/de0nano: reduce to 50MHz sys_clk, simplify CRG <Florent Kermarrec>
    * 11838bae - platforms/de0nano: change serial pins (put then next to the GND pin) <Florent Kermarrec>
    * eb6fa458 - cpu/vexriscv/core: update <Florent Kermarrec>
    * 0cad80e9 - cpu/vexriscv: update submodule (new linux variant) <Florent Kermarrec>
    * 5f6e7874 - boards/nexys4ddr: add ethernet support (RMII 100Mbps) <Florent Kermarrec>
    * 0ba1cb87 - boards/targets/netv2: +x <Florent Kermarrec>
    * 2f2b9b31 - soc/cores: remove cordic <Florent Kermarrec>
    * 6e4ac1c4 - LICENSE: clarify <Florent Kermarrec>
    * 67159349 - soc/interconnect: remove axi_lite <Florent Kermarrec>
    * 745d83a3 - boards: add initial NeTV2 support (clocks, leds, dram, ethernet) <Florent Kermarrec>
    * a49d170a - soc/integration/soc_sdram: simplify/fix main_ram_size computation using new databits value of the phy <Florent Kermarrec>
    * 7445b9e2 - soc/integration/soc_core: allow user to defined internal csr/interrupts <Florent Kermarrec>
    * f333abcf - boards/targets: use new add_csr method <Florent Kermarrec>
    * d76a2c7d - tools/litex_sim: add uart csr (required when with_uart=False with new add_csr method) <Florent Kermarrec>
    * b6be534c - soc/integration/soc_core: rework csr assignation/reservation <Florent Kermarrec>
    * 3f09af6d - boards/targets: declare ethmac interrupt with new add_interrupt method <Florent Kermarrec>
    *   2abb3e80 - Merge branch 'master' of http://github.com/enjoy-digital/litex <Florent Kermarrec>
    |\
    | *   c11eb4b5 - Merge pull request timvideos#179 from gsomlo/gls-xtra-addrlen <enjoy-digital>
    | |\
    | | * c264a009 - soc/integration/cpu_interface: more arch-specific address size fixes <Gabriel L. Somlo>
    | |/
    * | 47dc8758 - integration/soc_core: rework interrupt assignation/reservation <Florent Kermarrec>
    * | 3ee9ce05 - test/test_targets: fix test_ulx3s name <Florent Kermarrec>
    * | 435cdad0 - boards/targets: fix ulx3s/versa_ecp5 build <Florent Kermarrec>
    * | 8caa38bc - cpu: add `reserved_interrupts` property <Mateusz Holenko>
    * | ff517915 - boards/targets: make sys_clk_freq a parameter <Florent Kermarrec>
    |/
    * a8cbe4ad - boards/targets/minispartan6: for now revert experimental s6pll clocking <Florent Kermarrec>
    * 6fcbf10e - boards/plarforms/minispartan6: default to xc6slx25 <Florent Kermarrec>
    * b7e37133 - bios/boot/ update linux memory mapping <Florent Kermarrec>
    * 190ff89a - tools/litex_term: add json support to load images to memory, allow passing speed as float <Florent Kermarrec>
    *   a50aff2c - Merge pull request timvideos#178 from daveshah1/vexriscv_linux_yosys <enjoy-digital>
    |\
    | * a048ba47 - vexriscv: Fix some floating signals <David Shah>
    |/
    * fcd518b5 - bios/boot: add specific flash_boot for linux with vexriscv <Florent Kermarrec>
    * 1ba1ad9a - bios/boot: rename MM_RAM to EMULATOR_RAM <Florent Kermarrec>
    * fbb24720 - soc/get_mem_data: add direct support for regions <Florent Kermarrec>
    * 0714816f - soc/interconnect/axi: add AXI2AXILite converter and use it in  AXI2Wishbone <Florent Kermarrec>
    * c6d0d234 - soc/interconnect/axi: add AXI Lite definition <Florent Kermarrec>
    * 9fab4752 - soc/interconnect/axi: add comment on axi signas that are present but not used <Florent Kermarrec>
    * 59890763 - cores/cpu/vexriscv: add VexRiscvTimer and use it for the linux variant <Florent Kermarrec>
    * 21bf1038 - bios/boot: add liftoff banner just before booting <Florent Kermarrec>
    * 8f4685b3 - bios/boot/netboot: only get boot.bin as default, add linux_vexriscv netboot config <Florent Kermarrec>
    * 6cf1ff09 - soc/interconnect/axi: connect axi.ar/aw when selecting write or read <Florent Kermarrec>
    * 6affc56a - soc/interconnect/axi: wishbone address shift is not always 2, make it generic <Florent Kermarrec>
    * 698bc882 - soc/interconnect/wishbone: allow setting adr_width (default to 30) <Florent Kermarrec>
    * 4dccb8a9 - soc/interconnect/axi/AXI2Wishbone: add buffer on axi command to be sure command is accepted before response is sent <Florent Kermarrec>
    * 9f8f0eb1 - build/sim: update tapcfg <Florent Kermarrec>
    *   2515c7b0 - Merge pull request timvideos#176 from gsomlo/gls-ulong-addr <enjoy-digital>
    |\
    | * 5c2b8685 - software: use "unsigned long" for address values, also 8-byte alignment <Gabriel L. Somlo>
    |/
    * 74d37465 - test/test_targets: comment bad variant tests for now <Florent Kermarrec>
    * 5c1d9805 - soc/interconnect/axi: add burst support to AXI2Wishbone <Florent Kermarrec>
    * 6de27135 - soc/interconnect/axi: add capabilities to AXIBurst2Beat and simplify/optimize <Florent Kermarrec>
    * 305b8879 - integration/soc_core: use cpu name as cpu-type for all cpus (mor1kx was instanciated with or1k) <Florent Kermarrec>
    * 4e50f36b - build/tools: add deprecated_warning <Florent Kermarrec>
    * b40d1b73 - cpu_interface: default to gcc for all cpus unless told otherwise (mor1kx default was clang) <Florent Kermarrec>
    * dbb71af1 - cpu: use property methods to return name, endianness, gcc triple/flags, linker output format <Florent Kermarrec>
    * d828c3a5 - cpu: integrate nmigen version of Minerva, add submodule <Florent Kermarrec>
    * 2c3c6bdf - Updating documents from LiteX BuildEnv Wiki <Florent Kermarrec>
    * bf27869a - fix vexriscv build <Kurt Kiefer>
    *   2d5bae3d - Merge pull request timvideos#175 from mithro/cpu-docs <enjoy-digital>
    |\
    | * 5cbc5bc1 - Adding testing of cpu variants. <Tim 'mithro' Ansell>
    | * 71a83731 - Work with no `cpu_variant` provided. <Tim 'mithro' Ansell>
    | * 65650919 - Updating documents from LiteX BuildEnv Wiki <Tim 'mithro' Ansell>
    | * a43de819 - Updating documents from LiteX BuildEnv Wiki <Tim 'mithro' Ansell>
    | * 39c579ba - Standardize the `cpu_variant` strings. <Tim 'mithro' Ansell>
    | * e42de8fe - docs: Adding script to pull useful docs from LiteX BuildEnv's wiki. <Tim 'mithro' Ansell>
    * | 3a2e2836 - .gitmodules: use our VexRiscv-verilog <Florent Kermarrec>
    |/
    * 78c09125 - soc/integration/soc_core: fix get_mem_data when not file is not multiple of 4 bytes <Florent Kermarrec>
    * 0175f86c - soc/integration/soc_core: fix get_mem_data for json files <Florent Kermarrec>
    * 4443b507 - soc/integration/soc_core: add integrated_sram_init <Florent Kermarrec>
    * f27084c6 - soc/integration/cpu_interface: fix banner in get_mem_header <Florent Kermarrec>
    *   5ec99d94 - Merge pull request timvideos#173 from gsomlo/gls-git-revision <enjoy-digital>
    |\
    | * d21cba2f - build: handle exceptional case when litex/migen not deployed as git repo <Gabriel L. Somlo>
    |/
    * 27fbb814 - tools/remote/csr_builder: allow comments in csv file and cleanup <Florent Kermarrec>
    * e8f3c491 - software/libnet/microudp: rearrange send_packet, add comments and remove txlen padding <Florent Kermarrec>
    * 44e0cdda - software/libnet/microudp: speed-up ARP by changing timeout/tries <Florent Kermarrec>
    * 3ee78a5b - build/tools: fix typo <Florent Kermarrec>
    * f0fe9f3c - setup.py: add short names for tools <Florent Kermarrec>
    * 9ded2eb2 - tools/litex_term: change TERM prompt to LXTERM <Florent Kermarrec>
    * 475deb51 - build: add migen and litex git revision to generated file <Florent Kermarrec>
    * 8b5cf295 - build/tools: git_revision is not doing what we want, return "--------" for now <Florent Kermarrec>
    * 228f2867 - litex_setup: revert default install behaviour but add --user support <Florent Kermarrec>
    *   9fbbf928 - Merge pull request timvideos#171 from keesj/develop_as_user <enjoy-digital>
    |\
    | * 24bdb648 - Install development packages in the user directory <Kees Jongenburger>
    * | 0f60ec35 - tools/litex_server: fix comms import <Florent Kermarrec>
    * | 68f12495 - soc/integration: also add sha-1/date to generated software files <Florent Kermarrec>
    * | 42574122 - build: add sha-1/date to generated verilog, change git_version to git_revision <Florent Kermarrec>
    |/
    * f7c0b118 - test/test_targets: cover all platforms <Florent Kermarrec>
    * 818dfae1 - boards/platforms/ulx3s: fix default clock <Florent Kermarrec>
    * 17b6164c - boards/platforms/sp605: apply same simplifications than on others platforms <Florent Kermarrec>
    * 24bf0293 - boards/platforms: add SP605 <Michael Betz>
    * 10cf0fde - cores/cpu/vexriscv: fix wrong revert <Florent Kermarrec>
    * d2ad1441 - targets/ac701: cleanup and make it similar to others targets. <Florent Kermarrec>
    * a24bf72f - targets/xilinx: remove keep attribute on clock going to idelayctrl <Florent Kermarrec>
    * ea8dbff8 - boards/platform/ac701: add proper copyright, cleanup to be similar to others platforms <Florent Kermarrec>
    * 0122982e - boards/platforms/kc705: provide only one default programmer as others platforms <Florent Kermarrec>
    * 89a59026 - boards: Xilinx ac701 dev board support <Vamsi K Vytla>
    * 88b882c7 - build/xilinx/ise.py: write .v file for post synthesis sim <Michael Betz>
    * 7396ebbb - build/xilinx/programmer: cleanup XC3SProg position parameter <Florent Kermarrec>
    * f579cbc6 - build/xilinx/programmer: add position parameter to XC3SProg <Michael Betz>
    * fb4f8818 - .gitignore: ignore tilde files <Vamsi K Vytla>
    * 535d8672 - targets/minispartan6: use S6PLL in CRG <Florent Kermarrec>
    * 40342404 - cores/clock: add divclk_divide_range on S6PLL/S6DCM <Florent Kermarrec>
    * 0d282f38 - cores/clock: use common XilinxClocking class for all Xilinx clocking modules <Florent Kermarrec>
    * 83699ea0 - cores/clock: add initial Spartan6 PLL/DCM support <Michael Betz>
    * eff141da - build: add git version (sha-1) used to create the scripts <Florent Kermarrec>
    * cc141a64 - build: scripts are generated by LiteX <Florent Kermarrec>
    * 115c842e - build/xilinx/vivado: cleanup pull request timvideos#170 <Florent Kermarrec>
    *   3b24b8d5 - Merge pull request timvideos#170 from ldoolitt/master <enjoy-digital>
    |\
    | * fda18fd6 - build/xilinx/vivado: only try Xilinx setup if vivado is not already in the path <Larry Doolittle>
    |/
    * 7d278854 - global: switch to VexRiscv as the default CPU <Florent Kermarrec>
    * 28d80bd6 - ci: fix test_targets/test_simple <Florent Kermarrec>
    * b7f53fb9 - test: remove waveforms generation <Florent Kermarrec>
    * e98ac680 - travis: simplify, enable and add RISC-V toolchain to build targets <Florent Kermarrec>
    * 8c789970 - boards/platforms: add separators, cleanup imports <Florent Kermarrec>
    * cb8c26d1 - boards/platforms: provide only one default programmer per platform. <Florent Kermarrec>
    * e1d202df - boards/platforms/kc705: only keep Vivado support <Florent Kermarrec>
    * 53c7be6e - boards: always define timing constraints the same way (1e9/freq_mhz) <Florent Kermarrec>
    * 02ffbed5 - boards/targets/ulx3s: allow running test_targets on it <Florent Kermarrec>
    * 5a1925df - boards/targets: add keep attribute directly in crg <Florent Kermarrec>
    *   67a79d7c - Merge pull request timvideos#167 from xobs/network-flag-check <enjoy-digital>
    |\
    | * f71b8d4f - litex_server: check socket flags exist before using them <Sean Cross>
    |/
    * 9ee6c35b - tools: move from litex.soc.tools to litex.tools and fix usb.core import <Florent Kermarrec>
    *   49fd93ae - Merge pull request timvideos#165 from xobs/vexriscv-cpu-reset-address <enjoy-digital>
    |\
    | *   c780fb22 - Merge branch 'master' of https://github.com/enjoy-digital/litex <Sean Cross>
    | |\
    | * | e2cf45b8 - cpu: vexriscv: allow cpu_reset_address to be overridden <Sean Cross>
    * | |   ca6065a6 - Merge pull request timvideos#164 from xobs/litex-usb-server <enjoy-digital>
    |\ \ \
    | * | | c6918364 - utils: litex_server: add usb support <Sean Cross>
    | * | | 9dd59d63 - tools: remote: add usb communications protocol <Sean Cross>
    * | | | 9cbed91b - soc/interconnect/axi: add AXIBurst2Beat <Florent Kermarrec>
    * | | | 5a8115d9 - soc/interconnect/avalon: add description <Florent Kermarrec>
    | |_|/
    |/| |
    * | | fa956086 - soc/integration/soc_zynq: fix HP0 connections <Florent Kermarrec>
    * | | a78ca2de - build/xilinx/vivado: only set library for vhdl files (not supported for verilog/system-verilog) <Florent Kermarrec>
    |/ /
    * | a92e90b2 - soc/interconnect: add avalon with converters to/from native streams <Florent Kermarrec>
    * |   d860eeea - Merge pull request timvideos#162 from antmicro/full-conf-vexriscv <enjoy-digital>
    |\ \
    | * | 40de01bc - vexriscv: Add full and full_debug CPU variant <Joanna Brozek>
    * | |   ce81a39c - Merge pull request timvideos#163 from gsomlo/gls-verilated-cmdargs <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | e1683078 - build/sim/core: Initialize Verilator commandArgs <Gabriel L. Somlo>
    |/ /
    * | 017147c6 - build/altera: switch to sdc constraints, add add_false_path_constraints method <Florent Kermarrec>
    * | 1275e2f1 - build/xilinx/vivado: set quiet property on MultiReg/AsyncResetSynchronizer constraints <Florent Kermarrec>
    * | c252972b - soc/cores/clock: add divclk_divide/vco_margin support on S7/Ultrascale <Florent Kermarrec>
    * | f986974d - soc/cores/clock: improve presentation <Florent Kermarrec>
    * | 538ca59a - build/xilinx/vivado: round period constraints to lowest picosecond <Florent Kermarrec>
    * |   66a74b15 - Merge pull request timvideos#161 from enjoy-digital/litex_server_arguments <enjoy-digital>
    |\ \
    | * | a2bc4bb7 - litex_server: set socket.SO_REUSEPORT to avoid waiting 60s in case of unclean termination <Florent Kermarrec>
    | * | be99083e - litex_server: add message and exit when mandarory arguments are missing. <Florent Kermarrec>
    | * | db11aec9 - litex_server: allow setting bind port, remove auto-incrementing on bind_port <Florent Kermarrec>
    | * | 76bc5785 - litex_server: refactor parameters and to allow setting bind address <Florent Kermarrec>
    |/ /
    * | 13a76ec7 - software/libnet/microudp: simplify txbuffer managment <Florent Kermarrec>
    * | 3441eb05 - software/libnet/microudp: cleanup eth_init <Florent Kermarrec>
    * | 92a79c6d - software/libnet/microudp: simplify rxbuffer managment <Florent Kermarrec>
    * | fdeff7f6 - software/libnet/microudp: set raw frame size to ETHMAC_SLOT_SIZE <Florent Kermarrec>
    * | 1569e2e0 - software/libnet: remove use of ethmac_mem.h <Florent Kermarrec>
    * | c7ac9676 - bios/sdram: add __attribute__((unused)) on cdelay <Florent Kermarrec>
    * | 7e53bff3 - litex_setup: add litesata <Florent Kermarrec>
    * | 792245f1 - boards/targets/kcu105: add Ethernet (with 1Gbps SFP adapter) <Florent Kermarrec>
    * | f8dcdb70 - software/libnet: add #ifdef on eth_init <Florent Kermarrec>
    * |   e475cfbb - Merge pull request timvideos#158 from vbuitvydas/altera-contrib <enjoy-digital>
    |\ \
    | * | 04939990 - litex/build/altera/quartus: changes to make top level assigment in .qsf file with build name <vytautasb>
    | * | 8558065f - litex/build/altera/common: added reset synchronizer <vytautasb>
    |/ /
    * | 866fa344 - integration/soc_zynq: fix missing SoCCore.do_finalize <Florent Kermarrec>
    * | 794c3c58 - integration/soc_zynq: add add_hp0 method <Florent Kermarrec>
    * | 38d404c3 - integration/soc_zynq: use add methods to add optional peripherals <Florent Kermarrec>
    * | 7375856b - integration/soc_zynq: connect axi signals that were missing <Florent Kermarrec>
    * | b15fd9d8 - interconnect/axi: add missing axi signals <Florent Kermarrec>
    * |   f95748d1 - Merge pull request timvideos#157 from CBJamo/master <enjoy-digital>
    |\ \
    | * | 1f0b3f81 - Add ifdef check for MAIN_RAM_SIZE <Caleb Jamison>
    |/ /
    * | f452d3e9 - README: bump copyright year <Florent Kermarrec>
    * | dd214d2d - bios/main: align SoC info, show CPU speed on CPU line, show L2 <Florent Kermarrec>
    * | 6599f7bb - bios/main: move sdrinit <Florent Kermarrec>
    * | b92b89ab - bios/main: print boot sequence only if sdr_ok <Florent Kermarrec>
    * | f4369c8f - bios/main: remove csr functions (not used and only supported by lm32), improve help presentation <Florent Kermarrec>
    * | 66dffb70 - software/bios: improve readibility, add soc informations <Florent Kermarrec>
    * |   e8559990 - Merge pull request timvideos#156 from gsomlo/gls-axi-width <enjoy-digital>
    |\ \
    | * | 449632e4 - soc/interconnect/axi: data/address length cleanup <Gabriel L. Somlo>
    |/ /
    * | 552b0243 - soc/interconnect/axi: remove dead code (thanks gsomlo) <Florent Kermarrec>
    * |   b682dacd - Merge pull request timvideos#154 from daveshah1/yosys_xilinx_edif <enjoy-digital>
    |\ \
    | * | 57e1ccd5 - build/xilinx: Update Yosys write_edif parameters <David Shah>
    * | | fd7ed6c1 - utils/litex_sim: fix main_ram_size <Florent Kermarrec>
    * | | 3f386dad - soc_core/get_mem_data: add json support <Florent Kermarrec>
    * | | 7bc13ba8 - build/microsemi/libero_soc: add linux build script support <Florent Kermarrec>
    * | | 7b88980d - vexriscv: allow user to use an external variant <Florent Kermarrec>
    * | | b04a756a - vexriscv/core: fix min variant <Florent Kermarrec>
    * | | a549f094 - utils/litex_sim: handle cpu_endianness for rom-init/ram-init <Florent Kermarrec>
    * | | 411bca79 - utils/litex_sim: increase default integrated_main_ram_size to 256MB, automatically boot on main_ram when ram_init is specified <Florent Kermarrec>
    * | |   7ec3ed4d - Merge pull request timvideos#153 from railnova/fix_utils <enjoy-digital>
    |\ \ \
    | * | | aed2e9b4 - [fix] utils was not installed from pip <chmousset>
    | |/ /
    * | |   3543b567 - Merge pull request timvideos#152 from gsomlo/gls-trellis-svf <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | b014c719 - build/lattice/trellis: also generate bitstream in svf format <Gabriel L. Somlo>
    |/ /
    * | 317dba83 - software/bios/sdram: use specific ERR_DDRPHY_BITSLIP/NMODULES computation <Florent Kermarrec>
    * | 7de1fe51 - targets/versa_ecp5: update ECP5DDRPHY on BaseSoC, add EthernetSoC <Florent Kermarrec>
    * | ca63db40 - bios/sdram: use burstdet detection for ECP5DDRPHY init <Florent Kermarrec>
    |/
    *   2ebfab5e - Merge pull request timvideos#150 from daveshah1/trellis_bus_fixes <enjoy-digital>
    |\
    | * ebe8f600 - lattice/common: Fix tristate buses with Trellis <David Shah>
    |/
    * 935f3a53 - boards/ulx3s: add device selection parameter <Florent Kermarrec>
    * e6f97e08 - targets/ulx3s: use AsyncResetSynchronizer and derivate sys_clk/sys_clk_ps constraints from clk25 <Florent Kermarrec>
    * 5ef28bdf - build/lattice/trellis: add package support <Florent Kermarrec>
    * 1b34c07d - build/lattice/trellis: basecfg now integrated in nextpnr <Florent Kermarrec>
    * 7e995eb4 - boards/targets/ulx3s: allow building with diamond or trellis <Florent Kermarrec>
    * 4bf789ea - soc/software/bios/boot: add vexriscv workaround <Florent Kermarrec>
    * 1fd81c28 - soc/integration: add initial SoCZynq SoC <Florent Kermarrec>
    * 3c527dcb - soc/interconnect: add initial axi code with bus definition and AXI2Wishbone <Florent Kermarrec>
    * ed257879 - test: add test_axi_lite (with test code from soc/interconnect/axi_lite lightly modified) <Florent Kermarrec>
    * 4aa07f2a - soc/interconnect: rename axi to axi_lite <Florent Kermarrec>
    * 6a4c133c - test: add basic test_csr <Florent Kermarrec>
    *   c9f9e237 - Merge pull request timvideos#149 from daveshah1/versa_trellis <enjoy-digital>
    |\
    | * ff7e0fab - versa_ecp5: Add option to build with Trellis <David Shah>
    | * 024b41c5 - trellis: Add LPF frequency constraints and remove -nomux <David Shah>
    * | e38dfd99 - soc/software/sdram: fix compilation on ultrascale <Florent Kermarrec>
    |/
    * 5f29a12e - targets/versa_ecp5: integrate DDR3 <Florent Kermarrec>
    * 3dd529e4 - soc/software/bios/sdram: add ECP5 support <Florent Kermarrec>
    * 2fd6d0e7 - soc/software/bios/sdram: improve write_level robustness <Florent Kermarrec>
    * 36772b75 - soc/software/bios/sdram: improve sdrlevel readibility <Florent Kermarrec>
    * 6a980781 - soc/software/bios/sdram: add helpers for rst/inc of delays <Florent Kermarrec>
    *   dad7b292 - Merge pull request timvideos#148 from daveshah1/versa_remove_n <enjoy-digital>
    |\
    | * 321dd8fc - versa_ecp5: Remove negative diff IO pins <David Shah>
    |/
    * c03b1ad1 - platforms/versa_ecp5: add ddram pins <Florent Kermarrec>
    * ff155a47 - soc/tools/remote/comm_uart: be sure to flush in waiting bytes before read and write <Florent Kermarrec>
    * d3ecdd99 - soc/cores/clock: add actual clk_freqs to config <Florent Kermarrec>

 * migen changed from 0.6.dev-241-gafe4405 to 0.6.dev-283-g562c046
    * 562c046 - Correct URL of logo Signed-off-by: Chipmuenk <mail@chipmuenk.de> <Chipmuenk>
    * db7ce84 - updated packaging infos <Chipmuenk>
    * a9e5029 - platforms: add de10lite support <msloniewski>
    * a69e1fd - altera/quartus: fix generated build script <msloniewski>
    * 1b804d7 - platforms: add max1000 support <msloniewski>
    * bc90344 - metlino: v1.0rc5 <Sebastien Bourdeauducq>
    * 9031bfe - metlino: add VHDCI EEM carrier connector <Sebastien Bourdeauducq>
    * 83b209e - metlino: add LEDs, I2C, Si5324, transceivers <Sebastien Bourdeauducq>
    * 4289590 - metlino: set bitstream properties <Sebastien Bourdeauducq>
    * aea0841 - metlino: add gth_clk200 and port0 <Sebastien Bourdeauducq>
    * 7299f4e - metlino: add spiflash <Sebastien Bourdeauducq>
    * 6815691 - metlino: use same SDRAM constraints as Sayma <Sebastien Bourdeauducq>
    * 42fe506 - metlino: update pins to 1.0rc4 <Sebastien Bourdeauducq>
    * 54d666d - Lattice iCE40: add comment on the polarity of differential I/O pairs <airwoodix>
    * 090ece7 - Lattice iCE40: pass positive pin to SB_IO in DifferentialInput <airwoodix>
    * ee3508b - Revert e43cd74 <airwoodix>
    * e43cd74 - Lattice iCE40: fix DifferentialInput polarity <airwoodix>
    * e6d02be - humpback: fix serial pinouts (crossover cables) <airwoodix>
    * c8cae39 - Lattice iCE40: implement DifferentialInput <Etienne Wodey>
    * a6f9cbd - Add Sinara Humpback platform (timvideos#177) <Étienne Wodey>
    * 4e66a71 - Fix `-vlgincdir` for xst. <Tim 'mithro' Ansell>
    * edcadbc - sayma_rtm2: si5324_clkout -> cdr_clk_clean <Sebastien Bourdeauducq>
    * 49b9d8a - sayma_amc2: add rtm_amc_link <Sebastien Bourdeauducq>
    * 0080bed - sayma_rtm2: add AFE test pins <Sebastien Bourdeauducq>
    * 032340d - sayma_rtm2: add rtm_amc_link <Sebastien Bourdeauducq>
    * 8bf0ab8 - sayma_rtm2: fix clk50 IOStandard <Sebastien Bourdeauducq>
    * 5dc0b23 - sayma_rtm: select correct speed grade and IDCODE for v2 <Sebastien Bourdeauducq>
    * 98a075c - sayma_rtm: update for v2.0rc4 <Sebastien Bourdeauducq>
    * cd71a2a - fix permissions <Sebastien Bourdeauducq>
    * 5a843a1 - sayma_amc: update gth_clk200, add DDMTD signals <Sebastien Bourdeauducq>
    * 2154882 - sayma_amc: OVERTEMPPOWERDOWN is called OVERTEMPSHUTDOWN on Ultrascale <Sebastien Bourdeauducq>
    * 3773947 - sayma_amc: si5324_clkout -> cdr_clk_clean <Sebastien Bourdeauducq>
    * 383512b - sayma_amc2: update to v2.0rc4 <Sebastien Bourdeauducq>
    * 936732f - add sayma_rtm2 <Sebastien Bourdeauducq>
    * d482b93 - sayma_amc2: add ddrXX_clk <Sebastien Bourdeauducq>
    * 25646d4 - sayma_amc2: enable OVERTEMPPOWERDOWN <Sebastien Bourdeauducq>
    * 9fd7a48 - remove Roach <Sebastien Bourdeauducq>
    * 9d90900 - sayma_amc: use LVDS for serwb <Sebastien Bourdeauducq>
    * 3da7113 - sayma_amc: fix aux_clk I/O standard <Sebastien Bourdeauducq>
    * 9a25f90 - sayma_amc: fix v2 platform name <Sebastien Bourdeauducq>
    * 7765238 - add Sayma AMC v2 platform <Sebastien Bourdeauducq>
    * ae42105 - migen: replace `collections` with `collections.abc` as necessary (timvideos#176) <Sean Cross>

Full submodule status
--
 15df4aebf06da579241c58949493b866139d0e2b edid-decode (remotes/origin/HEAD)
 1c21ee44a2b3936f62e4b43f2bcbf63ce9404691 flash_proxies (heads/master)
 67de3cee14b13beabc90804e3b62c66e028fd951 litedram (heads/master)
 2424e62bf9637c2623b627a56aca7a3f90349e92 liteeth (heads/master)
 de6cd01d3f158387337bf4f47fd5a351ec2c3267 litepcie (heads/master)
 6fe4cceaab77d6a117fa539f461b3ae9ca7e668e litesata (heads/master)
 2474ce9db23e4d06bff4bbeacf0051efa3042f37 litescope (heads/master)
 0a9110f901182a1233cc4e64b6e39175f6784621 liteusb (heads/master)
 98e145fba8c25394e9958bad67e2a457d145127e litevideo (heads/master)
 113f7f408e7c95150011c55ca473f45befb7f9bb litex (remotes/origin/HEAD)
 562c0466443f859d6cf0c87a0bb50db094d27cf4 migen (0.6.dev-283-g562c046)
mateusz-holenko added a commit to antmicro/litex-buildenv that referenced this pull request Jun 2, 2020
 * litedram changed from de55a8e to d62fd24
    *   d62fd24 - Merge pull request #201 from antmicro/jboc/spd-read <enjoy-digital>
    |\
    | * 4233f86 - modules/spd: save SPD data in SDRAMModule to allow for runtime verification <Jędrzej Boczar>
    * | f23cb80 - litedram_gen: revert builder.build(..., regular_comb=False). <Florent Kermarrec>
    * | d1db115 - litedram_gen: review/simplify #197. <Florent Kermarrec>
    * |   a8e281f - Merge pull request #197 from ozbenh/standalone-sim <enjoy-digital>
    |\ \
    | * | d0f0c94 - phy/model: Don't generate empty mem_*.init files <Benjamin Herrenschmidt>
    | * | b8d6da5 - gen: Allow generation of a standalone sim model <Benjamin Herrenschmidt>
    * | |   83b9a1d - Merge pull request #199 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \ \
    | |/ /
    |/| /
    | |/
    | * cbe91bc - modules: add function for parsing SPD EEPROM dumps from BIOS firmware <Jędrzej Boczar>
    * | 639a31f - test/test_timing: update test_txxd_controller. <Florent Kermarrec>
    * | 3c1ab76 - litedram/common/tXXDController: only set reset to 1 when txxd is None. <Florent Kermarrec>
    |/
    *   e95af3f - Merge pull request #195 from enjoy-digital/bios-libs <enjoy-digital>
    |\
    | * fe48a92 - test/reference: update. <Florent Kermarrec>
    | * c30910a - init: generate DFII_CONTROL flags in sdram_phy.h instead of defining them in the BIOS. <Florent Kermarrec>
    |/
    * 5078b19 - core/crossbar: remove retro-compat > 6 months old. <Florent Kermarrec>
    * 3b105d5 - modules: fix SDRAMRegisteredModule. <Florent Kermarrec>
    *   b2a5685 - Merge pull request #189 from daveshah1/ddr4_rdimm_init <enjoy-digital>
    |\
    | * 70054ba - Add support for DDR4 RDIMMs <David Shah>
    * | 7ae4ad5 - modules: add SDR/DDR/DDR2/DDR3/DDR4 SDRAMModule (and Registered versions). <Florent Kermarrec>
    * | 1f7d9eb - litedram_gen: pass FPGA speedgrade to iodelay_pll. <Florent Kermarrec>
    * | f4871b9 - litedram_gen: use default settings on wb_bus. <Florent Kermarrec>
    * | 6fb8396 - litedram_gen: remove csr_base (no longer needed since CPUNone type will automatically set csr mapping to 0x00000000) and create a use bus with the same address_width as the main bus of the SoC. <Florent Kermarrec>
    * | 94c215e - litedram_gen: review/simplify #193, always add ddrctrl. <Florent Kermarrec>
    * |   f036ec2 - Merge pull request #193 from ozbenh/standalone-cores <enjoy-digital>
    |\ \
    | * | 04717b4 - gen: Rename standalone core wishbone <Benjamin Herrenschmidt>
    | * | b0838f7 - gen: Add option to specify CSR alignment <Benjamin Herrenschmidt>
    | * | d5a03b3 - gen: Add option to generate DDRCTL on standalone cores <Benjamin Herrenschmidt>
    | * | efad6b3 - gen: Add option to specify CSR base for standalone cores <Benjamin Herrenschmidt>
    | * | c91cbb5 - gen: Remove obsolete bus_expose config option <Benjamin Herrenschmidt>
    |/ /
    * | 4e539ad - litedram_gen: switch to SoCCore. <Florent Kermarrec>
    * | ac33d29 - litedram_gen: simplify and expose bus when CPU is set to None. <Florent Kermarrec>
    * | fe47838 - litedram_gen: expose a Bus Slave port instead of a CSR port. <Florent Kermarrec>
    * | 52b49fb - test/reference: update. <Florent Kermarrec>
    * | 52ca393 - modules: add MT41J512M16/MT41K512M16. <Florent Kermarrec>
    * | 589957f - phy: extend Bitslip capability to 2 sys_clk cycles. <Florent Kermarrec>
    * | 5c0231d - common/BitSlip: add cycles parameter to extend bitstlip to multiple system clock cycles. <Florent Kermarrec>
    * | ed0810a - gen: Optionally pass cpu_variant from YAML to SoC <Benjamin Herrenschmidt>
    |/
    *   dfe6f90 - Merge pull request #188 from daveshah1/ddr4_dimm_x4 <enjoy-digital>
    |\
    | * 5b4381b - usddrphy: Support for x4 chip based DIMMs <David Shah>
    * |   9f136c0 - Merge pull request #187 from daveshah1/add_MTA18ASF2G72PZ <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 97f0a37 - modules: Add MTA18ASF2G72PZ DDR4 RDIMM <David Shah>
    |/
    * 9a2d3f0 - common: add PHYPadsReducer to only use specific DRAM modules. <Florent Kermarrec>
    * 20a849c - test/reference: update ddr4_init.h <Florent Kermarrec>
    *   cec3a99 - Merge pull request #181 from antmicro/jboc/eeprom-timings <enjoy-digital>
    |\
    | * 312bce2 - modules: pass rate automatically when creating module from SPD data <Jędrzej Boczar>
    | * 07bbd79 - modules: update existsing SO-DIMM timings based on SPD data <Jędrzej Boczar>
    | * cf83ac6 - test: improve SPD tests of Micron DDR3 SO-DIMM modules <Jędrzej Boczar>
    | * 854a614 - modules: fix calculations of speedgrade from tck in SPD data <Jędrzej Boczar>
    | * c744204 - modules: fix nrows in MT8KTF51264 <Jędrzej Boczar>
    | * 3980e06 - modules: add option to load module parameters from SPD data <Jędrzej Boczar>
    * | 48c2fc2 - phy: simplify/improve dqs preamble/postamble. <Florent Kermarrec>
    * | eaf0691 - phy/ecp5ddrphy: simplify, working with dqs preamble/postamble. <Florent Kermarrec>
    * | 12a017f - phy/ecp5ddrphy: simplify/cleanup. <Florent Kermarrec>
    * | 62915cd - phy: rework BitSlip to simplify integration, add DQSPattern module. <Florent Kermarrec>
    * | 9ff9e82 - phy/usddrphy: move pads.ten control to control block. <Florent Kermarrec>
    * | 91a9a2a - phy/ecp5ddrphy: fix dqs preamble/postamble control. (make it similar to 7-series/Ultrascale). <Florent Kermarrec>
    * | 5d29686 - phy/s7ddrphy/usddrphy: more compact write dqs postamble/preamble control path. <Florent Kermarrec>
    * | 8d0e7f6 - phy/usddrphy: simplify/cleanup write control path/dqs postamble/preamble control path. <Florent Kermarrec>
    * | 57b16c2 - phy/usddrphy: simplify/cleanup write control path, add DQS Pre/Postamble support. <Florent Kermarrec>
    * | 1462a43 - phy/usddrphy: cleanup/simplify read control path. <Florent Kermarrec>
    * | cd671f9 - phy/s7ddrphy: cleanup/simplify read control path. <Florent Kermarrec>
    * | d061e60 - test/reference: update. <Florent Kermarrec>
    * | 45a03df - phy/init: add phytype to PhySettings and export more parameters to C header to simplify software. <Florent Kermarrec>
    * | 2df9004 - init: improve ident. <Florent Kermarrec>
    * | eca7fc2 - phy/ecp5ddrphy: remove Bitslip from comment (no longer present). <Florent Kermarrec>
    * | f4f2948 - phy/ecpddrphy: remove Bitslip (not used and redundant with dqs_read) and use BitSlip software control to move dqs_read. <Florent Kermarrec>
    * | e2b4c2b - phy/ecp5ddrphy: cosmetics. <Florent Kermarrec>
    |/
    * f68f1dd - phy/ecp5ddrphy/control: cleanup/simplify and document (no functional changes). <Florent Kermarrec>
    * fdf7c76 - phy/control: cleanup/simplify (no functional changes). <Florent Kermarrec>
    * a767618 - phy: improve flow control readability (add separators between Read/Write/Write DQS Postamble/Preamble parts). <Florent Kermarrec>

 * liteeth changed from 705003e to 0feed17
    * 0feed17 - phy/gmii/CRG: add BUFG on RX and do the TX clock Mux with combinatorial logic (from @skiphansen initial work). <Florent Kermarrec>
    * 53c9eb9 - core/ip: move mcase_oui/ip_mask definition to common and set target_mac with NextValue. <Florent Kermarrec>
    *   58e1681 - Merge pull request #41 from shuffle2/mcast <enjoy-digital>
    |\
    | * 6d00ec1 - iptx: support multicast mac and bypass arp table <Shawn Hoffman>
    * | 8afdec9 - phy/ecp5rgmii: review/simplify inband_status integration. <Florent Kermarrec>
    * |   55af430 - Merge pull request #40 from shuffle2/master <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 26c4e41 - ecp5rgmii: enable reading inband PHY_status <Shawn Hoffman>
    |/
    * dc67e6d - phy/ecp5rgmii: use DDROutput/DDRInput now available for ECP5. <Florent Kermarrec>

 * litepcie changed from 586ef78 to b0e8383
    * b0e8383 - frontend/dma/LitePCIeDMAReader: immediately return to IDLE state when disabled. <Florent Kermarrec>
    *   4e333cb - Merge pull request #29 from sergachev/master <enjoy-digital>
    |\
    | * 8192494 - kernel: remove unnecessary call to pci_release_regions() on device remove <Ilia Sergachev>
    |/
    * c2fd143 - phy/s7pciephy: expose disable_constraints parameter to use_external_hard_ip. <Florent Kermarrec>
    * 6049a69 - litepcie_gen: add optional Sphinx/Html doc generation with --doc. <Florent Kermarrec>
    * 6bb89af - phy/s7pciehy: disable constraints generated from the .xci and use our owns. <Florent Kermarrec>
    *   ef7d40e - Merge pull request #28 from sergachev/master <enjoy-digital>
    |\
    | * 3eb2b86 - test_dma: remove unused imports and variables, fix mistypes <Ilia Sergachev>
    |/
    *   9a3ada5 - Merge pull request #27 from sergachev/master <enjoy-digital>
    |\
    | * e637090 - dma: fix another couple of mistypes <Ilia Sergachev>
    | * 4c4e3bf - dma: fix mistypes in comments <Ilia Sergachev>
    |/
    * 3ca6e38 - frontend/dma/monitor: reduce count_width from 32-bit (default) to 16-bit. <Florent Kermarrec>
    * 22faa07 - litepcie_gen: add pcie_data_width support. <Florent Kermarrec>
    *   96a6cdc - Merge pull request #25 from sergachev/master <enjoy-digital>
    |\
    | * 5804b43 - software: fix definitions <Ilia Sergachev>
    * 0496daf - litepcie_gen: add buffers on DMA sink/source to ensure data are clocked on LitePCIe interface and ease integration. <Florent Kermarrec>
    * 7818ace - frontend/dma: fix level CSRStatus size (+1). <Florent Kermarrec>
    * a85b1d7 - tlp/controller: expose cmp_bufs_buffered parameter. <Florent Kermarrec>
    * 264d7f3 - frontend/dma: allow asymetric writer/reader buffering depths. <Florent Kermarrec>
    * 9cb938e - frontend/dma: expose table_depth parameter. <Florent Kermarrec>
    * a6d836e - gen/examples: add software reset. <Florent Kermarrec>

 * litex changed from 2d018826 to 77139289
    *   77139289 - Merge pull request #552 from ozbenh/memspeed-long <Tim Ansell>
    |\
    | * 6239eac1 - sdram: Use unsigned long for memory test <Benjamin Herrenschmidt>
    * |   a116578c - Merge pull request #550 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \
    | * | a433c837 - bios/litedram: add option to verify SPD EEPROM memory contents <Jędrzej Boczar>
    | * | 1692dfbf - build/sim/spdeeprom: use hex format when loading from file <Jędrzej Boczar>
    * | |   b98a9192 - Merge pull request #549 from antmicro/mglb/fix-vivado-yosys <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | a4e83234 - build/xilinx: do not assume build name is "top" <Mariusz Glebocki>
    |/ /
    * |   5cc7a988 - Merge pull request #547 from gsomlo/gls-fix-sdcard-status <enjoy-digital>
    |\ \
    | * | 28290efd - soc/software/litesdcard: update for response register back to 128 bits <Gabriel Somlo>
    * | | 395af900 - interconnect/wishbone/DownConverter: skip accesses on slave when sel==0 and simplify. <Florent Kermarrec>
    * | | 511832a9 - soc/interconnect/axi: generate wishbone.sel for reads. <Florent Kermarrec>
    * | | 4f82a36a - soc/software: only keep 32-bit CSR alignment support. <Florent Kermarrec>
    |/ /
    * | 75936775 - wishbone/wishbone2csr: use wishbone.sel on CSR write. <Florent Kermarrec>
    * | b1ec092e - soc/software/litesdcard: use new send register to send command and remove CSR8_CMD_FIX. <Florent Kermarrec>
    * | efcba14b - platforms/nexys_video: add spisdcard pins. <Florent Kermarrec>
    * | 119ce56f - targets/nexys_video: add spi-sdcard and sdcard support. <Florent Kermarrec>
    * | cc595017 - plaforms/nexys_video: keep up to date with litex-boards. <Florent Kermarrec>
    * | 5cc564fb - targets: simplify Ethernet/Etherbone integration on targets with both. <Florent Kermarrec>
    * | 55c7461e - bios/cmds/cmd_litesdcard: rewrite comments/descriptions. <Florent Kermarrec>
    * | 6cb03963 - bios/main: replace / with -. <Florent Kermarrec>
    * |   5dd5f97b - Merge pull request #545 from gsomlo/gls-fix-mmptr <enjoy-digital>
    |\ \
    | * | 3e1b17d4 - csr: fix simple accessor alignment <Gabriel Somlo>
    * | | 6c1e2d84 - software/liblitesdcard: replace hexdump with dump_bytes already available in the BIOS. <Florent Kermarrec>
    |/ /
    * | 9e068a74 - soc/add_sdcard: add with_emulator parameter to use SDCard emulator (from Google Project Vault) and integrate it in litex_sim. <Florent Kermarrec>
    * | 2ae55e80 - setup.py: add litex_jtag_uart and litex_crossover_uart to console_scripts. <Florent Kermarrec>
    * | 62d939e8 - Merge pull request #543 from antmicro/jboc/eeprom-sim <enjoy-digital>
    |\|
    | * a0ce4ce5 - litex/build/sim: add module for simulating SPD EEPROM <Jędrzej Boczar>
    * | c4f96318 - targets/nexys4ddr: fix sdcard assert. <Florent Kermarrec>
    * | 76cc112e - bios: add main bus and csr bus infos, use KiB/GiB. <Florent Kermarrec>
    |/
    * 02072dea - integration/soc/add_sdcard: always use 32-bit/512bytes memories (not sure this will change?) and allocate sdwrite/sdread regions dynamically. <Florent Kermarrec>
    * 4b3afa75 - integration/soc: add add_sdcard method with integration code from nexys4ddr. <Florent Kermarrec>
    * c78caeb9 - csr: Fix definition(s) of CSR_BASE in generated headers <Benjamin Herrenschmidt>
    * f8bb500a - liblitedram/sdram: Add option to disable cdelay() <Benjamin Herrenschmidt>
    * 6d72ef28 - cpu/serv: add variants. <Florent Kermarrec>
    * fd7ec50e - soc/integration/export: add optional csr_base parameter. <Florent Kermarrec>
    * 795ff08a - build/sim/verilator: add regular_comb parameter (that defaults to False) and pass it to get_verilog. <Florent Kermarrec>
    *   25d2e7c9 - Merge pull request #542 from gsomlo/gls-sdcard-followup <enjoy-digital>
    |\
    | * 6da98ca1 - software/bios: fixup sdclk command <Gabriel Somlo>
    * |   3fd6ecd8 - Merge pull request #541 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \
    | * | 1172c10a - bios: move I2C from liblitedram to libbase <Jędrzej Boczar>
    | * | 472bf9ac - bios/sdram: expose I2C functions <Jędrzej Boczar>
    | * | bdc7eb5c - litex_sim: load SPD data from files in hexdump format as printed in BIOS <Jędrzej Boczar>
    | * | a42dc974 - bios/sdram: add BIOS command for reading SPD <Jędrzej Boczar>
    | * | 8fd3e74e - bios/sdram: add firmware for reading SPD EEPROM <Jędrzej Boczar>
    * | | 68f83cbc - CHANGES: document deprecated/moved modules. <Florent Kermarrec>
    * | | ab806060 - soc/core/uart: move WishboneStreamingBridge in it and rename to Stream2Wishbone. <Florent Kermarrec>
    * | | 0a3d649a - interconnect/wishbone: integrate Wishbone2CSR. <Florent Kermarrec>
    * | | b5b88d27 - interconnect/csr_bus: add separators. <Florent Kermarrec>
    * | | 86952a6e - interconnect/wishbone: remove CSRBank (probably not used by anyone). <Florent Kermarrec>
    * | | e404608c - interconnect/wishbone: add separators and move SDRAM/Cache. <Florent Kermarrec>
    * | | 1fddd0e3 - interconnect/wishbone: simplify DownConverter. <Florent Kermarrec>
    * | | e0d26820 - interconnect/wishbone: remove UpConverter (probably not used by anyone and would need to be rewritten). <Florent Kermarrec>
    | |/
    |/|
    * | 696b31ed - tools/litex_sim: switch to SoCCore/add_sdram instead of SoCSDRAM. <Florent Kermarrec>
    * | 2efcf879 - targets/nexys4ddr: update add_sdcard method. <Florent Kermarrec>
    * | 2934c085 - CHANGES: add JTAG UART. <Florent Kermarrec>
    * | 3b47d4a4 - tools/litex_jtag_uart: add openocd config and telnet port parameters. <Florent Kermarrec>
    * | 67cf6703 - cpus: remove common cpu variants/extensions definition and simplify variant check. <Florent Kermarrec>
    * | 062ff67e - cpu/microwatt: add standard+ghdl variant that uses GHDL Yosys plugin. <Florent Kermarrec>
    * | 24687cbd - tools/litex_client/RemoteClient: add base_address parameter. <Florent Kermarrec>
    * | 78a9579e - cores/uart/RS232PHYTX: fix startbit duration by pre-loading phase_accumulator_tx to tuning_word. <Florent Kermarrec>
    * |   370e4652 - Merge pull request #539 from dayjaby/pr-fix_uart_startbit <enjoy-digital>
    |\ \
    | * | e853ad4b - fix uart startbit: 1 cycle later <David Jablonski>
    * | | c75cf45a - tools: add litex_jtag_uart to create a virtual uart for the jtag uart. <Florent Kermarrec>
    * | | 2cf83b9f - tools: rename litex_crossover poc to litex_crossover_uart, remove from setup for now. <Florent Kermarrec>
    * | | bed5aafd - tools: add litex_crossover to be able to use lxterm (and serialboot) over a crossover UART (bridged over UART/Ethernet/PCIe/USB, etc...). <Florent Kermarrec>
    * | | 3833bc3e - litex_sim: override uart_name to sim only for serial. <Florent Kermarrec>
    * | | da7fd308 - CHANGES: update. <Florent Kermarrec>
    * | | 2fb52e66 - integration/soc: remove TODO in header. <Florent Kermarrec>
    * | | b65f18c3 - cpu/cv32e40p: fix copyright year. <Florent Kermarrec>
    * | | 30f35170 - cpu/cv32e40p: add copyright and improve indentation. <Florent Kermarrec>
    * | | b23702ec - litex_setup/pythondata-cpu-cv32e40p: clone in recursive mode. <Florent Kermarrec>
    * | |   4c4cd335 - Merge pull request #535 from antmicro/arty-cv32e40p <enjoy-digital>
    |\ \ \
    | * | | 2d6ee5aa - cores/cpu: add cv32e40p <Piotr Binkowski>
    | * | | ca8cb834 - software/bios/isr: add support for cv32e40p <Piotr Binkowski>
    | * | | 2903b1bf - litex_setup: add pythondata for cv32e40p <Piotr Binkowski>
    * | | |   7d09ea19 - Merge pull request #538 from antmicro/fix_libbase <enjoy-digital>
    |\ \ \ \
    | * | | | 9d16b0fc - libbase: Include missing uart header <Mateusz Hołenko>
    |/ / / /
    * | | | 3d06dc02 - test/test_targets: update build_test. <Florent Kermarrec>
    * | | | 42350f6d - platforms/targets: keep in sync with litex-boards. <Florent Kermarrec>
    * | | | 2eea7864 - build/sim: rename dut to sim (for consistency with other builds). <Florent Kermarrec>
    * | | | a6cbbc9d - integration/soc: set build_name to platform.name when not specified. <Florent Kermarrec>
    * | | | 16417cb8 - software/liblitespi: fix #endif location. <Florent Kermarrec>
    * | | |   9bdb063b - Merge pull request #516 from antmicro/i2s_support_arty <enjoy-digital>
    |\ \ \ \
    | * | | | ce499900 - Extend I2S capabilities <Pawel Sagan>
    * | | | |   c2e9a26e - Merge pull request #534 from fjullien/fix_litex_sim_warn <enjoy-digital>
    |\ \ \ \ \
    | |/ / / /
    |/| | | |
    | * | | | 7c5f56c2 - litex/sim: fix compiler warnings <Franck Jullien>
    |/ / / /
    * | | |   6fedaa70 - Merge pull request #533 from antmicro/fix-dummy-bits-function-name <enjoy-digital>
    |\ \ \ \
    | * | | | ab41e27e - software/liblitespi/spiflash: fix dummy bits setup function name <Jan Kowalewski>
    |/ / / /
    * | | | d71152ef - litex_setup: move requests import to avoid having to install it on travis. <Florent Kermarrec>
    * | | | 9854fdd5 - .travis: install  requests package before running litex_setup.py. <Florent Kermarrec>
    * | | | bd0f21ba - targets/netv2: remove LiteSPI integration (not mature enough to be directly integrated on targets). <Florent Kermarrec>
    * | | | 80eca300 - software/liblitespi/spiflash: review/simplify/update and test on arty. <Florent Kermarrec>
    * | | | 4a175620 - build/xilinx: simplify LITEX_ENV_ISE/VIVADO handling. <Florent Kermarrec>
    * | | | e91c3171 - software/bios: cleanup includes and specify the lib in the include. <Florent Kermarrec>
    * | | | c3a03d0d - software: create liblitespi and mode litespi code to it (with some parts commented out for now). <Florent Kermarrec>
    * | | | 61238bee - soc/software/bios: add autoconfiguration functionality for LiteSPI core <Jan Kowalewski>
    * | | | d3890055 - litex_setup: add automatic update of litex_setup (because it also changes) and be sure we are on master branch before update. <Florent Kermarrec>
    * | | |   939f546a - Merge pull request #531 from gsomlo/gls-bios-linker <enjoy-digital>
    |\ \ \ \
    | |_|/ /
    |/| | |
    | * | | c5524dbf - software/bios: fix link order to avoid undefined symbol errors <Gabriel Somlo>
    |/ / /
    * | | b4267a79 - build/xilinx: source settings64.sh automatically just before build if LITEX_ENV_ISE/LITEX_ENV_VIVADO environment variables are set. <Florent Kermarrec>
    * | | de7e0ee9 - integration/soc_core: avoid cpu_variant check if custom cpu_cls is passed. <Florent Kermarrec>
    * | | 6f8f0d23 - litex_setup: add litehyperbus and remove hyperbus core/test. <Florent Kermarrec>
    |/ /
    * | 109fd267 - integration/builder: simplify default output_dir to "build/platform". <Florent Kermarrec>
    * | 55c0ddab - litex_setup: add sha1 support on git clone/pull and fix microwatt to a specific sha1. <Florent Kermarrec>
    |/
    *   23d43a2c - Merge pull request #530 from enjoy-digital/bios-libs <enjoy-digital>
    |\
    | * 7192397a - software/libbase: remove linker-sdram (unused). <Florent Kermarrec>
    | * b4b84def - software/bios: mode spisdcard code to liblitesdcard. <Florent Kermarrec>
    | * 21e2a34c - software/bios: rename commands to cmds and update with libs' names. <Florent Kermarrec>
    | * 33f6ce74 - software/bios: move hw flags definitions to respective libs, remove hw/flags.h. <Florent Kermarrec>
    | * 403355a8 - software: create liblitescard and move sdcard init/test code to it. <Florent Kermarrec>
    | * 920d0ee5 - software: create liblitedram and move sdram init/test code to it. <Florent Kermarrec>
    | * c95084e5 - bios/software: rename cmd_dram/cmd_sdcard/cmd_spi_flash to cmd_litedram/cmd_litesdcard/cmd_spiflash. <Florent Kermarrec>
    | * 573a8815 - software/bios/commands: rename cmd_mdio to cmd_liteeth. <Florent Kermarrec>
    | * ff8d9e61 - software/bios: move mdio to libliteeth. <Florent Kermarrec>
    | * 70a67ce7 - software/bios: rename libnet to libliteeth and move all ethernet files to it. <Florent Kermarrec>
    | * 56b8723b - software/bios: rename cmd_mem_access to cmd_mem. <Florent Kermarrec>
    |/
    * a02077d5 - cpu/microwatt/add_sources: add use_ghdl_yosys_synth parameter to convert microwatt to verilog using GHDL-Yosys-plugin and use converted verilog for build. <Florent Kermarrec>
    * b5352f40 - cpu/microwatt: update microwatt_wraper.vhdl <Florent Kermarrec>
    * be25500e - uptime: rework and integrate it in Timer to ease software support. <Florent Kermarrec>
    * d6549ff8 - bios: add uptime command and rewrite cmd_bios comments. <Florent Kermarrec>
    * fc0e55be - soc: improve uptime comments. <Florent Kermarrec>
    *   840679ad - Merge pull request #526 from rprinz08/master <enjoy-digital>
    |\
    | * 3f649077 - Make booting from SD-Card to behave same as from SPI flash <rprinz08>
    * | 82364de5 - soc/SoCController: add uptime since start (disabled by default) and allow features to be enabled/disabled. <Florent Kermarrec>
    |/
    * 3391398a - bios/sdram: always show bitslip on two digits to keep scan aligned. <Florent Kermarrec>
    *   4a5072a0 - Merge pull request #517 from ozbenh/csr-access-rework <enjoy-digital>
    |\
    | * 1e35b0e7 - csr: Rework accessors <Benjamin Herrenschmidt>
    |/
    * d4f44597 - CHANGES: update. <Florent Kermarrec>
    *   a51c7a7b - Merge pull request #518 from enjoy-digital/csr_base <enjoy-digital>
    |\
    | * 748ef1ad - export: add define of CSR_BASE if not already defined and use it for CSRs definitions/accesses. <Florent Kermarrec>
    * |   177c1e53 - Merge pull request #523 from DurandA/patch-5 <enjoy-digital>
    |\ \
    | * | 9d9e7d54 - Update litex_term help <Arnaud Durand>
    |/ /
    * | 2e59dc32 - platforms/nexys4ddr: add card detect pin to sdcard. <Florent Kermarrec>
    * | 51742be2 - integration/soc: review/simplify interconnect and add logger.info. <Florent Kermarrec>
    * |   78413cc0 - Merge pull request #519 from ozbenh/point2point <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 1ed68691 - soc: Revive generation of a PointToPoint interconnect <Benjamin Herrenschmidt>
    |/
    * 9f941138 - test/test_targets: workaround to fix travis. <Florent Kermarrec>
    * 9d1443c1 - cpu/soc_core: automatically set csr mapping to 0x00000000 when using CPUNone, remove csr_base parameter that was used for that. <Florent Kermarrec>
    * 5ea3bae0 - bios/boot: review/fix #503. <Florent Kermarrec>
    *   bf7857f5 - Merge pull request #503 from rprinz08/master <enjoy-digital>
    |\
    | * 1f55fcf4 - fixed bug in BIOS spi flash "fw" command <rprinz08>
    | * f062c0c4 - removed FLASH_BOOT_OFFSET, replaced memcyp with copy_image_from_flash_to_ram <rprinz08>
    | * ea232fc5 - BIOS boot firmware from SPI with address offset <rprinz08>
    * |   b4e349eb - Merge pull request #513 from mubes/bios_linker <enjoy-digital>
    |\ \
    | * | d2d82dac - Bios linker edits to prevent inappropriate optimisation <Dave Marples>
    |/ /
    * | 3fb99b7d - cores/spi_flash: add back old SpiFlashDualQuad and rename new one as SpiFlashQuadReadWrite. <Florent Kermarrec>
    * |   2a5a7536 - Merge pull request #478 from antmicro/extended_spi_flash <enjoy-digital>
    |\ \
    | * | 00f973ea - spi_flash: extend non-bitbanged flash support <Jakub Cebulski>
    | * | a344e20b - spi_flash: fix building without bitbang <Jakub Cebulski>
    * | |   7d79da8e - Merge pull request #510 from mubes/colorlight_usb <enjoy-digital>
    |\ \ \
    | * | | 84997332 - Fix dumb missing line <Dave Marples>
    | * | |   33e202ed - Bring into line with master <Dave Marples>
    | |\ \ \
    | * | | | dc1d4520 - Addition of boot address parameter for trellis builds <Dave Marples>
    * | | | | 3a6dd95d - integration/soc: review/simplify changes for standalone cores. <Florent Kermarrec>
    * | | | |   0d5eb133 - Merge pull request #511 from ozbenh/standalone-cores <enjoy-digital>
    |\ \ \ \ \
    | * | | | | f628ff6b - WB2CSR: Use CSR address_width for the wishbone bus <Benjamin Herrenschmidt>
    | * | | | | 520c17e9 - soc_core: Add option to override CSR base <Benjamin Herrenschmidt>
    | * | | | | ecbd4028 - soc: Don't update CSR alignment when there is no CPU <Benjamin Herrenschmidt>
    | * | | | | f28f2471 - soc: Don't create a wishbone slave to LiteDRAM with no CPU <Benjamin Herrenschmidt>
    | * | | | | dcc881db - soc: Don't create a share intercon with only one master and one slave <Benjamin Herrenschmidt>
    | | |/ / /
    | |/| | |
    * | | | | 873d95e5 - interconnect/wishbonebridge: refresh/simplify. <Florent Kermarrec>
    * | | | |   c136113a - Merge pull request #506 from scanakci/blackparrot_litex <enjoy-digital>
    |\ \ \ \ \
    | * | | | | aed1d514 - Update README.md and core.py for BlackParrot <sadullah>
    | * | | | | 5e4a4360 - Vivado Command Update for Systemverilog <sadullah>
    | |/ / / /
    * | | | |   d2c9d385 - Merge pull request #508 from antmicro/update_litesdcard <enjoy-digital>
    |\ \ \ \ \
    | |/ / / /
    |/| | | |
    | * | | | 0db35069 - Update Litex bios to handle updated litesdcard. <Kamil Rakoczy>
    |/ / / /
    * | | |   3ce90100 - Merge pull request #505 from DurandA/patch-3 <enjoy-digital>
    |\ \ \ \
    | * | | | 2c40967b - Enable 1x mode on SPI flash <Arnaud Durand>
    | | |_|/
    | |/| |
    * | | | e2176cef - soc: remove with_wishbone (a SoC always always has a Bus) and expose more bus parameters. <Florent Kermarrec>
    * | | | 1e610600 - build/lattice/diamond/clock_constraints: review and improve similarities with the others build backends. <Florent Kermarrec>
    * | | |   ebcf67c1 - Merge pull request #502 from shuffle2/master <enjoy-digital>
    |\ \ \ \
    | * | | | eeee179d - diamond: close project when done <Shawn Hoffman>
    | * | | | 9b782bd7 - diamond: clock constraint improvements <Shawn Hoffman>
    | |/ / /
    * | | |   80f5327e - Merge pull request #490 from daveshah1/rdimm_bside_init <enjoy-digital>
    |\ \ \ \
    | * \ \ \   13db89eb - Merge branch 'master' into rdimm_bside_init <enjoy-digital>
    | |\ \ \ \
    | |/ / / /
    |/| | | |
    * | | | | c9e36d7f - lattice/icestorm: add ignoreloops/seed support (similar to trellis) and icestorm_args. <Florent Kermarrec>
    * | | | | ea7fe383 - lattice/trellis: simplify seed support and add it to trellis_args. <Florent Kermarrec>
    * | | | |   5ee01c94 - Merge pull request #484 from ilya-epifanov/lattice-trellis-toolchain-seed <enjoy-digital>
    |\ \ \ \ \
    | * | | | | ac1e9683 - Can now pass `--seed` to `nextpnr-ecp5` via `TrellisToolchain` `kwargs` <Ilya Epifanov>
    * | | | | |   5987ddb4 - Merge pull request #485 from ilya-epifanov/cpu-imac-config-for-vexriscv <enjoy-digital>
    |\ \ \ \ \ \
    | * \ \ \ \ \   c5f74a5a - Merge branch 'master' into cpu-imac-config-for-vexriscv <enjoy-digital>
    | |\ \ \ \ \ \
    | |/ / / / / /
    |/| | | | | |
    * | | | | | | 59d88a88 - integration/soc/add_adapter: rename is_master to direction. <Florent Kermarrec>
    * | | | | | |   57390666 - Merge pull request #504 from sergachev/master <enjoy-digital>
    |\ \ \ \ \ \ \
    | * | | | | | | e4fa4bbc - integration/soc: fix add_adapter for slaves <Ilia Sergachev>
    |/ / / / / / /
    * | | | / / / 2d70220b - bios: Fix warning on 64-bit <Benjamin Herrenschmidt>
    | |_|_|/ / /
    |/| | | | |
    * | | | | | fbbbdf03 - core/led: simplify LedChaser (to have the same user interface than GPIOOut). <Florent Kermarrec>
    * | | | | | 05869beb - cores/led: add LedChaser (now that LiteX is running on FPGA mining boards let's use fancy led blinks :)) <Florent Kermarrec>
    * | | | | | 90c485fc - integration/soc: add clock_domain parameter to add_etherbone. <Florent Kermarrec>
    * | | | | | f1a50a21 - integration/soc: add add_uartbone method (to add a UARTBone aka UART Wishbone bridge). <Florent Kermarrec>
    | |_|_|/ /
    |/| | | |
    * | | | | 79ee135f - bios/sdram: fix lfsr typo. <Florent Kermarrec>
    * | | | |   162d3260 - Merge pull request #500 from mubes/fixups <enjoy-digital>
    |\ \ \ \ \
    | * \ \ \ \   2a37b97d - Merge branch 'master' of https://github.com/enjoy-digital/litex into fixups <Dave Marples>
    | |\ \ \ \ \
    | * | | | | | 967e38bb - Small fixups to address compiler warnings etc. <Dave Marples>
    | | |_|_|_|/
    | |/| | | |
    * | | | | | d74f8fc9 - build/xilinx: add disable_constraints parameter to Platform.add_ip. <Florent Kermarrec>
    | |/ / / /
    |/| | | |
    * | | | | 84841e1d - bios/sdram: fix merge typo in lfsr (thanks Benjamin Herrenschmidt). <Florent Kermarrec>
    * | | | | 99c5b0fc - bios/sdram: Use an LFSR to speed up pseudo-random number generation <Benjamin Herrenschmidt>
    * | | | |   34f26868 - Merge pull request #499 from DurandA/patch-2 <enjoy-digital>
    |\ \ \ \ \
    | * | | | | 5e049d89 - Add data dirs to manifest <Arnaud Durand>
    * | | | | | 8b9aa16d - boards/platforms: update xilinx programmers. <Florent Kermarrec>
    * | | | | | 3c34039b - build/xilinx/vivado: ensure Vivado process our .xdc early. <Florent Kermarrec>
    |/ / / / /
    * | | | | b0578580 - gen/fhdl/verilog: explicitly define input/output/inout wires. <Florent Kermarrec>
    * | | | | 0aa3c339 - targets/genesys2: set cmd_latency to 1. <Florent Kermarrec>
    * | | | | 95b57899 - bios: remove usddrphy debug (we'll use a specific debug firmware to fix the usddrphy corner cases). <Florent Kermarrec>
    * | | | | 98d1b451 - platforms/targets: fix CI. <Florent Kermarrec>
    * | | | | 22bcbec0 - boards: keep in sync with LiteX-Boards, integrate improvements. <Florent Kermarrec>
    * | | | | 28f85c74 - build/lattice/programmer: add UJProg (for ULX3S). <Florent Kermarrec>
    * | | | | 85ac5ef1 - build/lattice/programmer: make OpenOCDJTAGProgrammer closer to OpenOCD programmer. <Florent Kermarrec>
    * | | | | 9a7f9cb8 - build/generic_programmer: catch 404 not found when downloading config/proxy. <Florent Kermarrec>
    * | | | | d0b8daa0 - build/platform: allow doing a loose lookup_request (return None instead of ConstraintError) and allow subname in lookup_request. <Florent Kermarrec>
    * | | | | b8f9f83a - build/openocd: add find_config method to allow using local config file or download it if not available locally. <Florent Kermarrec>
    * | | | | 9bef218a - cpu/microwatt: fix integration/crt0.S (thanks Benjamin Herrenschmidt). <Florent Kermarrec>
    * | | | |   6f24d46d - Merge pull request #496 from gsomlo/gls-fix-makefiles <enjoy-digital>
    |\ \ \ \ \
    | * | | | | edfed4f0 - software/*/Makefile: no need to copy .S files from CPU directory <Gabriel Somlo>
    |/ / / / /
    * | | | |   7f8e34c6 - Merge pull request #494 from shuffle2/patch-2 <enjoy-digital>
    |\ \ \ \ \
    | * | | | | ee413527 - diamond: quiet warning about missing clkin freq for EHXPLLL <shuffle2>
    |/ / / / /
    * | | | | 07e0153b - CHANGES: update. <Florent Kermarrec>
    * | | | | 21127031 - cpu/microwatt: add powerpc64le-linux-gnu to gcc_triple. <Florent Kermarrec>
    * | | | | c06a1279 - cpu/microwatt: add pythondata and fix build with it. <Florent Kermarrec>
    * | | | | 45377d9f - cpus: use a common definition of gcc_triple for the RISC-V CPUs, reorganize CPU by ISA/Data-Width. <Florent Kermarrec>
    * | | | | 7c69a6db - bios/cmd_mdio.c:  fix missing <base/mdio.h> import. <Florent Kermarrec>
    * | | | | b0205335 - cpu/vexriscv: fix flush_cpu_icache, remove workaround on boot.c. <Florent Kermarrec>
    * | | | | 97e534d0 - cpus: add nop instruction and use it to simplify the BIOS. <Florent Kermarrec>
    * | | | | 4efc7835 - cpus: add human_name attribute and use it to simplify the BIOS. <Florent Kermarrec>
    * | | | | d81f171c - software/libbase/system.c: remove unused includes. <Florent Kermarrec>
    * | | | |   3bbadb35 - Merge pull request #492 from scanakci/blackparrot_litex <enjoy-digital>
    |\ \ \ \ \
    | * \ \ \ \   999b93af - Merge branch 'master' into blackparrot_litex <enjoy-digital>
    | |\ \ \ \ \
    | |/ / / / /
    |/| | | | |
    * | | | | |   705d3887 - Merge pull request #474 from fjullien/term_hist_auto_compl <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | 74dc444b - bios: add auto completion for commands <Franck Jullien>
    | * | | | | | fc2b8226 - bios: switch command handler to a modular format <Franck Jullien>
    | * | | | | | 86cab3d3 - bios: move helper functions to their own file <Franck Jullien>
    | * | | | | | bc5a1986 - bios: add terminal history <Franck Jullien>
    | * | | | | | e764eabd - builder: add a parameter to pass options to BIOS Makefile <Franck Jullien>
    | | * | | | | 0c770e06 - Update README.md <Sadullah Canakci>
    | | * | | | | 19bb1b9b - update to comply with python-data layout <sadullah>
    | | * | | | | 3eb9efd6 - BP fpga recent version <sadullah>
    | | * | | | | bf864d33 - Fix memory transducer bug, --with-sdram for BIOS works, memspeed works <sadullah>
    | | * | | | | cf01ea65 - rebased, minor changes in core.py <sadullah>
    | | * | | | | b7b9a1f0 - Linux works, LiteDRAM works (need cleaning, temporary push) <sadullah>
    | | * | | | | 74140587 - Create GETTING STARTED <Sadullah Canakci>
    | |/ / / / /
    |/| | | | |
    * | | | | |   e853cac6 - Merge pull request #483 from ilya-epifanov/lattice-openocd-jtag-programmer-erase-flag-and-quiet-output <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | a11f1c39 - Removed erase flag and made progress output less noisy <Ilya Epifanov>
    | | |_|_|/ /
    | |/| | | |
    * | | | | |   a6779b9d - Merge pull request #491 from gsomlo/gls-spisd-clusters <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | c8e3bba4 - software: spisdcard: cosmetic: avoid filling screen with cluster numbers <Gabriel Somlo>
    * | | | | | | b5978b21 - .travis.yml: disable python3.5 test (nMigen requires 3.6+). <Florent Kermarrec>
    * | | | | | | 10371a33 - CHANGES: update. <Florent Kermarrec>
    * | | | | | | bd8a4100 - cpu/minerva: add pythondata and use it to compile the sources. <Florent Kermarrec>
    * | | | | | | e4a4659d - litex_setup: add nmigen dependency (used to generate Minerva CPU). <Florent Kermarrec>
    * | | | | | | d3e3ca06 - CHANGES: start listing changes for next release. <Florent Kermarrec>
    |/ / / / / /
    * | / / / / 3c70c83f - cpu/software: move flush_cpu_icache/flush_cpu_dcache functions to CPUs. <Florent Kermarrec>
    | |/ / / /
    |/| | | |
    * | | | | bb70a232 - cpu/software: move CPU specific software from the BIOS to the CPU directories. <Florent Kermarrec>
    * | | | | 0abc7d4f - cpu/Minerva: Clone the repository locally for now, we need to create a pythondata repository. <Florent Kermarrec>
    * | | | | b82b3b7e - integration/soc: rename usb_cdc to usb_acm. <Florent Kermarrec>
    * | | | | 0a1afbf6 - litex/__init__.py: remove retro-compat > 6 months old. <Florent Kermarrec>
    * | | | | 3531a641 - soc: allow passing custom CPU class to SoC. <Florent Kermarrec>
    | | | * | 83f4dcb2 - Added `imac` config for CPUs which implements the most basic working riscv32imac feature set, implemented for VexRiscv <Ilya Epifanov>
    | | |/ /
    | |/| |
    | | | * 64b50515 - Add RDIMM side-B inversion support <David Shah>
    | |_|/
    |/| |
    * | |   90a6343d - Merge pull request #488 from enjoy-digital/python3.5 <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | 9941e4c1 - travis: add back test on python3.5 (python3.6 is recommended but we can try to keep 3.5 compatibility until we have good reason to no longer support it). <Florent Kermarrec>
    |/ /
    * |   855d614e - Merge pull request #481 from betrusted-io/unfstringify <enjoy-digital>
    |\ \
    | * | 17b76654 - propose patch to not break litex for python 3.5 <bunnie>
    |/ /
    * | 56aa7897 - create first release, add CHANGES and note about Python modules in README. <Florent Kermarrec>
    * | 6d0896de - cpu/serv: switch to pythondata package instead of local git clone. <Florent Kermarrec>
    * | 1b069268 - README: update Python minimal version to 3.6. <Florent Kermarrec>
    * | ff61b1f6 - litex_setup: disable automatic clone of BlackParrot/Microwatt CPUs, reorder LiteX data. <Florent Kermarrec>
    * |   4d86ab9d - Merge pull request #399 from mithro/litex-sm2py <enjoy-digital>
    |\ \
    | * \   317ea7ed - Merge branch 'master' into litex-sm2py <enjoy-digital>
    | |\ \
    | * | | 1f356695 - litex_sim: Find tapcfg from pythondata module. <Tim 'mithro' Ansell>
    | * | | 3aee8a52 - Remove directories from submodules from MANIFEST.in file. <Tim 'mithro' Ansell>
    | * | | ebcb2a44 - Rename litex-data-XXX-YYY to pythondata-XXX-YYY <Tim 'mithro' Ansell>
    | * | | a39a4ec2 - Only allow fast-forward pulls. <Tim 'mithro' Ansell>
    | * | | e618d41f - Fixing mor1kx data finding. <Tim 'mithro' Ansell>
    | * | | 2e3b7f20 - Fix typo in error message. <Tim 'mithro' Ansell>
    | * | | 83b25813 - Fix the libcompiler_rt path. <Tim 'mithro' Ansell>
    | * | | 1c1c5bcb - Remove submodules. <Tim 'mithro' Ansell>
    | * | | c96d1e66 - Fix import for data. <Tim 'mithro' Ansell>
    | * | | 119985f3 - Use the current directory you are running. <Tim 'mithro' Ansell>
    | * | | 69367f8d - Make litex a namespace. <Tim 'mithro' Ansell>
    | * | | 3ae4f8f2 - Adding missing vexriscv CPU. <Tim 'mithro' Ansell>
    | * | | ac3fd794 - Adding missing comma. <Tim 'mithro' Ansell>
    | * | | 3df6c0c8 - Adding litex-data-software-compiler_rt as a required package. <Tim 'mithro' Ansell>
    | * | | 3964565e - Fixed quotes in `litex_setup.py` <Tim 'mithro' Ansell>
    | * | | d5a21a75 - Converting litex to use Python modules. <Tim 'mithro' Ansell>
    |  / /
    * | | 5ef869b9 - soc/cpu: add memory_buses to cpus and use them in add_sdram. <Florent Kermarrec>
    * | | 467fee3e - soc/cpu: rename cpu.buses to cpu.periph_buses. <Florent Kermarrec>
    |/ /
    * |   05815c4e - Merge pull request #477 from shuffle2/patch-1 <enjoy-digital>
    |\ \
    | * | f71014b9 - diamond: fix include paths <shuffle2>
    |/ /
    * | 4dece4ce - soc/cpu: simplify integration of CPU without interrupts (and automatically use UART_POLLING mode in this case). <Florent Kermarrec>
    * |   c5ef9c73 - Merge pull request #473 from fjullien/memusage <enjoy-digital>
    |\ \
    | * | 3892d7a9 - bios: print memory usage <Franck Jullien>
    * | | 9460e048 - tools/litex_sim: use similar analyzer configuration than wiki. <Florent Kermarrec>
    * | |   443cc72d - Merge pull request #476 from enjoy-digital/serv <enjoy-digital>
    |\ \ \
    | * | | 1d1a4ecd - software/irq: cleanup and make explicit that irqs are not supported with Microwatt and SERV, fix compilation warning. <Florent Kermarrec>
    | * | | fb9e369a - serv: connect reset. <Florent Kermarrec>
    | * | | 71778ad2 - serv: update copyrights (Greg Davill found the typos/issues). <Florent Kermarrec>
    | * | | 1f9db583 - serv/cores: fix verilog top level (use serv_rf_top instead of serv_top), working :). <Florent Kermarrec>
    | * | | 2efd939d - serv: fix ibus/dbus byte/word addressing inconsistency, add missing ibus.sel (thanks @GregDavill). <Florent Kermarrec>
    | * | | 22c39236 - initial SERV integration. <Florent Kermarrec>
    | | |/
    | |/|
    * | | c4c891de - build/icestorm: add verilog_read -defer option to yosys script (changes similar the ones applied to trellis). <Florent Kermarrec>
    * | |   192849f0 - Merge pull request #475 from gregdavill/read_verilog_defer <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | 642c4b30 - build/trellis: add verilog_read -defer option to yosys script <Greg Davill>
    |/ /
    * | 96e7e6e8 - bios/sdram: reduce number of scan loops during cdly scan to speed it up. <Florent Kermarrec>
    * | 43e1a5d6 - targets/kcu105: use cmd_latency=1. <Florent Kermarrec>
    * | 85a059bf - bios/sdram: add some margin on cdly ideal_delay, do the read_leveling even if write_leveling is not optimal. <Florent Kermarrec>
    * | 038e1bc0 - targets/kc705: manual DDRPHY_CMD_DELAY no longer needed. <Florent Kermarrec>
    * | aaed4b94 - bios/sdram: review/cleanup Command/Clock calibration, set window at the start instead of middle. <Florent Kermarrec>
    * |   33c7b2ce - Merge pull request #472 from antmicro/jboc/sdram-calibration <enjoy-digital>
    |\ \
    | * | ab92e81e - bios/sdram: add automatic cdly calibration during write leveling <Jędrzej Boczar>
    * | |   4608bd18 - Merge pull request #470 from antmicro/jboc/sdram-eeprom-timings <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | b0f8ee98 - litex_sim: add option to create SDRAM module from SPD data <Jędrzej Boczar>
    * | | 0b3c4b50 - soc/cores/spi: add optional aligned mode. <Florent Kermarrec>
    * | | 6bb22dfe - cores/spi: simplify. <Florent Kermarrec>
    * | | fc434af9 - build/lattice/common: add specific LatticeiCE40SDROutputImpl/LatticeiCE40SDRTristateImpl (thanks @tnt). <Florent Kermarrec>
    * | | 1457c320 - xilinx/common: use a common SDRTristate implementation for Spartan6, 7-Series and Ultrascale. <Florent Kermarrec>
    * | | 69462e66 - build/xilinx/common: add 7-Series/Ultrascale SDROutput/Input. <Florent Kermarrec>
    * | | 65e6ddc6 - lattice/common: add LatticeECP5DDRInput. <Florent Kermarrec>
    * | | 2031f280 - lattice/common: cleanup instances, simplify tritates. <Florent Kermarrec>
    * | | 2d25bcb0 - lattice/common: add LatticeiCE40DDRInput, LatticeiCE40SDROutput and LatticeiCE40SDRInput. <Florent Kermarrec>
    | |/
    |/|
    * | 56e15284 - platforms/de0nano: swap serial tx/rx to ease use of cheap FT232 based cables. <Florent Kermarrec>
    * | 08e4dc02 - tools/remote/etherbone: update import. <Florent Kermarrec>
    |/
    * 19f983c4 - targets: manual define of the SDRAM PHY no longer needed. <Florent Kermarrec>
    * c0f3710d - bios/sdram: update/simplify with new exported LiteDRAM parameters. <Florent Kermarrec>
    * 3915ed97 - litex_sim: add phytype to PhySettings. <Florent Kermarrec>
    * c0c5ae55 - build/generic_programmer: move requests import to do it only when needed. <Florent Kermarrec>
    * c9ab5939 - bios/sdram/ECP5: set ERR_DDRPHY_BITSLIP to 4. <Florent Kermarrec>

 * litex-boards changed from cb95962 to 0b11aba
    * 0b11aba - platforms/nexys_video: add spisdcard pins. <Florent Kermarrec>
    * 76df4e3 - targets: simplify Ethernet/Etherbone integration on targets with both. <Florent Kermarrec>
    * 2e1a816 - pano_logic_g2: switch to LiteEthPHY and simplify Ethernet/Etherbone. <Florent Kermarrec>
    *   33fe308 - Merge pull request #78 from antmicro/jboc/spd-read <enjoy-digital>
    |\
    | * e5578a1 - zcu104/platform: change I2C number to 0 <Jędrzej Boczar>
    | * ac1f1cd - zcu104: add I2C <Jędrzej Boczar>
    * | 71f220a - colorlight_5a_75b: remove unnecessary parenthesis. <Florent Kermarrec>
    * | 2f3817c - pano_logic_g2: add ethernet (build but not functional yet) and use user_btn_n as sys_rst. <Florent Kermarrec>
    * | f19bc36 - pano_logic_g2: add revision support (b and c, c as default) and add OpenOCD programmer. <Florent Kermarrec>
    * | d6518c7 - prog/openocd: fix openocd_xc6 cfgs. <Florent Kermarrec>
    * | 22f18f6 - pano_logic_g2: move gmii_rst_n to _CRG. <Florent Kermarrec>
    * |   935a711 - Merge pull request #77 from skiphansen/master <enjoy-digital>
    |\ \
    | * | 0648c04 - Updated comment, added link to clocking documentation. <Skip Hansen>
    | * | 1ab4656 - Take Ethernet PHY out of reset so default clock is 125 Mhz (and baud rate is 115,200) <Skip Hansen>
    |/ /
    * | 9b572ec - forest_kitten_33: add minimal target and use es1. <Florent Kermarrec>
    * |   7ad0363 - Merge pull request #76 from gsomlo/gls-nexys4-spisdcard <Tim Ansell>
    |\ \
    | * | 435913f - platforms/nexys4ddr: add option to build with spi-mode sdcard support <Gabriel Somlo>
    |/ /
    * | 0d549a8 - platforms: add forest_kitten_33 initial platform suppport. <Florent Kermarrec>
    * | 12b54a7 - platforms/alveo_u250: add clk300 clock constraints. <Florent Kermarrec>
    * | 46f78b5 - nexys_video: add usb_fifo pins. <Florent Kermarrec>
    * | 445338e - platforms/nexys_video: add specific openocd cfg (use channel 1). <Florent Kermarrec>
    * | 5aeb7d8 - targets/acorn_cle_215: fix typo in description. <Florent Kermarrec>
    * | eeba64d - targets: use soc.build_name in load/flash bitstream. <Florent Kermarrec>
    * | 76551de - platforms/nexys_video: add sdcard pins, move clk/rst to top. <Florent Kermarrec>
    * | 83457b8 - platforms/arty: add _sdcard_pmod_io. <Florent Kermarrec>
    * | 8158d94 - targets/c10lprefkit: switch to litehyperbus. <Florent Kermarrec>
    * | 587caf7 - paltforms/marblemini: add break_off_pmod. <Florent Kermarrec>
    * | c2cd863 - platforms/ecp5_evn: rename spiflash1x to spiflash, rewrite hardware/configuration description and remove make_spiflash. <Florent Kermarrec>
    * |   3f0f120 - Merge pull request #70 from ilya-epifanov/ecp5-evn-spi1x-and-flash-params <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 0ba8045 - Added spiflash1x pins, a method to create an SpiFlash instance and a note on a second UART channel of FT2232H <Ilya Epifanov>
    * | b9ee3a7 - alveo_u250: re-organize the auto-generated IOs, add build/load parameters. <Florent Kermarrec>
    * | c0b7afc - targets/alveo_u250: +x. <Florent Kermarrec>
    * | 67d2a49 - tools/extract_xdc_pins: +x. <Florent Kermarrec>
    * | 482d7a6 - targets/pcie: use 128-bit datapath and 8 max_pending_requests on pcie_x4 configurations. <Florent Kermarrec>
    * | 2bb7fce - targets/acorn_cle_215: add minimal instructions to reproduce the results. <Florent Kermarrec>
    * |   6757c4e - Merge pull request #71 from daveshah1/alveo_u250 <enjoy-digital>
    |\ \
    | * | 088ccec - Add Alveo U250 platform and target <David Shah>
    | |/
    * | c7404e3 - targets/acorn_cle_215: switch to MT41K512M16 (Acorn has a 1GB DDR3 vs 512MB on NiteFury). <Florent Kermarrec>
    * | d05b10f - target/camlink_4k: add missing import. <Florent Kermarrec>
    * | b211c43 - test/test_targets: add acorn_cle_215 and marblemini. <Florent Kermarrec>
    * | 4faa91c - platforms/marblemini: review/cleanup. <Florent Kermarrec>
    * |   4ffdcb5 - Merge pull request #75 from jersey99/marblemini <enjoy-digital>
    |\ \
    | * | e4ccfcf - platforms/marblemini.py: Cleanup. Add openocd for programming marblemini <Vamsi K Vytla>
    | * |   a7d6de7 - Merge branch 'master' into marblemini <Vamsi K Vytla>
    | |\ \
    | * | | 5f7f087 - community/platforms/marblemini.py: Added marblemini from https://github.com/berkeleylab/marble-mini/ <Vamsi K Vytla>
    |  / /
    * | | 6f22f08 - targets: add LedChaser on platforms with user_leds. <Florent Kermarrec>
    * | |   b9a0f23 - Merge pull request #74 from tommythorn/master <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | 6335717 - targets/orangecrab.py: propagate command arguments <Tommy Thorn>
    * | | 19b12fd - targets/panol_logic_g2: replace with a minimal target. <Florent Kermarrec>
    * | | 99c0435 - platforms/pano_logic_g2: simplify/cleanup. <Florent Kermarrec>
    * | | 6b5492a - pano_logic_g2: add copyrights. <Florent Kermarrec>
    * | | 6ddd859 - add pano_logic_g2 from litex-buildenv. <Florent Kermarrec>
    * | | 27c242b - targets/pcie: switch to PCIe X4 on all boards that support it. <Florent Kermarrec>
    * | | f993953 - targets/pcie: update LitePCIe constraints. <Florent Kermarrec>
    |/ /
    * | d34c3ba - prog: use different openocd config files for FT232/FT2232. <Florent Kermarrec>
    * | 117d1a1 - prog: add colorlight_5a_75b openocd config. <Florent Kermarrec>
    * | e500d90 - platforms/ecpix5: set pullups on rx_data to advertise as RGMII mode. <Florent Kermarrec>
    * | 59e8c2c - acorn_cle_215: add .bin generation and --flash argument, working on hardware :). <Florent Kermarrec>
    * | a049fa6 - add Acorn CLE 215+ platform/target. <Florent Kermarrec>
    * | da61aab - targets: remove USDDRPHY_DEBUG and set cmd_latency to on all Kintex7/Ultrascale targets. <Florent Kermarrec>
    * | b58b9b9 - platforms: fix CI. <Florent Kermarrec>
    * | 2d9543b - targets: add build/load parameters on all targets. <Florent Kermarrec>
    * | 19eb570 - platforms: make sure all traditional platforms have a create_programmer method. <Florent Kermarrec>
    * | 84468c2 - targets/CRG: platforms are now automatically constraining the input clocks. <Florent Kermarrec>
    * | 1f88a9d - platforms: make sure clocks inputs are constraints on all platforms. <Florent Kermarrec>
    * | 86648ec - platforms/vcu118: rename ddram_second_channel to ddram:1. <Florent Kermarrec>
    * | e1820c7 - platforms/ac701: indent HPC. <Florent Kermarrec>
    * | 2129b67 - platforms: make sure all plarforms have separators. <Florent Kermarrec>
    * | ea0eda9 - platforms: make sure all Xilinx/Altera platforms have a create_programmer method, use OpenOCD on Spartan6 and 7-Series. <Florent Kermarrec>
    * | 588bbac - add prog directory with some Xilinx OpenOCD configurations files. <Florent Kermarrec>
    * | 78b5727 - targets: rename usb_cdc to usb_acm. <Florent Kermarrec>
    |/
    * 2213d73 - targets/kcu105: use cmd_latency=1. <Florent Kermarrec>
    * a8a42c5 - targets/kc705: manual DDRPHY_CMD_DELAY adjustment no longer needed. <Florent Kermarrec>
    * 865b01e - ecpix5: add ethernet. <Florent Kermarrec>
    * 6fe4c4e - ecpix5: add DDR3 (working) <Florent Kermarrec>
    * efb13bc - add mininal ECPIX-5 board support (Clk/Rst/Leds/UART), BIOS working. <Florent Kermarrec>
    * 4154bdf - targets/PCIe: add PCIe software reset. <Florent Kermarrec>
    * 4ad6042 - platforms/de0nano: swap serial tx/rx to ease use of cheap FT232 based cables. <Florent Kermarrec>
    * 4185a01 - targets: manual define of the SDRAM PHY is no longer needed. <Florent Kermarrec>

 * migen changed from 0.6.dev-337-g19d5eae to 0.6.dev-347-gb1b2b29
    * b1b2b29 - zc706: redo FMC connectors <Astro>
    * 0d16e03 - kasli: add DCXO pins <Sebastien Bourdeauducq>
    * ea1eefe - zc706: add FMC HPC connector HA pins <Astro>
    * dc9cfe6 - kasli2: add upper EEMs <Sebastien Bourdeauducq>
    * 6809ee0 - kasli2: add cdr_clk and cdr_clk_clean <Sebastien Bourdeauducq>
    * afc6b02 - zc706: fix LAxx_CC pin naming consistency with KC705 <Sebastien Bourdeauducq>
    * 0762612 - zc706: add user_sma_clock <Sebastien Bourdeauducq>
    * e71f21e - zc706: add FMC connectors <Astro>
    * 5b5e4fd - kasli: typo <Sebastien Bourdeauducq>
    * bea0bdc - kasli: v2.0 support (WIP) <Sebastien Bourdeauducq>

Full submodule status
--
 3a6108a75be356a3dc53760d22782f1323248b6b edid-decode (heads/master)
 3a06aa84b62ad24467fb0d2c6ceddf565e9ea447 flash_proxies (heads/master)
 d62fd24c81c54dae6eb7f06092316215b73237ad litedram (2020.04-43-gd62fd24)
 0feed1720d0063ff67210728ecfe422891f809e0 liteeth (2020.04-7-g0feed17)
 6fdd02058fba29008c90b162e0ef707dce15ebeb liteiclink (2020.04)
 b0e8383d6179ee81a708204d4db9263d6fadc6c8 litepcie (2020.04-12-gb0e8383)
 2e5c5b12d52f695f4560ca7dd08b4170d7568715 litesata (2020.04)
 54488c0f4d6e9e953f1a0de3d578915a5e4ccddf litescope (2020.04)
 7457a29b1a47fe15e81fa37f3bbdd510788f1d53 liteusb (heads/master)
 41f30143075ece3fff5c33a332ed067d1837cbb3 litevideo (2020.04)
 77139289f86a412deb0da7f783ea44ded5e05900 litex (2020.04-260-g77139289)
 0b11aba8a18a9e38f6a49e7f291c072e80e3224e litex-boards (2020.04-71-g0b11aba)
 7da392963878842f93f09a7a218c1052ae5e45d6 litex-renode (remotes/origin/HEAD)
 b1b2b298b85a795239daad84c75be073ddc4f8bd migen (0.6.dev-347-gb1b2b29)
 8f5a253b22cd4ebcd56304a3662f4c70e3b34ed5 nmigen (v0.1-69-g8f5a253)
mateusz-holenko added a commit to antmicro/litex-buildenv that referenced this pull request Jun 25, 2020
 * litedram changed from de55a8e to d62fd24
    *   d62fd24 - Merge pull request #201 from antmicro/jboc/spd-read <enjoy-digital>
    |\
    | * 4233f86 - modules/spd: save SPD data in SDRAMModule to allow for runtime verification <Jędrzej Boczar>
    * | f23cb80 - litedram_gen: revert builder.build(..., regular_comb=False). <Florent Kermarrec>
    * | d1db115 - litedram_gen: review/simplify #197. <Florent Kermarrec>
    * |   a8e281f - Merge pull request #197 from ozbenh/standalone-sim <enjoy-digital>
    |\ \
    | * | d0f0c94 - phy/model: Don't generate empty mem_*.init files <Benjamin Herrenschmidt>
    | * | b8d6da5 - gen: Allow generation of a standalone sim model <Benjamin Herrenschmidt>
    * | |   83b9a1d - Merge pull request #199 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \ \
    | |/ /
    |/| /
    | |/
    | * cbe91bc - modules: add function for parsing SPD EEPROM dumps from BIOS firmware <Jędrzej Boczar>
    * | 639a31f - test/test_timing: update test_txxd_controller. <Florent Kermarrec>
    * | 3c1ab76 - litedram/common/tXXDController: only set reset to 1 when txxd is None. <Florent Kermarrec>
    |/
    *   e95af3f - Merge pull request #195 from enjoy-digital/bios-libs <enjoy-digital>
    |\
    | * fe48a92 - test/reference: update. <Florent Kermarrec>
    | * c30910a - init: generate DFII_CONTROL flags in sdram_phy.h instead of defining them in the BIOS. <Florent Kermarrec>
    |/
    * 5078b19 - core/crossbar: remove retro-compat > 6 months old. <Florent Kermarrec>
    * 3b105d5 - modules: fix SDRAMRegisteredModule. <Florent Kermarrec>
    *   b2a5685 - Merge pull request #189 from daveshah1/ddr4_rdimm_init <enjoy-digital>
    |\
    | * 70054ba - Add support for DDR4 RDIMMs <David Shah>
    * | 7ae4ad5 - modules: add SDR/DDR/DDR2/DDR3/DDR4 SDRAMModule (and Registered versions). <Florent Kermarrec>
    * | 1f7d9eb - litedram_gen: pass FPGA speedgrade to iodelay_pll. <Florent Kermarrec>
    * | f4871b9 - litedram_gen: use default settings on wb_bus. <Florent Kermarrec>
    * | 6fb8396 - litedram_gen: remove csr_base (no longer needed since CPUNone type will automatically set csr mapping to 0x00000000) and create a use bus with the same address_width as the main bus of the SoC. <Florent Kermarrec>
    * | 94c215e - litedram_gen: review/simplify #193, always add ddrctrl. <Florent Kermarrec>
    * |   f036ec2 - Merge pull request #193 from ozbenh/standalone-cores <enjoy-digital>
    |\ \
    | * | 04717b4 - gen: Rename standalone core wishbone <Benjamin Herrenschmidt>
    | * | b0838f7 - gen: Add option to specify CSR alignment <Benjamin Herrenschmidt>
    | * | d5a03b3 - gen: Add option to generate DDRCTL on standalone cores <Benjamin Herrenschmidt>
    | * | efad6b3 - gen: Add option to specify CSR base for standalone cores <Benjamin Herrenschmidt>
    | * | c91cbb5 - gen: Remove obsolete bus_expose config option <Benjamin Herrenschmidt>
    |/ /
    * | 4e539ad - litedram_gen: switch to SoCCore. <Florent Kermarrec>
    * | ac33d29 - litedram_gen: simplify and expose bus when CPU is set to None. <Florent Kermarrec>
    * | fe47838 - litedram_gen: expose a Bus Slave port instead of a CSR port. <Florent Kermarrec>
    * | 52b49fb - test/reference: update. <Florent Kermarrec>
    * | 52ca393 - modules: add MT41J512M16/MT41K512M16. <Florent Kermarrec>
    * | 589957f - phy: extend Bitslip capability to 2 sys_clk cycles. <Florent Kermarrec>
    * | 5c0231d - common/BitSlip: add cycles parameter to extend bitstlip to multiple system clock cycles. <Florent Kermarrec>
    * | ed0810a - gen: Optionally pass cpu_variant from YAML to SoC <Benjamin Herrenschmidt>
    |/
    *   dfe6f90 - Merge pull request #188 from daveshah1/ddr4_dimm_x4 <enjoy-digital>
    |\
    | * 5b4381b - usddrphy: Support for x4 chip based DIMMs <David Shah>
    * |   9f136c0 - Merge pull request #187 from daveshah1/add_MTA18ASF2G72PZ <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 97f0a37 - modules: Add MTA18ASF2G72PZ DDR4 RDIMM <David Shah>
    |/
    * 9a2d3f0 - common: add PHYPadsReducer to only use specific DRAM modules. <Florent Kermarrec>
    * 20a849c - test/reference: update ddr4_init.h <Florent Kermarrec>
    *   cec3a99 - Merge pull request #181 from antmicro/jboc/eeprom-timings <enjoy-digital>
    |\
    | * 312bce2 - modules: pass rate automatically when creating module from SPD data <Jędrzej Boczar>
    | * 07bbd79 - modules: update existsing SO-DIMM timings based on SPD data <Jędrzej Boczar>
    | * cf83ac6 - test: improve SPD tests of Micron DDR3 SO-DIMM modules <Jędrzej Boczar>
    | * 854a614 - modules: fix calculations of speedgrade from tck in SPD data <Jędrzej Boczar>
    | * c744204 - modules: fix nrows in MT8KTF51264 <Jędrzej Boczar>
    | * 3980e06 - modules: add option to load module parameters from SPD data <Jędrzej Boczar>
    * | 48c2fc2 - phy: simplify/improve dqs preamble/postamble. <Florent Kermarrec>
    * | eaf0691 - phy/ecp5ddrphy: simplify, working with dqs preamble/postamble. <Florent Kermarrec>
    * | 12a017f - phy/ecp5ddrphy: simplify/cleanup. <Florent Kermarrec>
    * | 62915cd - phy: rework BitSlip to simplify integration, add DQSPattern module. <Florent Kermarrec>
    * | 9ff9e82 - phy/usddrphy: move pads.ten control to control block. <Florent Kermarrec>
    * | 91a9a2a - phy/ecp5ddrphy: fix dqs preamble/postamble control. (make it similar to 7-series/Ultrascale). <Florent Kermarrec>
    * | 5d29686 - phy/s7ddrphy/usddrphy: more compact write dqs postamble/preamble control path. <Florent Kermarrec>
    * | 8d0e7f6 - phy/usddrphy: simplify/cleanup write control path/dqs postamble/preamble control path. <Florent Kermarrec>
    * | 57b16c2 - phy/usddrphy: simplify/cleanup write control path, add DQS Pre/Postamble support. <Florent Kermarrec>
    * | 1462a43 - phy/usddrphy: cleanup/simplify read control path. <Florent Kermarrec>
    * | cd671f9 - phy/s7ddrphy: cleanup/simplify read control path. <Florent Kermarrec>
    * | d061e60 - test/reference: update. <Florent Kermarrec>
    * | 45a03df - phy/init: add phytype to PhySettings and export more parameters to C header to simplify software. <Florent Kermarrec>
    * | 2df9004 - init: improve ident. <Florent Kermarrec>
    * | eca7fc2 - phy/ecp5ddrphy: remove Bitslip from comment (no longer present). <Florent Kermarrec>
    * | f4f2948 - phy/ecpddrphy: remove Bitslip (not used and redundant with dqs_read) and use BitSlip software control to move dqs_read. <Florent Kermarrec>
    * | e2b4c2b - phy/ecp5ddrphy: cosmetics. <Florent Kermarrec>
    |/
    * f68f1dd - phy/ecp5ddrphy/control: cleanup/simplify and document (no functional changes). <Florent Kermarrec>
    * fdf7c76 - phy/control: cleanup/simplify (no functional changes). <Florent Kermarrec>
    * a767618 - phy: improve flow control readability (add separators between Read/Write/Write DQS Postamble/Preamble parts). <Florent Kermarrec>

 * liteeth changed from 705003e to 0feed17
    * 0feed17 - phy/gmii/CRG: add BUFG on RX and do the TX clock Mux with combinatorial logic (from @skiphansen initial work). <Florent Kermarrec>
    * 53c9eb9 - core/ip: move mcase_oui/ip_mask definition to common and set target_mac with NextValue. <Florent Kermarrec>
    *   58e1681 - Merge pull request #41 from shuffle2/mcast <enjoy-digital>
    |\
    | * 6d00ec1 - iptx: support multicast mac and bypass arp table <Shawn Hoffman>
    * | 8afdec9 - phy/ecp5rgmii: review/simplify inband_status integration. <Florent Kermarrec>
    * |   55af430 - Merge pull request #40 from shuffle2/master <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 26c4e41 - ecp5rgmii: enable reading inband PHY_status <Shawn Hoffman>
    |/
    * dc67e6d - phy/ecp5rgmii: use DDROutput/DDRInput now available for ECP5. <Florent Kermarrec>

 * litepcie changed from 586ef78 to b0e8383
    * b0e8383 - frontend/dma/LitePCIeDMAReader: immediately return to IDLE state when disabled. <Florent Kermarrec>
    *   4e333cb - Merge pull request #29 from sergachev/master <enjoy-digital>
    |\
    | * 8192494 - kernel: remove unnecessary call to pci_release_regions() on device remove <Ilia Sergachev>
    |/
    * c2fd143 - phy/s7pciephy: expose disable_constraints parameter to use_external_hard_ip. <Florent Kermarrec>
    * 6049a69 - litepcie_gen: add optional Sphinx/Html doc generation with --doc. <Florent Kermarrec>
    * 6bb89af - phy/s7pciehy: disable constraints generated from the .xci and use our owns. <Florent Kermarrec>
    *   ef7d40e - Merge pull request #28 from sergachev/master <enjoy-digital>
    |\
    | * 3eb2b86 - test_dma: remove unused imports and variables, fix mistypes <Ilia Sergachev>
    |/
    *   9a3ada5 - Merge pull request #27 from sergachev/master <enjoy-digital>
    |\
    | * e637090 - dma: fix another couple of mistypes <Ilia Sergachev>
    | * 4c4e3bf - dma: fix mistypes in comments <Ilia Sergachev>
    |/
    * 3ca6e38 - frontend/dma/monitor: reduce count_width from 32-bit (default) to 16-bit. <Florent Kermarrec>
    * 22faa07 - litepcie_gen: add pcie_data_width support. <Florent Kermarrec>
    *   96a6cdc - Merge pull request #25 from sergachev/master <enjoy-digital>
    |\
    | * 5804b43 - software: fix definitions <Ilia Sergachev>
    * 0496daf - litepcie_gen: add buffers on DMA sink/source to ensure data are clocked on LitePCIe interface and ease integration. <Florent Kermarrec>
    * 7818ace - frontend/dma: fix level CSRStatus size (+1). <Florent Kermarrec>
    * a85b1d7 - tlp/controller: expose cmp_bufs_buffered parameter. <Florent Kermarrec>
    * 264d7f3 - frontend/dma: allow asymetric writer/reader buffering depths. <Florent Kermarrec>
    * 9cb938e - frontend/dma: expose table_depth parameter. <Florent Kermarrec>
    * a6d836e - gen/examples: add software reset. <Florent Kermarrec>

 * litex changed from 2d018826 to 77139289
    *   77139289 - Merge pull request #552 from ozbenh/memspeed-long <Tim Ansell>
    |\
    | * 6239eac1 - sdram: Use unsigned long for memory test <Benjamin Herrenschmidt>
    * |   a116578c - Merge pull request #550 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \
    | * | a433c837 - bios/litedram: add option to verify SPD EEPROM memory contents <Jędrzej Boczar>
    | * | 1692dfbf - build/sim/spdeeprom: use hex format when loading from file <Jędrzej Boczar>
    * | |   b98a9192 - Merge pull request #549 from antmicro/mglb/fix-vivado-yosys <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | a4e83234 - build/xilinx: do not assume build name is "top" <Mariusz Glebocki>
    |/ /
    * |   5cc7a988 - Merge pull request #547 from gsomlo/gls-fix-sdcard-status <enjoy-digital>
    |\ \
    | * | 28290efd - soc/software/litesdcard: update for response register back to 128 bits <Gabriel Somlo>
    * | | 395af900 - interconnect/wishbone/DownConverter: skip accesses on slave when sel==0 and simplify. <Florent Kermarrec>
    * | | 511832a9 - soc/interconnect/axi: generate wishbone.sel for reads. <Florent Kermarrec>
    * | | 4f82a36a - soc/software: only keep 32-bit CSR alignment support. <Florent Kermarrec>
    |/ /
    * | 75936775 - wishbone/wishbone2csr: use wishbone.sel on CSR write. <Florent Kermarrec>
    * | b1ec092e - soc/software/litesdcard: use new send register to send command and remove CSR8_CMD_FIX. <Florent Kermarrec>
    * | efcba14b - platforms/nexys_video: add spisdcard pins. <Florent Kermarrec>
    * | 119ce56f - targets/nexys_video: add spi-sdcard and sdcard support. <Florent Kermarrec>
    * | cc595017 - plaforms/nexys_video: keep up to date with litex-boards. <Florent Kermarrec>
    * | 5cc564fb - targets: simplify Ethernet/Etherbone integration on targets with both. <Florent Kermarrec>
    * | 55c7461e - bios/cmds/cmd_litesdcard: rewrite comments/descriptions. <Florent Kermarrec>
    * | 6cb03963 - bios/main: replace / with -. <Florent Kermarrec>
    * |   5dd5f97b - Merge pull request #545 from gsomlo/gls-fix-mmptr <enjoy-digital>
    |\ \
    | * | 3e1b17d4 - csr: fix simple accessor alignment <Gabriel Somlo>
    * | | 6c1e2d84 - software/liblitesdcard: replace hexdump with dump_bytes already available in the BIOS. <Florent Kermarrec>
    |/ /
    * | 9e068a74 - soc/add_sdcard: add with_emulator parameter to use SDCard emulator (from Google Project Vault) and integrate it in litex_sim. <Florent Kermarrec>
    * | 2ae55e80 - setup.py: add litex_jtag_uart and litex_crossover_uart to console_scripts. <Florent Kermarrec>
    * | 62d939e8 - Merge pull request #543 from antmicro/jboc/eeprom-sim <enjoy-digital>
    |\|
    | * a0ce4ce5 - litex/build/sim: add module for simulating SPD EEPROM <Jędrzej Boczar>
    * | c4f96318 - targets/nexys4ddr: fix sdcard assert. <Florent Kermarrec>
    * | 76cc112e - bios: add main bus and csr bus infos, use KiB/GiB. <Florent Kermarrec>
    |/
    * 02072dea - integration/soc/add_sdcard: always use 32-bit/512bytes memories (not sure this will change?) and allocate sdwrite/sdread regions dynamically. <Florent Kermarrec>
    * 4b3afa75 - integration/soc: add add_sdcard method with integration code from nexys4ddr. <Florent Kermarrec>
    * c78caeb9 - csr: Fix definition(s) of CSR_BASE in generated headers <Benjamin Herrenschmidt>
    * f8bb500a - liblitedram/sdram: Add option to disable cdelay() <Benjamin Herrenschmidt>
    * 6d72ef28 - cpu/serv: add variants. <Florent Kermarrec>
    * fd7ec50e - soc/integration/export: add optional csr_base parameter. <Florent Kermarrec>
    * 795ff08a - build/sim/verilator: add regular_comb parameter (that defaults to False) and pass it to get_verilog. <Florent Kermarrec>
    *   25d2e7c9 - Merge pull request #542 from gsomlo/gls-sdcard-followup <enjoy-digital>
    |\
    | * 6da98ca1 - software/bios: fixup sdclk command <Gabriel Somlo>
    * |   3fd6ecd8 - Merge pull request #541 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \
    | * | 1172c10a - bios: move I2C from liblitedram to libbase <Jędrzej Boczar>
    | * | 472bf9ac - bios/sdram: expose I2C functions <Jędrzej Boczar>
    | * | bdc7eb5c - litex_sim: load SPD data from files in hexdump format as printed in BIOS <Jędrzej Boczar>
    | * | a42dc974 - bios/sdram: add BIOS command for reading SPD <Jędrzej Boczar>
    | * | 8fd3e74e - bios/sdram: add firmware for reading SPD EEPROM <Jędrzej Boczar>
    * | | 68f83cbc - CHANGES: document deprecated/moved modules. <Florent Kermarrec>
    * | | ab806060 - soc/core/uart: move WishboneStreamingBridge in it and rename to Stream2Wishbone. <Florent Kermarrec>
    * | | 0a3d649a - interconnect/wishbone: integrate Wishbone2CSR. <Florent Kermarrec>
    * | | b5b88d27 - interconnect/csr_bus: add separators. <Florent Kermarrec>
    * | | 86952a6e - interconnect/wishbone: remove CSRBank (probably not used by anyone). <Florent Kermarrec>
    * | | e404608c - interconnect/wishbone: add separators and move SDRAM/Cache. <Florent Kermarrec>
    * | | 1fddd0e3 - interconnect/wishbone: simplify DownConverter. <Florent Kermarrec>
    * | | e0d26820 - interconnect/wishbone: remove UpConverter (probably not used by anyone and would need to be rewritten). <Florent Kermarrec>
    | |/
    |/|
    * | 696b31ed - tools/litex_sim: switch to SoCCore/add_sdram instead of SoCSDRAM. <Florent Kermarrec>
    * | 2efcf879 - targets/nexys4ddr: update add_sdcard method. <Florent Kermarrec>
    * | 2934c085 - CHANGES: add JTAG UART. <Florent Kermarrec>
    * | 3b47d4a4 - tools/litex_jtag_uart: add openocd config and telnet port parameters. <Florent Kermarrec>
    * | 67cf6703 - cpus: remove common cpu variants/extensions definition and simplify variant check. <Florent Kermarrec>
    * | 062ff67e - cpu/microwatt: add standard+ghdl variant that uses GHDL Yosys plugin. <Florent Kermarrec>
    * | 24687cbd - tools/litex_client/RemoteClient: add base_address parameter. <Florent Kermarrec>
    * | 78a9579e - cores/uart/RS232PHYTX: fix startbit duration by pre-loading phase_accumulator_tx to tuning_word. <Florent Kermarrec>
    * |   370e4652 - Merge pull request #539 from dayjaby/pr-fix_uart_startbit <enjoy-digital>
    |\ \
    | * | e853ad4b - fix uart startbit: 1 cycle later <David Jablonski>
    * | | c75cf45a - tools: add litex_jtag_uart to create a virtual uart for the jtag uart. <Florent Kermarrec>
    * | | 2cf83b9f - tools: rename litex_crossover poc to litex_crossover_uart, remove from setup for now. <Florent Kermarrec>
    * | | bed5aafd - tools: add litex_crossover to be able to use lxterm (and serialboot) over a crossover UART (bridged over UART/Ethernet/PCIe/USB, etc...). <Florent Kermarrec>
    * | | 3833bc3e - litex_sim: override uart_name to sim only for serial. <Florent Kermarrec>
    * | | da7fd308 - CHANGES: update. <Florent Kermarrec>
    * | | 2fb52e66 - integration/soc: remove TODO in header. <Florent Kermarrec>
    * | | b65f18c3 - cpu/cv32e40p: fix copyright year. <Florent Kermarrec>
    * | | 30f35170 - cpu/cv32e40p: add copyright and improve indentation. <Florent Kermarrec>
    * | | b23702ec - litex_setup/pythondata-cpu-cv32e40p: clone in recursive mode. <Florent Kermarrec>
    * | |   4c4cd335 - Merge pull request #535 from antmicro/arty-cv32e40p <enjoy-digital>
    |\ \ \
    | * | | 2d6ee5aa - cores/cpu: add cv32e40p <Piotr Binkowski>
    | * | | ca8cb834 - software/bios/isr: add support for cv32e40p <Piotr Binkowski>
    | * | | 2903b1bf - litex_setup: add pythondata for cv32e40p <Piotr Binkowski>
    * | | |   7d09ea19 - Merge pull request #538 from antmicro/fix_libbase <enjoy-digital>
    |\ \ \ \
    | * | | | 9d16b0fc - libbase: Include missing uart header <Mateusz Hołenko>
    |/ / / /
    * | | | 3d06dc02 - test/test_targets: update build_test. <Florent Kermarrec>
    * | | | 42350f6d - platforms/targets: keep in sync with litex-boards. <Florent Kermarrec>
    * | | | 2eea7864 - build/sim: rename dut to sim (for consistency with other builds). <Florent Kermarrec>
    * | | | a6cbbc9d - integration/soc: set build_name to platform.name when not specified. <Florent Kermarrec>
    * | | | 16417cb8 - software/liblitespi: fix #endif location. <Florent Kermarrec>
    * | | |   9bdb063b - Merge pull request #516 from antmicro/i2s_support_arty <enjoy-digital>
    |\ \ \ \
    | * | | | ce499900 - Extend I2S capabilities <Pawel Sagan>
    * | | | |   c2e9a26e - Merge pull request #534 from fjullien/fix_litex_sim_warn <enjoy-digital>
    |\ \ \ \ \
    | |/ / / /
    |/| | | |
    | * | | | 7c5f56c2 - litex/sim: fix compiler warnings <Franck Jullien>
    |/ / / /
    * | | |   6fedaa70 - Merge pull request #533 from antmicro/fix-dummy-bits-function-name <enjoy-digital>
    |\ \ \ \
    | * | | | ab41e27e - software/liblitespi/spiflash: fix dummy bits setup function name <Jan Kowalewski>
    |/ / / /
    * | | | d71152ef - litex_setup: move requests import to avoid having to install it on travis. <Florent Kermarrec>
    * | | | 9854fdd5 - .travis: install  requests package before running litex_setup.py. <Florent Kermarrec>
    * | | | bd0f21ba - targets/netv2: remove LiteSPI integration (not mature enough to be directly integrated on targets). <Florent Kermarrec>
    * | | | 80eca300 - software/liblitespi/spiflash: review/simplify/update and test on arty. <Florent Kermarrec>
    * | | | 4a175620 - build/xilinx: simplify LITEX_ENV_ISE/VIVADO handling. <Florent Kermarrec>
    * | | | e91c3171 - software/bios: cleanup includes and specify the lib in the include. <Florent Kermarrec>
    * | | | c3a03d0d - software: create liblitespi and mode litespi code to it (with some parts commented out for now). <Florent Kermarrec>
    * | | | 61238bee - soc/software/bios: add autoconfiguration functionality for LiteSPI core <Jan Kowalewski>
    * | | | d3890055 - litex_setup: add automatic update of litex_setup (because it also changes) and be sure we are on master branch before update. <Florent Kermarrec>
    * | | |   939f546a - Merge pull request #531 from gsomlo/gls-bios-linker <enjoy-digital>
    |\ \ \ \
    | |_|/ /
    |/| | |
    | * | | c5524dbf - software/bios: fix link order to avoid undefined symbol errors <Gabriel Somlo>
    |/ / /
    * | | b4267a79 - build/xilinx: source settings64.sh automatically just before build if LITEX_ENV_ISE/LITEX_ENV_VIVADO environment variables are set. <Florent Kermarrec>
    * | | de7e0ee9 - integration/soc_core: avoid cpu_variant check if custom cpu_cls is passed. <Florent Kermarrec>
    * | | 6f8f0d23 - litex_setup: add litehyperbus and remove hyperbus core/test. <Florent Kermarrec>
    |/ /
    * | 109fd267 - integration/builder: simplify default output_dir to "build/platform". <Florent Kermarrec>
    * | 55c0ddab - litex_setup: add sha1 support on git clone/pull and fix microwatt to a specific sha1. <Florent Kermarrec>
    |/
    *   23d43a2c - Merge pull request #530 from enjoy-digital/bios-libs <enjoy-digital>
    |\
    | * 7192397a - software/libbase: remove linker-sdram (unused). <Florent Kermarrec>
    | * b4b84def - software/bios: mode spisdcard code to liblitesdcard. <Florent Kermarrec>
    | * 21e2a34c - software/bios: rename commands to cmds and update with libs' names. <Florent Kermarrec>
    | * 33f6ce74 - software/bios: move hw flags definitions to respective libs, remove hw/flags.h. <Florent Kermarrec>
    | * 403355a8 - software: create liblitescard and move sdcard init/test code to it. <Florent Kermarrec>
    | * 920d0ee5 - software: create liblitedram and move sdram init/test code to it. <Florent Kermarrec>
    | * c95084e5 - bios/software: rename cmd_dram/cmd_sdcard/cmd_spi_flash to cmd_litedram/cmd_litesdcard/cmd_spiflash. <Florent Kermarrec>
    | * 573a8815 - software/bios/commands: rename cmd_mdio to cmd_liteeth. <Florent Kermarrec>
    | * ff8d9e61 - software/bios: move mdio to libliteeth. <Florent Kermarrec>
    | * 70a67ce7 - software/bios: rename libnet to libliteeth and move all ethernet files to it. <Florent Kermarrec>
    | * 56b8723b - software/bios: rename cmd_mem_access to cmd_mem. <Florent Kermarrec>
    |/
    * a02077d5 - cpu/microwatt/add_sources: add use_ghdl_yosys_synth parameter to convert microwatt to verilog using GHDL-Yosys-plugin and use converted verilog for build. <Florent Kermarrec>
    * b5352f40 - cpu/microwatt: update microwatt_wraper.vhdl <Florent Kermarrec>
    * be25500e - uptime: rework and integrate it in Timer to ease software support. <Florent Kermarrec>
    * d6549ff8 - bios: add uptime command and rewrite cmd_bios comments. <Florent Kermarrec>
    * fc0e55be - soc: improve uptime comments. <Florent Kermarrec>
    *   840679ad - Merge pull request #526 from rprinz08/master <enjoy-digital>
    |\
    | * 3f649077 - Make booting from SD-Card to behave same as from SPI flash <rprinz08>
    * | 82364de5 - soc/SoCController: add uptime since start (disabled by default) and allow features to be enabled/disabled. <Florent Kermarrec>
    |/
    * 3391398a - bios/sdram: always show bitslip on two digits to keep scan aligned. <Florent Kermarrec>
    *   4a5072a0 - Merge pull request #517 from ozbenh/csr-access-rework <enjoy-digital>
    |\
    | * 1e35b0e7 - csr: Rework accessors <Benjamin Herrenschmidt>
    |/
    * d4f44597 - CHANGES: update. <Florent Kermarrec>
    *   a51c7a7b - Merge pull request #518 from enjoy-digital/csr_base <enjoy-digital>
    |\
    | * 748ef1ad - export: add define of CSR_BASE if not already defined and use it for CSRs definitions/accesses. <Florent Kermarrec>
    * |   177c1e53 - Merge pull request #523 from DurandA/patch-5 <enjoy-digital>
    |\ \
    | * | 9d9e7d54 - Update litex_term help <Arnaud Durand>
    |/ /
    * | 2e59dc32 - platforms/nexys4ddr: add card detect pin to sdcard. <Florent Kermarrec>
    * | 51742be2 - integration/soc: review/simplify interconnect and add logger.info. <Florent Kermarrec>
    * |   78413cc0 - Merge pull request #519 from ozbenh/point2point <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 1ed68691 - soc: Revive generation of a PointToPoint interconnect <Benjamin Herrenschmidt>
    |/
    * 9f941138 - test/test_targets: workaround to fix travis. <Florent Kermarrec>
    * 9d1443c1 - cpu/soc_core: automatically set csr mapping to 0x00000000 when using CPUNone, remove csr_base parameter that was used for that. <Florent Kermarrec>
    * 5ea3bae0 - bios/boot: review/fix #503. <Florent Kermarrec>
    *   bf7857f5 - Merge pull request #503 from rprinz08/master <enjoy-digital>
    |\
    | * 1f55fcf4 - fixed bug in BIOS spi flash "fw" command <rprinz08>
    | * f062c0c4 - removed FLASH_BOOT_OFFSET, replaced memcyp with copy_image_from_flash_to_ram <rprinz08>
    | * ea232fc5 - BIOS boot firmware from SPI with address offset <rprinz08>
    * |   b4e349eb - Merge pull request #513 from mubes/bios_linker <enjoy-digital>
    |\ \
    | * | d2d82dac - Bios linker edits to prevent inappropriate optimisation <Dave Marples>
    |/ /
    * | 3fb99b7d - cores/spi_flash: add back old SpiFlashDualQuad and rename new one as SpiFlashQuadReadWrite. <Florent Kermarrec>
    * |   2a5a7536 - Merge pull request #478 from antmicro/extended_spi_flash <enjoy-digital>
    |\ \
    | * | 00f973ea - spi_flash: extend non-bitbanged flash support <Jakub Cebulski>
    | * | a344e20b - spi_flash: fix building without bitbang <Jakub Cebulski>
    * | |   7d79da8e - Merge pull request #510 from mubes/colorlight_usb <enjoy-digital>
    |\ \ \
    | * | | 84997332 - Fix dumb missing line <Dave Marples>
    | * | |   33e202ed - Bring into line with master <Dave Marples>
    | |\ \ \
    | * | | | dc1d4520 - Addition of boot address parameter for trellis builds <Dave Marples>
    * | | | | 3a6dd95d - integration/soc: review/simplify changes for standalone cores. <Florent Kermarrec>
    * | | | |   0d5eb133 - Merge pull request #511 from ozbenh/standalone-cores <enjoy-digital>
    |\ \ \ \ \
    | * | | | | f628ff6b - WB2CSR: Use CSR address_width for the wishbone bus <Benjamin Herrenschmidt>
    | * | | | | 520c17e9 - soc_core: Add option to override CSR base <Benjamin Herrenschmidt>
    | * | | | | ecbd4028 - soc: Don't update CSR alignment when there is no CPU <Benjamin Herrenschmidt>
    | * | | | | f28f2471 - soc: Don't create a wishbone slave to LiteDRAM with no CPU <Benjamin Herrenschmidt>
    | * | | | | dcc881db - soc: Don't create a share intercon with only one master and one slave <Benjamin Herrenschmidt>
    | | |/ / /
    | |/| | |
    * | | | | 873d95e5 - interconnect/wishbonebridge: refresh/simplify. <Florent Kermarrec>
    * | | | |   c136113a - Merge pull request #506 from scanakci/blackparrot_litex <enjoy-digital>
    |\ \ \ \ \
    | * | | | | aed1d514 - Update README.md and core.py for BlackParrot <sadullah>
    | * | | | | 5e4a4360 - Vivado Command Update for Systemverilog <sadullah>
    | |/ / / /
    * | | | |   d2c9d385 - Merge pull request #508 from antmicro/update_litesdcard <enjoy-digital>
    |\ \ \ \ \
    | |/ / / /
    |/| | | |
    | * | | | 0db35069 - Update Litex bios to handle updated litesdcard. <Kamil Rakoczy>
    |/ / / /
    * | | |   3ce90100 - Merge pull request #505 from DurandA/patch-3 <enjoy-digital>
    |\ \ \ \
    | * | | | 2c40967b - Enable 1x mode on SPI flash <Arnaud Durand>
    | | |_|/
    | |/| |
    * | | | e2176cef - soc: remove with_wishbone (a SoC always always has a Bus) and expose more bus parameters. <Florent Kermarrec>
    * | | | 1e610600 - build/lattice/diamond/clock_constraints: review and improve similarities with the others build backends. <Florent Kermarrec>
    * | | |   ebcf67c1 - Merge pull request #502 from shuffle2/master <enjoy-digital>
    |\ \ \ \
    | * | | | eeee179d - diamond: close project when done <Shawn Hoffman>
    | * | | | 9b782bd7 - diamond: clock constraint improvements <Shawn Hoffman>
    | |/ / /
    * | | |   80f5327e - Merge pull request #490 from daveshah1/rdimm_bside_init <enjoy-digital>
    |\ \ \ \
    | * \ \ \   13db89eb - Merge branch 'master' into rdimm_bside_init <enjoy-digital>
    | |\ \ \ \
    | |/ / / /
    |/| | | |
    * | | | | c9e36d7f - lattice/icestorm: add ignoreloops/seed support (similar to trellis) and icestorm_args. <Florent Kermarrec>
    * | | | | ea7fe383 - lattice/trellis: simplify seed support and add it to trellis_args. <Florent Kermarrec>
    * | | | |   5ee01c94 - Merge pull request #484 from ilya-epifanov/lattice-trellis-toolchain-seed <enjoy-digital>
    |\ \ \ \ \
    | * | | | | ac1e9683 - Can now pass `--seed` to `nextpnr-ecp5` via `TrellisToolchain` `kwargs` <Ilya Epifanov>
    * | | | | |   5987ddb4 - Merge pull request #485 from ilya-epifanov/cpu-imac-config-for-vexriscv <enjoy-digital>
    |\ \ \ \ \ \
    | * \ \ \ \ \   c5f74a5a - Merge branch 'master' into cpu-imac-config-for-vexriscv <enjoy-digital>
    | |\ \ \ \ \ \
    | |/ / / / / /
    |/| | | | | |
    * | | | | | | 59d88a88 - integration/soc/add_adapter: rename is_master to direction. <Florent Kermarrec>
    * | | | | | |   57390666 - Merge pull request #504 from sergachev/master <enjoy-digital>
    |\ \ \ \ \ \ \
    | * | | | | | | e4fa4bbc - integration/soc: fix add_adapter for slaves <Ilia Sergachev>
    |/ / / / / / /
    * | | | / / / 2d70220b - bios: Fix warning on 64-bit <Benjamin Herrenschmidt>
    | |_|_|/ / /
    |/| | | | |
    * | | | | | fbbbdf03 - core/led: simplify LedChaser (to have the same user interface than GPIOOut). <Florent Kermarrec>
    * | | | | | 05869beb - cores/led: add LedChaser (now that LiteX is running on FPGA mining boards let's use fancy led blinks :)) <Florent Kermarrec>
    * | | | | | 90c485fc - integration/soc: add clock_domain parameter to add_etherbone. <Florent Kermarrec>
    * | | | | | f1a50a21 - integration/soc: add add_uartbone method (to add a UARTBone aka UART Wishbone bridge). <Florent Kermarrec>
    | |_|_|/ /
    |/| | | |
    * | | | | 79ee135f - bios/sdram: fix lfsr typo. <Florent Kermarrec>
    * | | | |   162d3260 - Merge pull request #500 from mubes/fixups <enjoy-digital>
    |\ \ \ \ \
    | * \ \ \ \   2a37b97d - Merge branch 'master' of https://github.com/enjoy-digital/litex into fixups <Dave Marples>
    | |\ \ \ \ \
    | * | | | | | 967e38bb - Small fixups to address compiler warnings etc. <Dave Marples>
    | | |_|_|_|/
    | |/| | | |
    * | | | | | d74f8fc9 - build/xilinx: add disable_constraints parameter to Platform.add_ip. <Florent Kermarrec>
    | |/ / / /
    |/| | | |
    * | | | | 84841e1d - bios/sdram: fix merge typo in lfsr (thanks Benjamin Herrenschmidt). <Florent Kermarrec>
    * | | | | 99c5b0fc - bios/sdram: Use an LFSR to speed up pseudo-random number generation <Benjamin Herrenschmidt>
    * | | | |   34f26868 - Merge pull request #499 from DurandA/patch-2 <enjoy-digital>
    |\ \ \ \ \
    | * | | | | 5e049d89 - Add data dirs to manifest <Arnaud Durand>
    * | | | | | 8b9aa16d - boards/platforms: update xilinx programmers. <Florent Kermarrec>
    * | | | | | 3c34039b - build/xilinx/vivado: ensure Vivado process our .xdc early. <Florent Kermarrec>
    |/ / / / /
    * | | | | b0578580 - gen/fhdl/verilog: explicitly define input/output/inout wires. <Florent Kermarrec>
    * | | | | 0aa3c339 - targets/genesys2: set cmd_latency to 1. <Florent Kermarrec>
    * | | | | 95b57899 - bios: remove usddrphy debug (we'll use a specific debug firmware to fix the usddrphy corner cases). <Florent Kermarrec>
    * | | | | 98d1b451 - platforms/targets: fix CI. <Florent Kermarrec>
    * | | | | 22bcbec0 - boards: keep in sync with LiteX-Boards, integrate improvements. <Florent Kermarrec>
    * | | | | 28f85c74 - build/lattice/programmer: add UJProg (for ULX3S). <Florent Kermarrec>
    * | | | | 85ac5ef1 - build/lattice/programmer: make OpenOCDJTAGProgrammer closer to OpenOCD programmer. <Florent Kermarrec>
    * | | | | 9a7f9cb8 - build/generic_programmer: catch 404 not found when downloading config/proxy. <Florent Kermarrec>
    * | | | | d0b8daa0 - build/platform: allow doing a loose lookup_request (return None instead of ConstraintError) and allow subname in lookup_request. <Florent Kermarrec>
    * | | | | b8f9f83a - build/openocd: add find_config method to allow using local config file or download it if not available locally. <Florent Kermarrec>
    * | | | | 9bef218a - cpu/microwatt: fix integration/crt0.S (thanks Benjamin Herrenschmidt). <Florent Kermarrec>
    * | | | |   6f24d46d - Merge pull request #496 from gsomlo/gls-fix-makefiles <enjoy-digital>
    |\ \ \ \ \
    | * | | | | edfed4f0 - software/*/Makefile: no need to copy .S files from CPU directory <Gabriel Somlo>
    |/ / / / /
    * | | | |   7f8e34c6 - Merge pull request #494 from shuffle2/patch-2 <enjoy-digital>
    |\ \ \ \ \
    | * | | | | ee413527 - diamond: quiet warning about missing clkin freq for EHXPLLL <shuffle2>
    |/ / / / /
    * | | | | 07e0153b - CHANGES: update. <Florent Kermarrec>
    * | | | | 21127031 - cpu/microwatt: add powerpc64le-linux-gnu to gcc_triple. <Florent Kermarrec>
    * | | | | c06a1279 - cpu/microwatt: add pythondata and fix build with it. <Florent Kermarrec>
    * | | | | 45377d9f - cpus: use a common definition of gcc_triple for the RISC-V CPUs, reorganize CPU by ISA/Data-Width. <Florent Kermarrec>
    * | | | | 7c69a6db - bios/cmd_mdio.c:  fix missing <base/mdio.h> import. <Florent Kermarrec>
    * | | | | b0205335 - cpu/vexriscv: fix flush_cpu_icache, remove workaround on boot.c. <Florent Kermarrec>
    * | | | | 97e534d0 - cpus: add nop instruction and use it to simplify the BIOS. <Florent Kermarrec>
    * | | | | 4efc7835 - cpus: add human_name attribute and use it to simplify the BIOS. <Florent Kermarrec>
    * | | | | d81f171c - software/libbase/system.c: remove unused includes. <Florent Kermarrec>
    * | | | |   3bbadb35 - Merge pull request #492 from scanakci/blackparrot_litex <enjoy-digital>
    |\ \ \ \ \
    | * \ \ \ \   999b93af - Merge branch 'master' into blackparrot_litex <enjoy-digital>
    | |\ \ \ \ \
    | |/ / / / /
    |/| | | | |
    * | | | | |   705d3887 - Merge pull request #474 from fjullien/term_hist_auto_compl <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | 74dc444b - bios: add auto completion for commands <Franck Jullien>
    | * | | | | | fc2b8226 - bios: switch command handler to a modular format <Franck Jullien>
    | * | | | | | 86cab3d3 - bios: move helper functions to their own file <Franck Jullien>
    | * | | | | | bc5a1986 - bios: add terminal history <Franck Jullien>
    | * | | | | | e764eabd - builder: add a parameter to pass options to BIOS Makefile <Franck Jullien>
    | | * | | | | 0c770e06 - Update README.md <Sadullah Canakci>
    | | * | | | | 19bb1b9b - update to comply with python-data layout <sadullah>
    | | * | | | | 3eb9efd6 - BP fpga recent version <sadullah>
    | | * | | | | bf864d33 - Fix memory transducer bug, --with-sdram for BIOS works, memspeed works <sadullah>
    | | * | | | | cf01ea65 - rebased, minor changes in core.py <sadullah>
    | | * | | | | b7b9a1f0 - Linux works, LiteDRAM works (need cleaning, temporary push) <sadullah>
    | | * | | | | 74140587 - Create GETTING STARTED <Sadullah Canakci>
    | |/ / / / /
    |/| | | | |
    * | | | | |   e853cac6 - Merge pull request #483 from ilya-epifanov/lattice-openocd-jtag-programmer-erase-flag-and-quiet-output <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | a11f1c39 - Removed erase flag and made progress output less noisy <Ilya Epifanov>
    | | |_|_|/ /
    | |/| | | |
    * | | | | |   a6779b9d - Merge pull request #491 from gsomlo/gls-spisd-clusters <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | c8e3bba4 - software: spisdcard: cosmetic: avoid filling screen with cluster numbers <Gabriel Somlo>
    * | | | | | | b5978b21 - .travis.yml: disable python3.5 test (nMigen requires 3.6+). <Florent Kermarrec>
    * | | | | | | 10371a33 - CHANGES: update. <Florent Kermarrec>
    * | | | | | | bd8a4100 - cpu/minerva: add pythondata and use it to compile the sources. <Florent Kermarrec>
    * | | | | | | e4a4659d - litex_setup: add nmigen dependency (used to generate Minerva CPU). <Florent Kermarrec>
    * | | | | | | d3e3ca06 - CHANGES: start listing changes for next release. <Florent Kermarrec>
    |/ / / / / /
    * | / / / / 3c70c83f - cpu/software: move flush_cpu_icache/flush_cpu_dcache functions to CPUs. <Florent Kermarrec>
    | |/ / / /
    |/| | | |
    * | | | | bb70a232 - cpu/software: move CPU specific software from the BIOS to the CPU directories. <Florent Kermarrec>
    * | | | | 0abc7d4f - cpu/Minerva: Clone the repository locally for now, we need to create a pythondata repository. <Florent Kermarrec>
    * | | | | b82b3b7e - integration/soc: rename usb_cdc to usb_acm. <Florent Kermarrec>
    * | | | | 0a1afbf6 - litex/__init__.py: remove retro-compat > 6 months old. <Florent Kermarrec>
    * | | | | 3531a641 - soc: allow passing custom CPU class to SoC. <Florent Kermarrec>
    | | | * | 83f4dcb2 - Added `imac` config for CPUs which implements the most basic working riscv32imac feature set, implemented for VexRiscv <Ilya Epifanov>
    | | |/ /
    | |/| |
    | | | * 64b50515 - Add RDIMM side-B inversion support <David Shah>
    | |_|/
    |/| |
    * | |   90a6343d - Merge pull request #488 from enjoy-digital/python3.5 <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | 9941e4c1 - travis: add back test on python3.5 (python3.6 is recommended but we can try to keep 3.5 compatibility until we have good reason to no longer support it). <Florent Kermarrec>
    |/ /
    * |   855d614e - Merge pull request #481 from betrusted-io/unfstringify <enjoy-digital>
    |\ \
    | * | 17b76654 - propose patch to not break litex for python 3.5 <bunnie>
    |/ /
    * | 56aa7897 - create first release, add CHANGES and note about Python modules in README. <Florent Kermarrec>
    * | 6d0896de - cpu/serv: switch to pythondata package instead of local git clone. <Florent Kermarrec>
    * | 1b069268 - README: update Python minimal version to 3.6. <Florent Kermarrec>
    * | ff61b1f6 - litex_setup: disable automatic clone of BlackParrot/Microwatt CPUs, reorder LiteX data. <Florent Kermarrec>
    * |   4d86ab9d - Merge pull request #399 from mithro/litex-sm2py <enjoy-digital>
    |\ \
    | * \   317ea7ed - Merge branch 'master' into litex-sm2py <enjoy-digital>
    | |\ \
    | * | | 1f356695 - litex_sim: Find tapcfg from pythondata module. <Tim 'mithro' Ansell>
    | * | | 3aee8a52 - Remove directories from submodules from MANIFEST.in file. <Tim 'mithro' Ansell>
    | * | | ebcb2a44 - Rename litex-data-XXX-YYY to pythondata-XXX-YYY <Tim 'mithro' Ansell>
    | * | | a39a4ec2 - Only allow fast-forward pulls. <Tim 'mithro' Ansell>
    | * | | e618d41f - Fixing mor1kx data finding. <Tim 'mithro' Ansell>
    | * | | 2e3b7f20 - Fix typo in error message. <Tim 'mithro' Ansell>
    | * | | 83b25813 - Fix the libcompiler_rt path. <Tim 'mithro' Ansell>
    | * | | 1c1c5bcb - Remove submodules. <Tim 'mithro' Ansell>
    | * | | c96d1e66 - Fix import for data. <Tim 'mithro' Ansell>
    | * | | 119985f3 - Use the current directory you are running. <Tim 'mithro' Ansell>
    | * | | 69367f8d - Make litex a namespace. <Tim 'mithro' Ansell>
    | * | | 3ae4f8f2 - Adding missing vexriscv CPU. <Tim 'mithro' Ansell>
    | * | | ac3fd794 - Adding missing comma. <Tim 'mithro' Ansell>
    | * | | 3df6c0c8 - Adding litex-data-software-compiler_rt as a required package. <Tim 'mithro' Ansell>
    | * | | 3964565e - Fixed quotes in `litex_setup.py` <Tim 'mithro' Ansell>
    | * | | d5a21a75 - Converting litex to use Python modules. <Tim 'mithro' Ansell>
    |  / /
    * | | 5ef869b9 - soc/cpu: add memory_buses to cpus and use them in add_sdram. <Florent Kermarrec>
    * | | 467fee3e - soc/cpu: rename cpu.buses to cpu.periph_buses. <Florent Kermarrec>
    |/ /
    * |   05815c4e - Merge pull request #477 from shuffle2/patch-1 <enjoy-digital>
    |\ \
    | * | f71014b9 - diamond: fix include paths <shuffle2>
    |/ /
    * | 4dece4ce - soc/cpu: simplify integration of CPU without interrupts (and automatically use UART_POLLING mode in this case). <Florent Kermarrec>
    * |   c5ef9c73 - Merge pull request #473 from fjullien/memusage <enjoy-digital>
    |\ \
    | * | 3892d7a9 - bios: print memory usage <Franck Jullien>
    * | | 9460e048 - tools/litex_sim: use similar analyzer configuration than wiki. <Florent Kermarrec>
    * | |   443cc72d - Merge pull request #476 from enjoy-digital/serv <enjoy-digital>
    |\ \ \
    | * | | 1d1a4ecd - software/irq: cleanup and make explicit that irqs are not supported with Microwatt and SERV, fix compilation warning. <Florent Kermarrec>
    | * | | fb9e369a - serv: connect reset. <Florent Kermarrec>
    | * | | 71778ad2 - serv: update copyrights (Greg Davill found the typos/issues). <Florent Kermarrec>
    | * | | 1f9db583 - serv/cores: fix verilog top level (use serv_rf_top instead of serv_top), working :). <Florent Kermarrec>
    | * | | 2efd939d - serv: fix ibus/dbus byte/word addressing inconsistency, add missing ibus.sel (thanks @GregDavill). <Florent Kermarrec>
    | * | | 22c39236 - initial SERV integration. <Florent Kermarrec>
    | | |/
    | |/|
    * | | c4c891de - build/icestorm: add verilog_read -defer option to yosys script (changes similar the ones applied to trellis). <Florent Kermarrec>
    * | |   192849f0 - Merge pull request #475 from gregdavill/read_verilog_defer <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | 642c4b30 - build/trellis: add verilog_read -defer option to yosys script <Greg Davill>
    |/ /
    * | 96e7e6e8 - bios/sdram: reduce number of scan loops during cdly scan to speed it up. <Florent Kermarrec>
    * | 43e1a5d6 - targets/kcu105: use cmd_latency=1. <Florent Kermarrec>
    * | 85a059bf - bios/sdram: add some margin on cdly ideal_delay, do the read_leveling even if write_leveling is not optimal. <Florent Kermarrec>
    * | 038e1bc0 - targets/kc705: manual DDRPHY_CMD_DELAY no longer needed. <Florent Kermarrec>
    * | aaed4b94 - bios/sdram: review/cleanup Command/Clock calibration, set window at the start instead of middle. <Florent Kermarrec>
    * |   33c7b2ce - Merge pull request #472 from antmicro/jboc/sdram-calibration <enjoy-digital>
    |\ \
    | * | ab92e81e - bios/sdram: add automatic cdly calibration during write leveling <Jędrzej Boczar>
    * | |   4608bd18 - Merge pull request #470 from antmicro/jboc/sdram-eeprom-timings <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | b0f8ee98 - litex_sim: add option to create SDRAM module from SPD data <Jędrzej Boczar>
    * | | 0b3c4b50 - soc/cores/spi: add optional aligned mode. <Florent Kermarrec>
    * | | 6bb22dfe - cores/spi: simplify. <Florent Kermarrec>
    * | | fc434af9 - build/lattice/common: add specific LatticeiCE40SDROutputImpl/LatticeiCE40SDRTristateImpl (thanks @tnt). <Florent Kermarrec>
    * | | 1457c320 - xilinx/common: use a common SDRTristate implementation for Spartan6, 7-Series and Ultrascale. <Florent Kermarrec>
    * | | 69462e66 - build/xilinx/common: add 7-Series/Ultrascale SDROutput/Input. <Florent Kermarrec>
    * | | 65e6ddc6 - lattice/common: add LatticeECP5DDRInput. <Florent Kermarrec>
    * | | 2031f280 - lattice/common: cleanup instances, simplify tritates. <Florent Kermarrec>
    * | | 2d25bcb0 - lattice/common: add LatticeiCE40DDRInput, LatticeiCE40SDROutput and LatticeiCE40SDRInput. <Florent Kermarrec>
    | |/
    |/|
    * | 56e15284 - platforms/de0nano: swap serial tx/rx to ease use of cheap FT232 based cables. <Florent Kermarrec>
    * | 08e4dc02 - tools/remote/etherbone: update import. <Florent Kermarrec>
    |/
    * 19f983c4 - targets: manual define of the SDRAM PHY no longer needed. <Florent Kermarrec>
    * c0f3710d - bios/sdram: update/simplify with new exported LiteDRAM parameters. <Florent Kermarrec>
    * 3915ed97 - litex_sim: add phytype to PhySettings. <Florent Kermarrec>
    * c0c5ae55 - build/generic_programmer: move requests import to do it only when needed. <Florent Kermarrec>
    * c9ab5939 - bios/sdram/ECP5: set ERR_DDRPHY_BITSLIP to 4. <Florent Kermarrec>

 * litex-boards changed from cb95962 to 0b11aba
    * 0b11aba - platforms/nexys_video: add spisdcard pins. <Florent Kermarrec>
    * 76df4e3 - targets: simplify Ethernet/Etherbone integration on targets with both. <Florent Kermarrec>
    * 2e1a816 - pano_logic_g2: switch to LiteEthPHY and simplify Ethernet/Etherbone. <Florent Kermarrec>
    *   33fe308 - Merge pull request #78 from antmicro/jboc/spd-read <enjoy-digital>
    |\
    | * e5578a1 - zcu104/platform: change I2C number to 0 <Jędrzej Boczar>
    | * ac1f1cd - zcu104: add I2C <Jędrzej Boczar>
    * | 71f220a - colorlight_5a_75b: remove unnecessary parenthesis. <Florent Kermarrec>
    * | 2f3817c - pano_logic_g2: add ethernet (build but not functional yet) and use user_btn_n as sys_rst. <Florent Kermarrec>
    * | f19bc36 - pano_logic_g2: add revision support (b and c, c as default) and add OpenOCD programmer. <Florent Kermarrec>
    * | d6518c7 - prog/openocd: fix openocd_xc6 cfgs. <Florent Kermarrec>
    * | 22f18f6 - pano_logic_g2: move gmii_rst_n to _CRG. <Florent Kermarrec>
    * |   935a711 - Merge pull request #77 from skiphansen/master <enjoy-digital>
    |\ \
    | * | 0648c04 - Updated comment, added link to clocking documentation. <Skip Hansen>
    | * | 1ab4656 - Take Ethernet PHY out of reset so default clock is 125 Mhz (and baud rate is 115,200) <Skip Hansen>
    |/ /
    * | 9b572ec - forest_kitten_33: add minimal target and use es1. <Florent Kermarrec>
    * |   7ad0363 - Merge pull request #76 from gsomlo/gls-nexys4-spisdcard <Tim Ansell>
    |\ \
    | * | 435913f - platforms/nexys4ddr: add option to build with spi-mode sdcard support <Gabriel Somlo>
    |/ /
    * | 0d549a8 - platforms: add forest_kitten_33 initial platform suppport. <Florent Kermarrec>
    * | 12b54a7 - platforms/alveo_u250: add clk300 clock constraints. <Florent Kermarrec>
    * | 46f78b5 - nexys_video: add usb_fifo pins. <Florent Kermarrec>
    * | 445338e - platforms/nexys_video: add specific openocd cfg (use channel 1). <Florent Kermarrec>
    * | 5aeb7d8 - targets/acorn_cle_215: fix typo in description. <Florent Kermarrec>
    * | eeba64d - targets: use soc.build_name in load/flash bitstream. <Florent Kermarrec>
    * | 76551de - platforms/nexys_video: add sdcard pins, move clk/rst to top. <Florent Kermarrec>
    * | 83457b8 - platforms/arty: add _sdcard_pmod_io. <Florent Kermarrec>
    * | 8158d94 - targets/c10lprefkit: switch to litehyperbus. <Florent Kermarrec>
    * | 587caf7 - paltforms/marblemini: add break_off_pmod. <Florent Kermarrec>
    * | c2cd863 - platforms/ecp5_evn: rename spiflash1x to spiflash, rewrite hardware/configuration description and remove make_spiflash. <Florent Kermarrec>
    * |   3f0f120 - Merge pull request #70 from ilya-epifanov/ecp5-evn-spi1x-and-flash-params <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 0ba8045 - Added spiflash1x pins, a method to create an SpiFlash instance and a note on a second UART channel of FT2232H <Ilya Epifanov>
    * | b9ee3a7 - alveo_u250: re-organize the auto-generated IOs, add build/load parameters. <Florent Kermarrec>
    * | c0b7afc - targets/alveo_u250: +x. <Florent Kermarrec>
    * | 67d2a49 - tools/extract_xdc_pins: +x. <Florent Kermarrec>
    * | 482d7a6 - targets/pcie: use 128-bit datapath and 8 max_pending_requests on pcie_x4 configurations. <Florent Kermarrec>
    * | 2bb7fce - targets/acorn_cle_215: add minimal instructions to reproduce the results. <Florent Kermarrec>
    * |   6757c4e - Merge pull request #71 from daveshah1/alveo_u250 <enjoy-digital>
    |\ \
    | * | 088ccec - Add Alveo U250 platform and target <David Shah>
    | |/
    * | c7404e3 - targets/acorn_cle_215: switch to MT41K512M16 (Acorn has a 1GB DDR3 vs 512MB on NiteFury). <Florent Kermarrec>
    * | d05b10f - target/camlink_4k: add missing import. <Florent Kermarrec>
    * | b211c43 - test/test_targets: add acorn_cle_215 and marblemini. <Florent Kermarrec>
    * | 4faa91c - platforms/marblemini: review/cleanup. <Florent Kermarrec>
    * |   4ffdcb5 - Merge pull request #75 from jersey99/marblemini <enjoy-digital>
    |\ \
    | * | e4ccfcf - platforms/marblemini.py: Cleanup. Add openocd for programming marblemini <Vamsi K Vytla>
    | * |   a7d6de7 - Merge branch 'master' into marblemini <Vamsi K Vytla>
    | |\ \
    | * | | 5f7f087 - community/platforms/marblemini.py: Added marblemini from https://github.com/berkeleylab/marble-mini/ <Vamsi K Vytla>
    |  / /
    * | | 6f22f08 - targets: add LedChaser on platforms with user_leds. <Florent Kermarrec>
    * | |   b9a0f23 - Merge pull request #74 from tommythorn/master <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | 6335717 - targets/orangecrab.py: propagate command arguments <Tommy Thorn>
    * | | 19b12fd - targets/panol_logic_g2: replace with a minimal target. <Florent Kermarrec>
    * | | 99c0435 - platforms/pano_logic_g2: simplify/cleanup. <Florent Kermarrec>
    * | | 6b5492a - pano_logic_g2: add copyrights. <Florent Kermarrec>
    * | | 6ddd859 - add pano_logic_g2 from litex-buildenv. <Florent Kermarrec>
    * | | 27c242b - targets/pcie: switch to PCIe X4 on all boards that support it. <Florent Kermarrec>
    * | | f993953 - targets/pcie: update LitePCIe constraints. <Florent Kermarrec>
    |/ /
    * | d34c3ba - prog: use different openocd config files for FT232/FT2232. <Florent Kermarrec>
    * | 117d1a1 - prog: add colorlight_5a_75b openocd config. <Florent Kermarrec>
    * | e500d90 - platforms/ecpix5: set pullups on rx_data to advertise as RGMII mode. <Florent Kermarrec>
    * | 59e8c2c - acorn_cle_215: add .bin generation and --flash argument, working on hardware :). <Florent Kermarrec>
    * | a049fa6 - add Acorn CLE 215+ platform/target. <Florent Kermarrec>
    * | da61aab - targets: remove USDDRPHY_DEBUG and set cmd_latency to on all Kintex7/Ultrascale targets. <Florent Kermarrec>
    * | b58b9b9 - platforms: fix CI. <Florent Kermarrec>
    * | 2d9543b - targets: add build/load parameters on all targets. <Florent Kermarrec>
    * | 19eb570 - platforms: make sure all traditional platforms have a create_programmer method. <Florent Kermarrec>
    * | 84468c2 - targets/CRG: platforms are now automatically constraining the input clocks. <Florent Kermarrec>
    * | 1f88a9d - platforms: make sure clocks inputs are constraints on all platforms. <Florent Kermarrec>
    * | 86648ec - platforms/vcu118: rename ddram_second_channel to ddram:1. <Florent Kermarrec>
    * | e1820c7 - platforms/ac701: indent HPC. <Florent Kermarrec>
    * | 2129b67 - platforms: make sure all plarforms have separators. <Florent Kermarrec>
    * | ea0eda9 - platforms: make sure all Xilinx/Altera platforms have a create_programmer method, use OpenOCD on Spartan6 and 7-Series. <Florent Kermarrec>
    * | 588bbac - add prog directory with some Xilinx OpenOCD configurations files. <Florent Kermarrec>
    * | 78b5727 - targets: rename usb_cdc to usb_acm. <Florent Kermarrec>
    |/
    * 2213d73 - targets/kcu105: use cmd_latency=1. <Florent Kermarrec>
    * a8a42c5 - targets/kc705: manual DDRPHY_CMD_DELAY adjustment no longer needed. <Florent Kermarrec>
    * 865b01e - ecpix5: add ethernet. <Florent Kermarrec>
    * 6fe4c4e - ecpix5: add DDR3 (working) <Florent Kermarrec>
    * efb13bc - add mininal ECPIX-5 board support (Clk/Rst/Leds/UART), BIOS working. <Florent Kermarrec>
    * 4154bdf - targets/PCIe: add PCIe software reset. <Florent Kermarrec>
    * 4ad6042 - platforms/de0nano: swap serial tx/rx to ease use of cheap FT232 based cables. <Florent Kermarrec>
    * 4185a01 - targets: manual define of the SDRAM PHY is no longer needed. <Florent Kermarrec>

 * migen changed from 0.6.dev-337-g19d5eae to 0.6.dev-347-gb1b2b29
    * b1b2b29 - zc706: redo FMC connectors <Astro>
    * 0d16e03 - kasli: add DCXO pins <Sebastien Bourdeauducq>
    * ea1eefe - zc706: add FMC HPC connector HA pins <Astro>
    * dc9cfe6 - kasli2: add upper EEMs <Sebastien Bourdeauducq>
    * 6809ee0 - kasli2: add cdr_clk and cdr_clk_clean <Sebastien Bourdeauducq>
    * afc6b02 - zc706: fix LAxx_CC pin naming consistency with KC705 <Sebastien Bourdeauducq>
    * 0762612 - zc706: add user_sma_clock <Sebastien Bourdeauducq>
    * e71f21e - zc706: add FMC connectors <Astro>
    * 5b5e4fd - kasli: typo <Sebastien Bourdeauducq>
    * bea0bdc - kasli: v2.0 support (WIP) <Sebastien Bourdeauducq>

Full submodule status
--
 3a6108a75be356a3dc53760d22782f1323248b6b edid-decode (heads/master)
 3a06aa84b62ad24467fb0d2c6ceddf565e9ea447 flash_proxies (heads/master)
 d62fd24c81c54dae6eb7f06092316215b73237ad litedram (2020.04-43-gd62fd24)
 0feed1720d0063ff67210728ecfe422891f809e0 liteeth (2020.04-7-g0feed17)
 6fdd02058fba29008c90b162e0ef707dce15ebeb liteiclink (2020.04)
 b0e8383d6179ee81a708204d4db9263d6fadc6c8 litepcie (2020.04-12-gb0e8383)
 2e5c5b12d52f695f4560ca7dd08b4170d7568715 litesata (2020.04)
 54488c0f4d6e9e953f1a0de3d578915a5e4ccddf litescope (2020.04)
 7457a29b1a47fe15e81fa37f3bbdd510788f1d53 liteusb (heads/master)
 41f30143075ece3fff5c33a332ed067d1837cbb3 litevideo (2020.04)
 77139289f86a412deb0da7f783ea44ded5e05900 litex (2020.04-260-g77139289)
 0b11aba8a18a9e38f6a49e7f291c072e80e3224e litex-boards (2020.04-71-g0b11aba)
 7da392963878842f93f09a7a218c1052ae5e45d6 litex-renode (remotes/origin/HEAD)
 b1b2b298b85a795239daad84c75be073ddc4f8bd migen (0.6.dev-347-gb1b2b29)
 8f5a253b22cd4ebcd56304a3662f4c70e3b34ed5 nmigen (v0.1-69-g8f5a253)
mateusz-holenko added a commit to antmicro/litex-buildenv that referenced this pull request Jun 29, 2020
 * litedram changed from de55a8e to 9044c10
    * 9044c10 - phy/ecp5ddrphy: use sys_rst instead of sys2x_rst as reset on primitives and do sys2x reset externally. <Florent Kermarrec>
    * fa7d91a - phy/ecp5: simplify/fix dqs_oe/dq_oe and revert BitSlip on dq_i_data. <Florent Kermarrec>
    *   8c112c7 - Merge pull request #207 from ozbenh/sim-autoinit <enjoy-digital>
    |\
    | * 4580882 - dfii: Really default to HW control <Benjamin Herrenschmidt>
    |/
    * 52d7dbe - frontend/fifo: make sure FIFO is only used on LiteDRAMNativePort, expose writer/reader fifo depth, add separators and update copyrights. <Florent Kermarrec>
    *   c4c8803 - Merge pull request #204 from antmicro/jboc/spd-read <enjoy-digital>
    |\
    | * 863c45a - test/spd_data: add missing files to tracking <Jędrzej Boczar>
    | * cbd9087 - modules/spd: select tFAW_min_ck depending on page size <Jędrzej Boczar>
    | * a8f2c04 - modules: add DDR4SPDData parser <Jędrzej Boczar>
    * |   067e8a5 - Merge pull request #205 from antmicro/jboc/fifo <enjoy-digital>
    |\ \
    | * | e5179eb - gen: fix LiteDRAMFIFO parameters <Jędrzej Boczar>
    | * | 8fedc3f - frontend/fifo: increase FIFO level after data has actually been written <Jędrzej Boczar>
    |/ /
    * | 992f80c - litedram_gen: add Ultrascale(+) support and KCU105 config file, remove cmd_delay on 7-series (not automatically calibrated). <Florent Kermarrec>
    * | 361d250 - litedram_gen: avoid second S7PLL for iodelay clk, generate it from main S7PLL on CLKOUT0 (with fractional divide). <Florent Kermarrec>
    * | 1b56dcf - litedram_gen: add more memtype asserts, remove csr_alignment (now fixed to 32-bit). <Florent Kermarrec>
    * | a595fe0 - dfii: simplify control using CSRFields. <Florent Kermarrec>
    * |   899462c - Merge pull request #202 from ozbenh/sim-autoinit <enjoy-digital>
    |\ \
    | * | f3f89ed - Default to HW control for sim <Benjamin Herrenschmidt>
    * | |   d62fd24 - Merge pull request #201 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \ \
    | |/ /
    |/| /
    | |/
    | * 4233f86 - modules/spd: save SPD data in SDRAMModule to allow for runtime verification <Jędrzej Boczar>
    * | f23cb80 - litedram_gen: revert builder.build(..., regular_comb=False). <Florent Kermarrec>
    * | d1db115 - litedram_gen: review/simplify #197. <Florent Kermarrec>
    * |   a8e281f - Merge pull request #197 from ozbenh/standalone-sim <enjoy-digital>
    |\ \
    | * | d0f0c94 - phy/model: Don't generate empty mem_*.init files <Benjamin Herrenschmidt>
    | * | b8d6da5 - gen: Allow generation of a standalone sim model <Benjamin Herrenschmidt>
    * | |   83b9a1d - Merge pull request #199 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \ \
    | |/ /
    |/| /
    | |/
    | * cbe91bc - modules: add function for parsing SPD EEPROM dumps from BIOS firmware <Jędrzej Boczar>
    * | 639a31f - test/test_timing: update test_txxd_controller. <Florent Kermarrec>
    * | 3c1ab76 - litedram/common/tXXDController: only set reset to 1 when txxd is None. <Florent Kermarrec>
    |/
    *   e95af3f - Merge pull request #195 from enjoy-digital/bios-libs <enjoy-digital>
    |\
    | * fe48a92 - test/reference: update. <Florent Kermarrec>
    | * c30910a - init: generate DFII_CONTROL flags in sdram_phy.h instead of defining them in the BIOS. <Florent Kermarrec>
    |/
    * 5078b19 - core/crossbar: remove retro-compat > 6 months old. <Florent Kermarrec>
    * 3b105d5 - modules: fix SDRAMRegisteredModule. <Florent Kermarrec>
    *   b2a5685 - Merge pull request #189 from daveshah1/ddr4_rdimm_init <enjoy-digital>
    |\
    | * 70054ba - Add support for DDR4 RDIMMs <David Shah>
    * | 7ae4ad5 - modules: add SDR/DDR/DDR2/DDR3/DDR4 SDRAMModule (and Registered versions). <Florent Kermarrec>
    * | 1f7d9eb - litedram_gen: pass FPGA speedgrade to iodelay_pll. <Florent Kermarrec>
    * | f4871b9 - litedram_gen: use default settings on wb_bus. <Florent Kermarrec>
    * | 6fb8396 - litedram_gen: remove csr_base (no longer needed since CPUNone type will automatically set csr mapping to 0x00000000) and create a use bus with the same address_width as the main bus of the SoC. <Florent Kermarrec>
    * | 94c215e - litedram_gen: review/simplify #193, always add ddrctrl. <Florent Kermarrec>
    * |   f036ec2 - Merge pull request #193 from ozbenh/standalone-cores <enjoy-digital>
    |\ \
    | * | 04717b4 - gen: Rename standalone core wishbone <Benjamin Herrenschmidt>
    | * | b0838f7 - gen: Add option to specify CSR alignment <Benjamin Herrenschmidt>
    | * | d5a03b3 - gen: Add option to generate DDRCTL on standalone cores <Benjamin Herrenschmidt>
    | * | efad6b3 - gen: Add option to specify CSR base for standalone cores <Benjamin Herrenschmidt>
    | * | c91cbb5 - gen: Remove obsolete bus_expose config option <Benjamin Herrenschmidt>
    |/ /
    * | 4e539ad - litedram_gen: switch to SoCCore. <Florent Kermarrec>
    * | ac33d29 - litedram_gen: simplify and expose bus when CPU is set to None. <Florent Kermarrec>
    * | fe47838 - litedram_gen: expose a Bus Slave port instead of a CSR port. <Florent Kermarrec>
    * | 52b49fb - test/reference: update. <Florent Kermarrec>
    * | 52ca393 - modules: add MT41J512M16/MT41K512M16. <Florent Kermarrec>
    * | 589957f - phy: extend Bitslip capability to 2 sys_clk cycles. <Florent Kermarrec>
    * | 5c0231d - common/BitSlip: add cycles parameter to extend bitstlip to multiple system clock cycles. <Florent Kermarrec>
    * | ed0810a - gen: Optionally pass cpu_variant from YAML to SoC <Benjamin Herrenschmidt>
    |/
    *   dfe6f90 - Merge pull request #188 from daveshah1/ddr4_dimm_x4 <enjoy-digital>
    |\
    | * 5b4381b - usddrphy: Support for x4 chip based DIMMs <David Shah>
    * |   9f136c0 - Merge pull request #187 from daveshah1/add_MTA18ASF2G72PZ <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 97f0a37 - modules: Add MTA18ASF2G72PZ DDR4 RDIMM <David Shah>
    |/
    * 9a2d3f0 - common: add PHYPadsReducer to only use specific DRAM modules. <Florent Kermarrec>
    * 20a849c - test/reference: update ddr4_init.h <Florent Kermarrec>
    *   cec3a99 - Merge pull request #181 from antmicro/jboc/eeprom-timings <enjoy-digital>
    |\
    | * 312bce2 - modules: pass rate automatically when creating module from SPD data <Jędrzej Boczar>
    | * 07bbd79 - modules: update existsing SO-DIMM timings based on SPD data <Jędrzej Boczar>
    | * cf83ac6 - test: improve SPD tests of Micron DDR3 SO-DIMM modules <Jędrzej Boczar>
    | * 854a614 - modules: fix calculations of speedgrade from tck in SPD data <Jędrzej Boczar>
    | * c744204 - modules: fix nrows in MT8KTF51264 <Jędrzej Boczar>
    | * 3980e06 - modules: add option to load module parameters from SPD data <Jędrzej Boczar>
    * | 48c2fc2 - phy: simplify/improve dqs preamble/postamble. <Florent Kermarrec>
    * | eaf0691 - phy/ecp5ddrphy: simplify, working with dqs preamble/postamble. <Florent Kermarrec>
    * | 12a017f - phy/ecp5ddrphy: simplify/cleanup. <Florent Kermarrec>
    * | 62915cd - phy: rework BitSlip to simplify integration, add DQSPattern module. <Florent Kermarrec>
    * | 9ff9e82 - phy/usddrphy: move pads.ten control to control block. <Florent Kermarrec>
    * | 91a9a2a - phy/ecp5ddrphy: fix dqs preamble/postamble control. (make it similar to 7-series/Ultrascale). <Florent Kermarrec>
    * | 5d29686 - phy/s7ddrphy/usddrphy: more compact write dqs postamble/preamble control path. <Florent Kermarrec>
    * | 8d0e7f6 - phy/usddrphy: simplify/cleanup write control path/dqs postamble/preamble control path. <Florent Kermarrec>
    * | 57b16c2 - phy/usddrphy: simplify/cleanup write control path, add DQS Pre/Postamble support. <Florent Kermarrec>
    * | 1462a43 - phy/usddrphy: cleanup/simplify read control path. <Florent Kermarrec>
    * | cd671f9 - phy/s7ddrphy: cleanup/simplify read control path. <Florent Kermarrec>
    * | d061e60 - test/reference: update. <Florent Kermarrec>
    * | 45a03df - phy/init: add phytype to PhySettings and export more parameters to C header to simplify software. <Florent Kermarrec>
    * | 2df9004 - init: improve ident. <Florent Kermarrec>
    * | eca7fc2 - phy/ecp5ddrphy: remove Bitslip from comment (no longer present). <Florent Kermarrec>
    * | f4f2948 - phy/ecpddrphy: remove Bitslip (not used and redundant with dqs_read) and use BitSlip software control to move dqs_read. <Florent Kermarrec>
    * | e2b4c2b - phy/ecp5ddrphy: cosmetics. <Florent Kermarrec>
    |/
    * f68f1dd - phy/ecp5ddrphy/control: cleanup/simplify and document (no functional changes). <Florent Kermarrec>
    * fdf7c76 - phy/control: cleanup/simplify (no functional changes). <Florent Kermarrec>
    * a767618 - phy: improve flow control readability (add separators between Read/Write/Write DQS Postamble/Preamble parts). <Florent Kermarrec>

 * liteeth changed from 705003e to dbe15f1
    *   dbe15f1 - Merge pull request #42 from shuffle2/padding <enjoy-digital>
    |\
    | * d66d302 - mac padding: fix counter reset value <Shawn Hoffman>
    |/
    * b1bcfb2 - mac/LiteEthMACCoreCrossbar: remove unnecessary fifos. <Florent Kermarrec>
    * 8e11857 - common: remove Port.connect and use 2 separate Record.connect. <Florent Kermarrec>
    * 17caf17 - mac/LiteEthMACCoreCrossbar: remove cpu_dw. <Florent Kermarrec>
    * 23b420a - mac/LiteEthMAC: simplify hybrid mode and avoid some duplication. <Florent Kermarrec>
    * 51cd546 - core/mac: add missing separators, fix typos. <Florent Kermarrec>
    * 59d3336 - mac: add separators, improve indent, minor simplifications. <Florent Kermarrec>
    * d06c7b4 - frontend: add separators, improve indent, minor simplifications. <Florent Kermarrec>
    * 2d58f48 - core: improve indent. <Florent Kermarrec>
    * c262818 - core: add separators. <Florent Kermarrec>
    * bb29706 - core: remove mac retro-compatibility (>6 months old). <Florent Kermarrec>
    * 0feed17 - phy/gmii/CRG: add BUFG on RX and do the TX clock Mux with combinatorial logic (from @skiphansen initial work). <Florent Kermarrec>
    * 53c9eb9 - core/ip: move mcase_oui/ip_mask definition to common and set target_mac with NextValue. <Florent Kermarrec>
    *   58e1681 - Merge pull request #41 from shuffle2/mcast <enjoy-digital>
    |\
    | * 6d00ec1 - iptx: support multicast mac and bypass arp table <Shawn Hoffman>
    * | 8afdec9 - phy/ecp5rgmii: review/simplify inband_status integration. <Florent Kermarrec>
    * |   55af430 - Merge pull request #40 from shuffle2/master <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 26c4e41 - ecp5rgmii: enable reading inband PHY_status <Shawn Hoffman>
    |/
    * dc67e6d - phy/ecp5rgmii: use DDROutput/DDRInput now available for ECP5. <Florent Kermarrec>

 * litepcie changed from 586ef78 to 61c202d
    * 61c202d - litepcie_gen: allow up to 32 user IRQs with MSI-X. <Florent Kermarrec>
    * 9e84d6a - litepcie_gen: remove flash_ios. <Florent Kermarrec>
    * 3f63e74 - core/msi/LitePCIeMSIX: use width in enable/pba CSRs. <Florent Kermarrec>
    * 2274b88 - litepcie_gen: expose stream's first on AXI interface as tuser. <Florent Kermarrec>
    *   3271597 - Merge pull request #32 from sergachev/master <enjoy-digital>
    |\
    | * 9c5d250 - example: fix phy type <Ilia Sergachev>
    |/
    * 761f8fb - example: uniformize kc705/kcu105 examples. <Florent Kermarrec>
    * c84605e - litepcie_gen: add Ultrascale support and example on kcu105.yml. <Florent Kermarrec>
    * b73b72e - examples/kcu105: cleanup, use 4 lanes. <Florent Kermarrec>
    * 597ab2d - README: add MSI/MSI-X support. <Florent Kermarrec>
    * 150b34e - core/msi: test and fix LitePCIeMSIX, add register on S7PCIEPHY to get MSI-X enable status. <Florent Kermarrec>
    * 4f8c624 - test/test_examples: update. <Florent Kermarrec>
    * 84c9d71 - core/msi: add initial MSI-X implementation (untested). <Florent Kermarrec>
    * 3ef2ae7 - software/kernel/main: add MSI Multi-Vector minimal support. <Florent Kermarrec>
    * bd375da - litepcie_gen: use generate_litepcie_software_headers. <Florent Kermarrec>
    * bf4b23c - software/generate_litepcie_software_header: add kernel to dst in generate_litepcie_software. <Florent Kermarrec>
    * 3a7a76b - examples: use generate_litepcie_software. <Florent Kermarrec>
    * ad93d52 - software: add generate_litepcie_software_headers/software functions to avoid duplication in targets. <Florent Kermarrec>
    * 8534965 - litepcie/software: add copy_litepcie_software function (to easily get litepcie software from targets). <Florent Kermarrec>
    *   5533144 - Merge pull request #30 from enjoy-digital/new_driver <enjoy-digital>
    |\
    | *   2703b8a - merge master. <Florent Kermarrec>
    | |\
    | |/
    |/|
    * | b0e8383 - frontend/dma/LitePCIeDMAReader: immediately return to IDLE state when disabled. <Florent Kermarrec>
    * |   4e333cb - Merge pull request #29 from sergachev/master <enjoy-digital>
    |\ \
    | * | 8192494 - kernel: remove unnecessary call to pci_release_regions() on device remove <Ilia Sergachev>
    |/ /
    * | c2fd143 - phy/s7pciephy: expose disable_constraints parameter to use_external_hard_ip. <Florent Kermarrec>
    | * 2658ce0 - software/kernel: replace remaining printk with pr_debug or dev_info and use dev_err instead of pr_err when possible. <Florent Kermarrec>
    | * a78a248 - software/kernel: replace printk(KERN_INFO with pr_debug for debug messages. <Florent Kermarrec>
    | * 79e448e - software/kernel: replace printk(KERN_ERR with pr_err. <Florent Kermarrec>
    | * 23f7045 - software: add #ifdef for optional peripheral support. <Florent Kermarrec>
    | * 1cae8cf - examples: update and more similarities with the integration in litex-boards. <Florent Kermarrec>
    | * 485a6c4 - software: import new driver. <Florent Kermarrec>
    | * c4c8705 - software: remove current driver. <Florent Kermarrec>
    |/
    * 6049a69 - litepcie_gen: add optional Sphinx/Html doc generation with --doc. <Florent Kermarrec>
    * 6bb89af - phy/s7pciehy: disable constraints generated from the .xci and use our owns. <Florent Kermarrec>
    *   ef7d40e - Merge pull request #28 from sergachev/master <enjoy-digital>
    |\
    | * 3eb2b86 - test_dma: remove unused imports and variables, fix mistypes <Ilia Sergachev>
    |/
    *   9a3ada5 - Merge pull request #27 from sergachev/master <enjoy-digital>
    |\
    | * e637090 - dma: fix another couple of mistypes <Ilia Sergachev>
    | * 4c4e3bf - dma: fix mistypes in comments <Ilia Sergachev>
    |/
    * 3ca6e38 - frontend/dma/monitor: reduce count_width from 32-bit (default) to 16-bit. <Florent Kermarrec>
    * 22faa07 - litepcie_gen: add pcie_data_width support. <Florent Kermarrec>
    *   96a6cdc - Merge pull request #25 from sergachev/master <enjoy-digital>
    |\
    | * 5804b43 - software: fix definitions <Ilia Sergachev>
    * 0496daf - litepcie_gen: add buffers on DMA sink/source to ensure data are clocked on LitePCIe interface and ease integration. <Florent Kermarrec>
    * 7818ace - frontend/dma: fix level CSRStatus size (+1). <Florent Kermarrec>
    * a85b1d7 - tlp/controller: expose cmp_bufs_buffered parameter. <Florent Kermarrec>
    * 264d7f3 - frontend/dma: allow asymetric writer/reader buffering depths. <Florent Kermarrec>
    * 9cb938e - frontend/dma: expose table_depth parameter. <Florent Kermarrec>
    * a6d836e - gen/examples: add software reset. <Florent Kermarrec>

 * litesata changed from 2e5c5b1 to b36d3a3
    * b36d3a3 - core/examples: update. <Florent Kermarrec>

 * litescope changed from 54488c0 to 15179cb
    * 15179cb - examples/targets/simple: update. <Florent Kermarrec>
    * 0e1ca9e - examples/make: update. <Florent Kermarrec>

 * litex changed from 2d018826 to 54598ed2
    * 54598ed2 - software/bios/Makefile: fix #578 merge. (get back #579). <Florent Kermarrec>
    * 7beffba1 - software/libbase/memtest: fix bus errors reporting. <Florent Kermarrec>
    *   ad76f5f3 - Merge pull request #578 from scanakci/blackparrot_litex <enjoy-digital>
    |\
    | *   eafceb94 - Merge branch 'master' into blackparrot_litex <enjoy-digital>
    | |\
    | |/
    |/|
    * |   5a1c3a7c - Merge pull request #579 from antmicro/fix_building_bios <enjoy-digital>
    |\ \
    | * | d72380c8 - Fix ordering of libraries <Mateusz Holenko>
    | | * caf520c8 - clean Makefile <sadullah>
    | | * 9256a4db - minor change in BP top module <sadullah>
    | | * 7c83a1b8 - syn with master blackparrot, upgrade BP to IMA <sadullah>
    | |/
    |/|
    * |   dae23f2a - Merge pull request #576 from betrusted-io/deprecate_slave <enjoy-digital>
    |\ \
    | * | 0b4c5059 - Deprecate slave terminology <bunnie>
    * | | 1e605fb2 - liblitesdcard/sdcard: update with litesdcard. <Florent Kermarrec>
    * | | 34e9d12e - interconnect/axi/AXIStreamInterface: add tuser support. <Florent Kermarrec>
    * | | 4094a6ec - liblitesdcard/sdcard: increase busy_wait and use common timeout. <Florent Kermarrec>
    * | | e8f84c96 - liblitesdcard/sdcard: decode cid only when SDCARD_DEBUG is set. <Florent Kermarrec>
    * | | c0770312 - liblitesdcard/sdcard_read: enable multiple block read. <Florent Kermarrec>
    * | | 8c572d2b - targets: add fixed sdcard clock on boards with SDCard support. <Florent Kermarrec>
    * | | c4669003 - software/bios/litesdcard: remove sdcard_set_clk. <Florent Kermarrec>
    * | | dfa3768d - integration/soc/add_sdcard: remove sdclk. <Florent Kermarrec>
    * | | 9a27465d - cores/clock/S6DCM: add expose_drp. <Florent Kermarrec>
    * | | d8aa9a42 - software/bios/boot: improve printfs. <Florent Kermarrec>
    * | | 55e01937 - software/libase/memtest: improve printfs and add progress bar on data test. <Florent Kermarrec>
    * | | 49741366 - libbase/progress: reduce to 40 HASHES_PER_LINE. <Florent Kermarrec>
    * | | 52d7f59a - software/liblitedram: remove DDRPHY_CMD_DELAY support (no longer useful). <Florent Kermarrec>
    * | | 07f145fd - software/liblitedram/sdram: remove SRAM hack. <Florent Kermarrec>
    * | | e2f9a825 - software/libbase/memtest: reorder functions. <Florent Kermarrec>
    |/ /
    * |   00d1118d - Merge pull request #575 from antmicro/jboc/memtest <enjoy-digital>
    |\ \
    | * | 3b084b28 - bios: move memtest from liblitedram to libbase <Jędrzej Boczar>
    |/ /
    * | 3a5aec69 - software/liblitesdcard: simplify, switch to DMAs, remove clocking/test functions. <Florent Kermarrec>
    * | fd4765e1 - integration/soc: replace SDDataReader/SDDataWriter with DMAs. <Florent Kermarrec>
    * | bc64e354 - soc/cores: add simple DMA with WishboneDMAReader/WishboneDMAWriter. <Florent Kermarrec>
    * | d7cc7d2a - platforms/genesys2: add usb_fifo. <Florent Kermarrec>
    * | 309eda42 - litex_term: keep and reduce inter-frame delay to 1e-5. <Florent Kermarrec>
    * | 64589cfd - soc/cores/uart/FT245: only use Asynchronous FIFO (Synchronous FIFO requires a software configuration). <Florent Kermarrec>
    * | 0780b629 - soc/cores/usb_fifo: cleanup and reduce fifo_depth (provide similar throughput when used as UART). <Florent Kermarrec>
    |/
    * 52b51e1e - CHANGES: update. <Florent Kermarrec>
    * d59cec5a - software: use a single crt0 (deprecate crt0-ctr/crt0-xip) and avoid unnecessary defines. <Florent Kermarrec>
    * 384646c6 - platforms/genesys2: use openocd_genesys2.cfg. <Florent Kermarrec>
    * e92efc1a - platforms/kcu105: add sdcard/spisdcard. <Florent Kermarrec>
    * 35b04658 - genesys2: add sdcard/spisdcard. <Florent Kermarrec>
    * d53a51c5 - platforms/netv2: add spisdcard. <Florent Kermarrec>
    * c8955864 - platforms/k705: rename mmc to sdcard and make it similar to other boards. <Florent Kermarrec>
    * 02908c51 - cpu/lm32: fix config include paths. <Florent Kermarrec>
    * b1fe3140 - bios/main: enable sdcardboot in boot_sequence with litesdcard. <Florent Kermarrec>
    * 847a5fcf - software/liblitesdcard/sdcard: boot with FatFs working (hacky). <Florent Kermarrec>
    * 5b2f9c24 - cores/cpu/microwatt: revert setup stack and fix missing subi  %r1,%r1,0x100 (thanks ozbenh). <Florent Kermarrec>
    * 0c0689f4 - wishbone/DownConverter: fix read datapath when access is skipped because sel = 0. <Florent Kermarrec>
    * 84617b58 - cores/cpu/microwatt: temporary revert crt0.S/setup stack. <Florent Kermarrec>
    *   e32e8c06 - Merge pull request #573 from ozbenh/bios-data <enjoy-digital>
    |\
    | * 28ea4b3f - software/microwatt: Fix copying data to RAM and clearing BSS <Benjamin Herrenschmidt>
    |/
    * 13e0852a - tools/litex_server: set socket option flags separately (required for Mac OS X). <Florent Kermarrec>
    * efa41fd6 - litex_sim: simplify a bit ethernet+etherbone. <Florent Kermarrec>
    * b0b37b4c - soc/cores/spi: make cs/loopback CSR optional. <Florent Kermarrec>
    * 05cb5f96 - bios/boot: rewrite ROM boot description. <Florent Kermarrec>
    *   bdcccb92 - Merge pull request #569 from gsomlo/gls-mor1kx-data-init <enjoy-digital>
    |\
    | * e96cfbbc - cpu/mor1kx: fix .data initialization (follow-up to PR #567) <Gabriel Somlo>
    * |   4cab38fa - Merge pull request #570 from gsomlo/gls-sdcard-lazy-init <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 9ad45a69 - liblitesdcard/[spi]sdcard: avoid redundant (re-)initialization <Gabriel Somlo>
    |/
    *   aa0cd213 - Merge pull request #565 from gsomlo/gls-cosmetic-spi-fat <enjoy-digital>
    |\
    | * 5d9d99c0 - liblitesdcard/sdcard: streamline initialization (cosmetic) <Gabriel Somlo>
    | * c05d0f19 - liblitesdcard/spisdcard: streamline initialization (cosmetic). <Gabriel Somlo>
    | * 7d5ca3f9 - bios/boot: addresses should use 'unsigned long' <Gabriel Somlo>
    * |   05d4756e - Merge pull request #567 from zyp/fix_data_segment <enjoy-digital>
    |\ \
    | * | 27fcddb2 - soc_core: Increase sram size default to 8k. <Vegard Storheil Eriksen>
    | * | 9c68d715 - bios/linker: Place .data in sram with initial copy in rom. <Vegard Storheil Eriksen>
    | * | 33689660 - bios/linker: Place .got in .rodata. <Vegard Storheil Eriksen>
    | |/
    * | b0f76112 - platforms/arty: move sdcard_pmod_io to JD. <Florent Kermarrec>
    * |   c3ed8025 - Merge pull request #568 from sergachev/master <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 3610b066 - build/sim/core/modules: fix compilation warnings <Ilia Sergachev>
    |/
    * 68d3804c - CHANGES: update. <Florent Kermarrec>
    * 5ddf350c - software/spisdcard: reduce SPISDCARD_CLK_FREQ to 16MHz. <Florent Kermarrec>
    * d6f92d1f - build: add DFUProg. <Florent Kermarrec>
    * 653edd17 - bios/boot: simplify flashboot (remove specific linux boot). <Florent Kermarrec>
    * 7b65a93c - bios/boot: add separators, update copyrights. <Florent Kermarrec>
    * f4abdd3f - bios/boot: make Ethernet boot mode flexible (now also using boot.json similarly to SDCard boot). <Florent Kermarrec>
    * c2ae22ee - bios/boot: make SDCard boot more flexible using a boot.json file on the SDCard. <Florent Kermarrec>
    * d918c0bb - software/bios/boot/sdcardboot: let FatFs do the SDCard initialization with disk_initialize. <Florent Kermarrec>
    * 51976008 - software/bios/boot: add sdcardboot support for VexRiscv SMP. <Florent Kermarrec>
    * 72026d44 - software/bios/main: clarify address space with @ instead of -. <Florent Kermarrec>
    * a01d08e5 - litex_setup.py: update microwatt. <Florent Kermarrec>
    *   a086237a - Merge pull request #564 from shenki/microwatt-updates <enjoy-digital>
    |\
    | * 748dcc1c - microwatt: Add mmu.vhdl <Joel Stanley>
    | * b57fc870 - microwatt: Update IRQ signal in wrapper <Joel Stanley>
    | * 68d2aa45 - microwatt: Add icache flush <Joel Stanley>
    | * e6909e29 - microwatt: Implement boot helper <Joel Stanley>
    * |   ace81c83 - Merge pull request #562 from gsomlo/gls-crlf <enjoy-digital>
    |\ \
    | * | 5575a921 - liblitesdcard: maintain unix newline convention across all source files <Gabriel Somlo>
    | |/
    * | 08bef5fc - software/liblitesdcard/ffconf: enable FF_FS_MINIMIZE and FF_FS_TINY. <Florent Kermarrec>
    * | 75225e5e - software/bios/boot: move f_mount to copy_image_from_sdcard_to_ram and force mount. <Florent Kermarrec>
    * | 59a048b6 - software/libliteeth/tftp: switch to progress bar. <Florent Kermarrec>
    * | f7e06a7e - bios/boot/copy_image_from_flash_to_ram: add missing init_progression_bar. <Florent Kermarrec>
    * | df9146fb - soc/spisdcard: use 32-bit SPIMaster and do 32-bit xfers in spisdcardreceive_block to optimize speed. <Florent Kermarrec>
    * | d45cfc1e - software/libbase/progress: avoid \t in progress bar, reduce HASHES_PER_LINE. <Florent Kermarrec>
    * | 5beba178 - software/libsdcard/spisdcard: add and use busy_wait_us to optimize speed. <Florent Kermarrec>
    * | dae15511 - bios/boot/copy_image_from_sdcard_to_ram: use chunks of 32KB to increase speed. <Florent Kermarrec>
    * | d294e0f1 - bios/boot: add progress bar to copy_image_from_flash_to_ram, use uint32_t in flash/sdcard functions. <Florent Kermarrec>
    * | 99f40fec - libase/progress: move __div64_32, do_div to div64.h/c as it was in Barebox. <Florent Kermarrec>
    * | 96fc96ec - software/liblitesdcard: remove read_block prototype, minor cleanup. <Florent Kermarrec>
    |/
    * fe9b42fa - bios/boot: use progress bar in copy_image_from_sdcard_to_ram. <Florent Kermarrec>
    * 21b9239d - libbase: add progress bar (from Barebox). <Florent Kermarrec>
    * 32ebbc77 - software/liblitesdcard: add retries when setting card to Idle. <Florent Kermarrec>
    * 04d0ba61 - software/liblitesdcard/sdcard: add FatFs disk functions. <Florent Kermarrec>
    * e27ed657 - software/liblitesdcard/spisdcard: rename #defines and allow external definition. <Florent Kermarrec>
    * a9e8860e - software/liblitesdcard: create fat directory for FatFs files. <Florent Kermarrec>
    * f1aba7e4 - sofware/liblitesdcard: enable Long Filename (LFN). <Florent Kermarrec>
    * fb282d1a - software/libsdcard: rewrite/simplify SPISDCard/FatFs support and only keep SDCard ver2.00+ compatibility. <Florent Kermarrec>
    *   20ff2462 - Merge pull request #559 from gsomlo/gls-fix-crlf <enjoy-digital>
    |\
    | * 78e3f251 - liblitesdcard: convert all sources to unix style newlines (cosmetic) <Gabriel Somlo>
    |/
    * c1806eba - software/liblitesdcard: remove unsused functions with FF_FS_READONLY. <Florent Kermarrec>
    * f9b43c81 - software/liblitesdcard: switch to FatFs for sdcardboot. <Florent Kermarrec>
    * f972c8e4 - software/liblitesdcard: base it on FatFs generic example code + LiteX's SPIMaster specific functions. <Florent Kermarrec>
    * 5b908983 - software/liblitesdcard: add FatFs files. <Florent Kermarrec>
    * 7d141258 - software/liblitesdcard/spisdcard: simplify/rewrite for consistency with the others parts of the project. - Improve code readability, remove un-needed or duplicate comments. - Only use a spi_xfer function for both write/read. - Set the SDCard to low clk freq before init and increase it when initialized. <Florent Kermarrec>
    * 860ac1e2 - software/liblitesdcard: add copyrights to spisdcard/fat16. <Florent Kermarrec>
    * 0ec50881 - software/liblitesdcard/sdcard: simplify readSector. <Florent Kermarrec>
    * 8c6f74d4 - software/liblitesdcard: fat16 boot working with both SPI and SD modes. <Florent Kermarrec>
    * bdaf6ff2 - software/liblitesdcard: move fat16 code to separate file to avoid duplication. <Florent Kermarrec>
    * 4b3c5203 - software/bios/libsdcard: add initial boot from sdcard with litescard, rename spisdcardboot command to sdcardboot. <Florent Kermarrec>
    * b30e3353 - soc/add_sdcard: use SDClockerS7 for 7-Series and SDClockerGen for others devices. <Florent Kermarrec>
    *   efbe1690 - Merge pull request #558 from antmicro/fix-function-names-liblitespi <enjoy-digital>
    |\
    | * eceee7e4 - litex/soc/software/liblitespi: fix names associated with PHY CSRs <Jan Kowalewski>
    |/
    * fb4b6c35 - boards/ulx3s: add sdcard pins and initial LiteSDCard integration. <Florent Kermarrec>
    * 997a17b9 - soc/add_sdcard: add minimal SDClockerECP5 on ECP5. <Florent Kermarrec>
    * 9a026c09 - soc/add_sdcard: remove limitation to 7-Series but only add clocker for it. <Florent Kermarrec>
    * c311f98c - soc/add_sdcard: emulator clocking moved to litesdcard. <Florent Kermarrec>
    * 382f239e - software/libsdcard: keep SDCARD_DEBUG enabled for now, fix typos. <Florent Kermarrec>
    * 20bbdaaf - soc/add_sdcard: remove Timer (unused). <Florent Kermarrec>
    * ab447df9 - software/liblitesdcard: review/simplify (code is over-complicated, revert part of the old code and write a minimal test for now). <Florent Kermarrec>
    * ee4056cf - software/liblitesdcard: remove sdtimer functions (unused). <Florent Kermarrec>
    *   ecfa44e5 - Merge pull request #556 from antmicro/mglb/symbiflow-fixes <enjoy-digital>
    |\
    | * 635a61e3 - targets/arty: use sys_clk_freq = 60MHz for Symbiflow toolchain <Mariusz Glebocki>
    | * 5071ef3e - build/xilinx/symbiflow: remap part name <Mariusz Glebocki>
    |/
    * 55723f13 - software/liblitedram: revert sdrsw() in sdrlevel: this is still required for sdrlevel command. <Florent Kermarrec>
    *   ddcf68c0 - Merge pull request #553 from ozbenh/sim-autoinit <enjoy-digital>
    |\
    | * 4a6256a5 - sdram: Unconditionally switch to SW control before inits <Benjamin Herrenschmidt>
    * |   47bb3d79 - Merge pull request #557 from antmicro/mor1kx_linux_booting <enjoy-digital>
    |\ \
    | * | f1e7d73e - bios: boot: Boot linux on mor1kx with external device tree and rootfs <Mateusz Holenko>
    * | | 10ff9d76 - CHANGES: update and change added features order. <Florent Kermarrec>
    |/ /
    * | 5d202ddb - test: update. <Florent Kermarrec>
    * | 01f7947b - targets: rename gateware-toolchain parameter to toolchain. <Florent Kermarrec>
    * | 245985d6 - targets/arty: integrate symbiflow changes to avoid duplication. <Florent Kermarrec>
    * | 89106873 - build/generic_platform: add default_clk constraints only when used. <Florent Kermarrec>
    * | 0cd613cc - build/xilinx/symbiflow: reuse .xdc generation from Vivado to avoid duplication, fix copyright. <Florent Kermarrec>
    * | 80ec5eca - boards/arty: remove specific arty_symbiflow platform and adapt target to use standard platform. <Florent Kermarrec>
    * | af928b26 - xilinx/simbiflow: add simple symbiflow_device re-mapping. <Florent Kermarrec>
    * |   5104d07a - Merge pull request #551 from antmicro/mglb/symbiflow-toolchain-xilinx-7-support <enjoy-digital>
    |\ \
    | * | 7434376c - test/test_targets: add arty_symbiflow <Mariusz Glebocki>
    | * | ae121aac - targets: add arty_symbiflow <Mariusz Glebocki>
    | * | 2bb2fbdb - platforms: add arty_symbiflow <Mariusz Glebocki>
    | * | bd702397 - build/xilinx: add Symbiflow toolchain support <Mariusz Glebocki>
    | |/
    * |   77139289 - Merge pull request #552 from ozbenh/memspeed-long <Tim Ansell>
    |\ \
    | * | 6239eac1 - sdram: Use unsigned long for memory test <Benjamin Herrenschmidt>
    | |/
    * |   a116578c - Merge pull request #550 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \
    | * | a433c837 - bios/litedram: add option to verify SPD EEPROM memory contents <Jędrzej Boczar>
    | * | 1692dfbf - build/sim/spdeeprom: use hex format when loading from file <Jędrzej Boczar>
    * | |   b98a9192 - Merge pull request #549 from antmicro/mglb/fix-vivado-yosys <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | a4e83234 - build/xilinx: do not assume build name is "top" <Mariusz Glebocki>
    |/ /
    * |   5cc7a988 - Merge pull request #547 from gsomlo/gls-fix-sdcard-status <enjoy-digital>
    |\ \
    | * | 28290efd - soc/software/litesdcard: update for response register back to 128 bits <Gabriel Somlo>
    * | | 395af900 - interconnect/wishbone/DownConverter: skip accesses on slave when sel==0 and simplify. <Florent Kermarrec>
    * | | 511832a9 - soc/interconnect/axi: generate wishbone.sel for reads. <Florent Kermarrec>
    * | | 4f82a36a - soc/software: only keep 32-bit CSR alignment support. <Florent Kermarrec>
    |/ /
    * | 75936775 - wishbone/wishbone2csr: use wishbone.sel on CSR write. <Florent Kermarrec>
    * | b1ec092e - soc/software/litesdcard: use new send register to send command and remove CSR8_CMD_FIX. <Florent Kermarrec>
    * | efcba14b - platforms/nexys_video: add spisdcard pins. <Florent Kermarrec>
    * | 119ce56f - targets/nexys_video: add spi-sdcard and sdcard support. <Florent Kermarrec>
    * | cc595017 - plaforms/nexys_video: keep up to date with litex-boards. <Florent Kermarrec>
    * | 5cc564fb - targets: simplify Ethernet/Etherbone integration on targets with both. <Florent Kermarrec>
    * | 55c7461e - bios/cmds/cmd_litesdcard: rewrite comments/descriptions. <Florent Kermarrec>
    * | 6cb03963 - bios/main: replace / with -. <Florent Kermarrec>
    * |   5dd5f97b - Merge pull request #545 from gsomlo/gls-fix-mmptr <enjoy-digital>
    |\ \
    | * | 3e1b17d4 - csr: fix simple accessor alignment <Gabriel Somlo>
    * | | 6c1e2d84 - software/liblitesdcard: replace hexdump with dump_bytes already available in the BIOS. <Florent Kermarrec>
    |/ /
    * | 9e068a74 - soc/add_sdcard: add with_emulator parameter to use SDCard emulator (from Google Project Vault) and integrate it in litex_sim. <Florent Kermarrec>
    * | 2ae55e80 - setup.py: add litex_jtag_uart and litex_crossover_uart to console_scripts. <Florent Kermarrec>
    * | 62d939e8 - Merge pull request #543 from antmicro/jboc/eeprom-sim <enjoy-digital>
    |\|
    | * a0ce4ce5 - litex/build/sim: add module for simulating SPD EEPROM <Jędrzej Boczar>
    * | c4f96318 - targets/nexys4ddr: fix sdcard assert. <Florent Kermarrec>
    * | 76cc112e - bios: add main bus and csr bus infos, use KiB/GiB. <Florent Kermarrec>
    |/
    * 02072dea - integration/soc/add_sdcard: always use 32-bit/512bytes memories (not sure this will change?) and allocate sdwrite/sdread regions dynamically. <Florent Kermarrec>
    * 4b3afa75 - integration/soc: add add_sdcard method with integration code from nexys4ddr. <Florent Kermarrec>
    * c78caeb9 - csr: Fix definition(s) of CSR_BASE in generated headers <Benjamin Herrenschmidt>
    * f8bb500a - liblitedram/sdram: Add option to disable cdelay() <Benjamin Herrenschmidt>
    * 6d72ef28 - cpu/serv: add variants. <Florent Kermarrec>
    * fd7ec50e - soc/integration/export: add optional csr_base parameter. <Florent Kermarrec>
    * 795ff08a - build/sim/verilator: add regular_comb parameter (that defaults to False) and pass it to get_verilog. <Florent Kermarrec>
    *   25d2e7c9 - Merge pull request #542 from gsomlo/gls-sdcard-followup <enjoy-digital>
    |\
    | * 6da98ca1 - software/bios: fixup sdclk command <Gabriel Somlo>
    * |   3fd6ecd8 - Merge pull request #541 from antmicro/jboc/spd-read <enjoy-digital>
    |\ \
    | * | 1172c10a - bios: move I2C from liblitedram to libbase <Jędrzej Boczar>
    | * | 472bf9ac - bios/sdram: expose I2C functions <Jędrzej Boczar>
    | * | bdc7eb5c - litex_sim: load SPD data from files in hexdump format as printed in BIOS <Jędrzej Boczar>
    | * | a42dc974 - bios/sdram: add BIOS command for reading SPD <Jędrzej Boczar>
    | * | 8fd3e74e - bios/sdram: add firmware for reading SPD EEPROM <Jędrzej Boczar>
    * | | 68f83cbc - CHANGES: document deprecated/moved modules. <Florent Kermarrec>
    * | | ab806060 - soc/core/uart: move WishboneStreamingBridge in it and rename to Stream2Wishbone. <Florent Kermarrec>
    * | | 0a3d649a - interconnect/wishbone: integrate Wishbone2CSR. <Florent Kermarrec>
    * | | b5b88d27 - interconnect/csr_bus: add separators. <Florent Kermarrec>
    * | | 86952a6e - interconnect/wishbone: remove CSRBank (probably not used by anyone). <Florent Kermarrec>
    * | | e404608c - interconnect/wishbone: add separators and move SDRAM/Cache. <Florent Kermarrec>
    * | | 1fddd0e3 - interconnect/wishbone: simplify DownConverter. <Florent Kermarrec>
    * | | e0d26820 - interconnect/wishbone: remove UpConverter (probably not used by anyone and would need to be rewritten). <Florent Kermarrec>
    | |/
    |/|
    * | 696b31ed - tools/litex_sim: switch to SoCCore/add_sdram instead of SoCSDRAM. <Florent Kermarrec>
    * | 2efcf879 - targets/nexys4ddr: update add_sdcard method. <Florent Kermarrec>
    * | 2934c085 - CHANGES: add JTAG UART. <Florent Kermarrec>
    * | 3b47d4a4 - tools/litex_jtag_uart: add openocd config and telnet port parameters. <Florent Kermarrec>
    * | 67cf6703 - cpus: remove common cpu variants/extensions definition and simplify variant check. <Florent Kermarrec>
    * | 062ff67e - cpu/microwatt: add standard+ghdl variant that uses GHDL Yosys plugin. <Florent Kermarrec>
    * | 24687cbd - tools/litex_client/RemoteClient: add base_address parameter. <Florent Kermarrec>
    * | 78a9579e - cores/uart/RS232PHYTX: fix startbit duration by pre-loading phase_accumulator_tx to tuning_word. <Florent Kermarrec>
    * |   370e4652 - Merge pull request #539 from dayjaby/pr-fix_uart_startbit <enjoy-digital>
    |\ \
    | * | e853ad4b - fix uart startbit: 1 cycle later <David Jablonski>
    * | | c75cf45a - tools: add litex_jtag_uart to create a virtual uart for the jtag uart. <Florent Kermarrec>
    * | | 2cf83b9f - tools: rename litex_crossover poc to litex_crossover_uart, remove from setup for now. <Florent Kermarrec>
    * | | bed5aafd - tools: add litex_crossover to be able to use lxterm (and serialboot) over a crossover UART (bridged over UART/Ethernet/PCIe/USB, etc...). <Florent Kermarrec>
    * | | 3833bc3e - litex_sim: override uart_name to sim only for serial. <Florent Kermarrec>
    * | | da7fd308 - CHANGES: update. <Florent Kermarrec>
    * | | 2fb52e66 - integration/soc: remove TODO in header. <Florent Kermarrec>
    * | | b65f18c3 - cpu/cv32e40p: fix copyright year. <Florent Kermarrec>
    * | | 30f35170 - cpu/cv32e40p: add copyright and improve indentation. <Florent Kermarrec>
    * | | b23702ec - litex_setup/pythondata-cpu-cv32e40p: clone in recursive mode. <Florent Kermarrec>
    * | |   4c4cd335 - Merge pull request #535 from antmicro/arty-cv32e40p <enjoy-digital>
    |\ \ \
    | * | | 2d6ee5aa - cores/cpu: add cv32e40p <Piotr Binkowski>
    | * | | ca8cb834 - software/bios/isr: add support for cv32e40p <Piotr Binkowski>
    | * | | 2903b1bf - litex_setup: add pythondata for cv32e40p <Piotr Binkowski>
    * | | |   7d09ea19 - Merge pull request #538 from antmicro/fix_libbase <enjoy-digital>
    |\ \ \ \
    | * | | | 9d16b0fc - libbase: Include missing uart header <Mateusz Hołenko>
    |/ / / /
    * | | | 3d06dc02 - test/test_targets: update build_test. <Florent Kermarrec>
    * | | | 42350f6d - platforms/targets: keep in sync with litex-boards. <Florent Kermarrec>
    * | | | 2eea7864 - build/sim: rename dut to sim (for consistency with other builds). <Florent Kermarrec>
    * | | | a6cbbc9d - integration/soc: set build_name to platform.name when not specified. <Florent Kermarrec>
    * | | | 16417cb8 - software/liblitespi: fix #endif location. <Florent Kermarrec>
    * | | |   9bdb063b - Merge pull request #516 from antmicro/i2s_support_arty <enjoy-digital>
    |\ \ \ \
    | * | | | ce499900 - Extend I2S capabilities <Pawel Sagan>
    * | | | |   c2e9a26e - Merge pull request #534 from fjullien/fix_litex_sim_warn <enjoy-digital>
    |\ \ \ \ \
    | |/ / / /
    |/| | | |
    | * | | | 7c5f56c2 - litex/sim: fix compiler warnings <Franck Jullien>
    |/ / / /
    * | | |   6fedaa70 - Merge pull request #533 from antmicro/fix-dummy-bits-function-name <enjoy-digital>
    |\ \ \ \
    | * | | | ab41e27e - software/liblitespi/spiflash: fix dummy bits setup function name <Jan Kowalewski>
    |/ / / /
    * | | | d71152ef - litex_setup: move requests import to avoid having to install it on travis. <Florent Kermarrec>
    * | | | 9854fdd5 - .travis: install  requests package before running litex_setup.py. <Florent Kermarrec>
    * | | | bd0f21ba - targets/netv2: remove LiteSPI integration (not mature enough to be directly integrated on targets). <Florent Kermarrec>
    * | | | 80eca300 - software/liblitespi/spiflash: review/simplify/update and test on arty. <Florent Kermarrec>
    * | | | 4a175620 - build/xilinx: simplify LITEX_ENV_ISE/VIVADO handling. <Florent Kermarrec>
    * | | | e91c3171 - software/bios: cleanup includes and specify the lib in the include. <Florent Kermarrec>
    * | | | c3a03d0d - software: create liblitespi and mode litespi code to it (with some parts commented out for now). <Florent Kermarrec>
    * | | | 61238bee - soc/software/bios: add autoconfiguration functionality for LiteSPI core <Jan Kowalewski>
    * | | | d3890055 - litex_setup: add automatic update of litex_setup (because it also changes) and be sure we are on master branch before update. <Florent Kermarrec>
    * | | |   939f546a - Merge pull request #531 from gsomlo/gls-bios-linker <enjoy-digital>
    |\ \ \ \
    | |_|/ /
    |/| | |
    | * | | c5524dbf - software/bios: fix link order to avoid undefined symbol errors <Gabriel Somlo>
    |/ / /
    * | | b4267a79 - build/xilinx: source settings64.sh automatically just before build if LITEX_ENV_ISE/LITEX_ENV_VIVADO environment variables are set. <Florent Kermarrec>
    * | | de7e0ee9 - integration/soc_core: avoid cpu_variant check if custom cpu_cls is passed. <Florent Kermarrec>
    * | | 6f8f0d23 - litex_setup: add litehyperbus and remove hyperbus core/test. <Florent Kermarrec>
    |/ /
    * | 109fd267 - integration/builder: simplify default output_dir to "build/platform". <Florent Kermarrec>
    * | 55c0ddab - litex_setup: add sha1 support on git clone/pull and fix microwatt to a specific sha1. <Florent Kermarrec>
    |/
    *   23d43a2c - Merge pull request #530 from enjoy-digital/bios-libs <enjoy-digital>
    |\
    | * 7192397a - software/libbase: remove linker-sdram (unused). <Florent Kermarrec>
    | * b4b84def - software/bios: mode spisdcard code to liblitesdcard. <Florent Kermarrec>
    | * 21e2a34c - software/bios: rename commands to cmds and update with libs' names. <Florent Kermarrec>
    | * 33f6ce74 - software/bios: move hw flags definitions to respective libs, remove hw/flags.h. <Florent Kermarrec>
    | * 403355a8 - software: create liblitescard and move sdcard init/test code to it. <Florent Kermarrec>
    | * 920d0ee5 - software: create liblitedram and move sdram init/test code to it. <Florent Kermarrec>
    | * c95084e5 - bios/software: rename cmd_dram/cmd_sdcard/cmd_spi_flash to cmd_litedram/cmd_litesdcard/cmd_spiflash. <Florent Kermarrec>
    | * 573a8815 - software/bios/commands: rename cmd_mdio to cmd_liteeth. <Florent Kermarrec>
    | * ff8d9e61 - software/bios: move mdio to libliteeth. <Florent Kermarrec>
    | * 70a67ce7 - software/bios: rename libnet to libliteeth and move all ethernet files to it. <Florent Kermarrec>
    | * 56b8723b - software/bios: rename cmd_mem_access to cmd_mem. <Florent Kermarrec>
    |/
    * a02077d5 - cpu/microwatt/add_sources: add use_ghdl_yosys_synth parameter to convert microwatt to verilog using GHDL-Yosys-plugin and use converted verilog for build. <Florent Kermarrec>
    * b5352f40 - cpu/microwatt: update microwatt_wraper.vhdl <Florent Kermarrec>
    * be25500e - uptime: rework and integrate it in Timer to ease software support. <Florent Kermarrec>
    * d6549ff8 - bios: add uptime command and rewrite cmd_bios comments. <Florent Kermarrec>
    * fc0e55be - soc: improve uptime comments. <Florent Kermarrec>
    *   840679ad - Merge pull request #526 from rprinz08/master <enjoy-digital>
    |\
    | * 3f649077 - Make booting from SD-Card to behave same as from SPI flash <rprinz08>
    * | 82364de5 - soc/SoCController: add uptime since start (disabled by default) and allow features to be enabled/disabled. <Florent Kermarrec>
    |/
    * 3391398a - bios/sdram: always show bitslip on two digits to keep scan aligned. <Florent Kermarrec>
    *   4a5072a0 - Merge pull request #517 from ozbenh/csr-access-rework <enjoy-digital>
    |\
    | * 1e35b0e7 - csr: Rework accessors <Benjamin Herrenschmidt>
    |/
    * d4f44597 - CHANGES: update. <Florent Kermarrec>
    *   a51c7a7b - Merge pull request #518 from enjoy-digital/csr_base <enjoy-digital>
    |\
    | * 748ef1ad - export: add define of CSR_BASE if not already defined and use it for CSRs definitions/accesses. <Florent Kermarrec>
    * |   177c1e53 - Merge pull request #523 from DurandA/patch-5 <enjoy-digital>
    |\ \
    | * | 9d9e7d54 - Update litex_term help <Arnaud Durand>
    |/ /
    * | 2e59dc32 - platforms/nexys4ddr: add card detect pin to sdcard. <Florent Kermarrec>
    * | 51742be2 - integration/soc: review/simplify interconnect and add logger.info. <Florent Kermarrec>
    * |   78413cc0 - Merge pull request #519 from ozbenh/point2point <enjoy-digital>
    |\ \
    | |/
    |/|
    | * 1ed68691 - soc: Revive generation of a PointToPoint interconnect <Benjamin Herrenschmidt>
    |/
    * 9f941138 - test/test_targets: workaround to fix travis. <Florent Kermarrec>
    * 9d1443c1 - cpu/soc_core: automatically set csr mapping to 0x00000000 when using CPUNone, remove csr_base parameter that was used for that. <Florent Kermarrec>
    * 5ea3bae0 - bios/boot: review/fix #503. <Florent Kermarrec>
    *   bf7857f5 - Merge pull request #503 from rprinz08/master <enjoy-digital>
    |\
    | * 1f55fcf4 - fixed bug in BIOS spi flash "fw" command <rprinz08>
    | * f062c0c4 - removed FLASH_BOOT_OFFSET, replaced memcyp with copy_image_from_flash_to_ram <rprinz08>
    | * ea232fc5 - BIOS boot firmware from SPI with address offset <rprinz08>
    * |   b4e349eb - Merge pull request #513 from mubes/bios_linker <enjoy-digital>
    |\ \
    | * | d2d82dac - Bios linker edits to prevent inappropriate optimisation <Dave Marples>
    |/ /
    * | 3fb99b7d - cores/spi_flash: add back old SpiFlashDualQuad and rename new one as SpiFlashQuadReadWrite. <Florent Kermarrec>
    * |   2a5a7536 - Merge pull request #478 from antmicro/extended_spi_flash <enjoy-digital>
    |\ \
    | * | 00f973ea - spi_flash: extend non-bitbanged flash support <Jakub Cebulski>
    | * | a344e20b - spi_flash: fix building without bitbang <Jakub Cebulski>
    * | |   7d79da8e - Merge pull request #510 from mubes/colorlight_usb <enjoy-digital>
    |\ \ \
    | * | | 84997332 - Fix dumb missing line <Dave Marples>
    | * | |   33e202ed - Bring into line with master <Dave Marples>
    | |\ \ \
    | * | | | dc1d4520 - Addition of boot address parameter for trellis builds <Dave Marples>
    * | | | | 3a6dd95d - integration/soc: review/simplify changes for standalone cores. <Florent Kermarrec>
    * | | | |   0d5eb133 - Merge pull request #511 from ozbenh/standalone-cores <enjoy-digital>
    |\ \ \ \ \
    | * | | | | f628ff6b - WB2CSR: Use CSR address_width for the wishbone bus <Benjamin Herrenschmidt>
    | * | | | | 520c17e9 - soc_core: Add option to override CSR base <Benjamin Herrenschmidt>
    | * | | | | ecbd4028 - soc: Don't update CSR alignment when there is no CPU <Benjamin Herrenschmidt>
    | * | | | | f28f2471 - soc: Don't create a wishbone slave to LiteDRAM with no CPU <Benjamin Herrenschmidt>
    | * | | | | dcc881db - soc: Don't create a share intercon with only one master and one slave <Benjamin Herrenschmidt>
    | | |/ / /
    | |/| | |
    * | | | | 873d95e5 - interconnect/wishbonebridge: refresh/simplify. <Florent Kermarrec>
    * | | | |   c136113a - Merge pull request #506 from scanakci/blackparrot_litex <enjoy-digital>
    |\ \ \ \ \
    | * | | | | aed1d514 - Update README.md and core.py for BlackParrot <sadullah>
    | * | | | | 5e4a4360 - Vivado Command Update for Systemverilog <sadullah>
    | |/ / / /
    * | | | |   d2c9d385 - Merge pull request #508 from antmicro/update_litesdcard <enjoy-digital>
    |\ \ \ \ \
    | |/ / / /
    |/| | | |
    | * | | | 0db35069 - Update Litex bios to handle updated litesdcard. <Kamil Rakoczy>
    |/ / / /
    * | | |   3ce90100 - Merge pull request #505 from DurandA/patch-3 <enjoy-digital>
    |\ \ \ \
    | * | | | 2c40967b - Enable 1x mode on SPI flash <Arnaud Durand>
    | | |_|/
    | |/| |
    * | | | e2176cef - soc: remove with_wishbone (a SoC always always has a Bus) and expose more bus parameters. <Florent Kermarrec>
    * | | | 1e610600 - build/lattice/diamond/clock_constraints: review and improve similarities with the others build backends. <Florent Kermarrec>
    * | | |   ebcf67c1 - Merge pull request #502 from shuffle2/master <enjoy-digital>
    |\ \ \ \
    | * | | | eeee179d - diamond: close project when done <Shawn Hoffman>
    | * | | | 9b782bd7 - diamond: clock constraint improvements <Shawn Hoffman>
    | |/ / /
    * | | |   80f5327e - Merge pull request #490 from daveshah1/rdimm_bside_init <enjoy-digital>
    |\ \ \ \
    | * \ \ \   13db89eb - Merge branch 'master' into rdimm_bside_init <enjoy-digital>
    | |\ \ \ \
    | |/ / / /
    |/| | | |
    * | | | | c9e36d7f - lattice/icestorm: add ignoreloops/seed support (similar to trellis) and icestorm_args. <Florent Kermarrec>
    * | | | | ea7fe383 - lattice/trellis: simplify seed support and add it to trellis_args. <Florent Kermarrec>
    * | | | |   5ee01c94 - Merge pull request #484 from ilya-epifanov/lattice-trellis-toolchain-seed <enjoy-digital>
    |\ \ \ \ \
    | * | | | | ac1e9683 - Can now pass `--seed` to `nextpnr-ecp5` via `TrellisToolchain` `kwargs` <Ilya Epifanov>
    * | | | | |   5987ddb4 - Merge pull request #485 from ilya-epifanov/cpu-imac-config-for-vexriscv <enjoy-digital>
    |\ \ \ \ \ \
    | * \ \ \ \ \   c5f74a5a - Merge branch 'master' into cpu-imac-config-for-vexriscv <enjoy-digital>
    | |\ \ \ \ \ \
    | |/ / / / / /
    |/| | | | | |
    * | | | | | | 59d88a88 - integration/soc/add_adapter: rename is_master to direction. <Florent Kermarrec>
    * | | | | | |   57390666 - Merge pull request #504 from sergachev/master <enjoy-digital>
    |\ \ \ \ \ \ \
    | * | | | | | | e4fa4bbc - integration/soc: fix add_adapter for slaves <Ilia Sergachev>
    |/ / / / / / /
    * | | | / / / 2d70220b - bios: Fix warning on 64-bit <Benjamin Herrenschmidt>
    | |_|_|/ / /
    |/| | | | |
    * | | | | | fbbbdf03 - core/led: simplify LedChaser (to have the same user interface than GPIOOut). <Florent Kermarrec>
    * | | | | | 05869beb - cores/led: add LedChaser (now that LiteX is running on FPGA mining boards let's use fancy led blinks :)) <Florent Kermarrec>
    * | | | | | 90c485fc - integration/soc: add clock_domain parameter to add_etherbone. <Florent Kermarrec>
    * | | | | | f1a50a21 - integration/soc: add add_uartbone method (to add a UARTBone aka UART Wishbone bridge). <Florent Kermarrec>
    | |_|_|/ /
    |/| | | |
    * | | | | 79ee135f - bios/sdram: fix lfsr typo. <Florent Kermarrec>
    * | | | |   162d3260 - Merge pull request #500 from mubes/fixups <enjoy-digital>
    |\ \ \ \ \
    | * \ \ \ \   2a37b97d - Merge branch 'master' of https://github.com/enjoy-digital/litex into fixups <Dave Marples>
    | |\ \ \ \ \
    | * | | | | | 967e38bb - Small fixups to address compiler warnings etc. <Dave Marples>
    | | |_|_|_|/
    | |/| | | |
    * | | | | | d74f8fc9 - build/xilinx: add disable_constraints parameter to Platform.add_ip. <Florent Kermarrec>
    | |/ / / /
    |/| | | |
    * | | | | 84841e1d - bios/sdram: fix merge typo in lfsr (thanks Benjamin Herrenschmidt). <Florent Kermarrec>
    * | | | | 99c5b0fc - bios/sdram: Use an LFSR to speed up pseudo-random number generation <Benjamin Herrenschmidt>
    * | | | |   34f26868 - Merge pull request #499 from DurandA/patch-2 <enjoy-digital>
    |\ \ \ \ \
    | * | | | | 5e049d89 - Add data dirs to manifest <Arnaud Durand>
    * | | | | | 8b9aa16d - boards/platforms: update xilinx programmers. <Florent Kermarrec>
    * | | | | | 3c34039b - build/xilinx/vivado: ensure Vivado process our .xdc early. <Florent Kermarrec>
    |/ / / / /
    * | | | | b0578580 - gen/fhdl/verilog: explicitly define input/output/inout wires. <Florent Kermarrec>
    * | | | | 0aa3c339 - targets/genesys2: set cmd_latency to 1. <Florent Kermarrec>
    * | | | | 95b57899 - bios: remove usddrphy debug (we'll use a specific debug firmware to fix the usddrphy corner cases). <Florent Kermarrec>
    * | | | | 98d1b451 - platforms/targets: fix CI. <Florent Kermarrec>
    * | | | | 22bcbec0 - boards: keep in sync with LiteX-Boards, integrate improvements. <Florent Kermarrec>
    * | | | | 28f85c74 - build/lattice/programmer: add UJProg (for ULX3S). <Florent Kermarrec>
    * | | | | 85ac5ef1 - build/lattice/programmer: make OpenOCDJTAGProgrammer closer to OpenOCD programmer. <Florent Kermarrec>
    * | | | | 9a7f9cb8 - build/generic_programmer: catch 404 not found when downloading config/proxy. <Florent Kermarrec>
    * | | | | d0b8daa0 - build/platform: allow doing a loose lookup_request (return None instead of ConstraintError) and allow subname in lookup_request. <Florent Kermarrec>
    * | | | | b8f9f83a - build/openocd: add find_config method to allow using local config file or download it if not available locally. <Florent Kermarrec>
    * | | | | 9bef218a - cpu/microwatt: fix integration/crt0.S (thanks Benjamin Herrenschmidt). <Florent Kermarrec>
    * | | | |   6f24d46d - Merge pull request #496 from gsomlo/gls-fix-makefiles <enjoy-digital>
    |\ \ \ \ \
    | * | | | | edfed4f0 - software/*/Makefile: no need to copy .S files from CPU directory <Gabriel Somlo>
    |/ / / / /
    * | | | |   7f8e34c6 - Merge pull request #494 from shuffle2/patch-2 <enjoy-digital>
    |\ \ \ \ \
    | * | | | | ee413527 - diamond: quiet warning about missing clkin freq for EHXPLLL <shuffle2>
    |/ / / / /
    * | | | | 07e0153b - CHANGES: update. <Florent Kermarrec>
    * | | | | 21127031 - cpu/microwatt: add powerpc64le-linux-gnu to gcc_triple. <Florent Kermarrec>
    * | | | | c06a1279 - cpu/microwatt: add pythondata and fix build with it. <Florent Kermarrec>
    * | | | | 45377d9f - cpus: use a common definition of gcc_triple for the RISC-V CPUs, reorganize CPU by ISA/Data-Width. <Florent Kermarrec>
    * | | | | 7c69a6db - bios/cmd_mdio.c:  fix missing <base/mdio.h> import. <Florent Kermarrec>
    * | | | | b0205335 - cpu/vexriscv: fix flush_cpu_icache, remove workaround on boot.c. <Florent Kermarrec>
    * | | | | 97e534d0 - cpus: add nop instruction and use it to simplify the BIOS. <Florent Kermarrec>
    * | | | | 4efc7835 - cpus: add human_name attribute and use it to simplify the BIOS. <Florent Kermarrec>
    * | | | | d81f171c - software/libbase/system.c: remove unused includes. <Florent Kermarrec>
    * | | | |   3bbadb35 - Merge pull request #492 from scanakci/blackparrot_litex <enjoy-digital>
    |\ \ \ \ \
    | * \ \ \ \   999b93af - Merge branch 'master' into blackparrot_litex <enjoy-digital>
    | |\ \ \ \ \
    | |/ / / / /
    |/| | | | |
    * | | | | |   705d3887 - Merge pull request #474 from fjullien/term_hist_auto_compl <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | 74dc444b - bios: add auto completion for commands <Franck Jullien>
    | * | | | | | fc2b8226 - bios: switch command handler to a modular format <Franck Jullien>
    | * | | | | | 86cab3d3 - bios: move helper functions to their own file <Franck Jullien>
    | * | | | | | bc5a1986 - bios: add terminal history <Franck Jullien>
    | * | | | | | e764eabd - builder: add a parameter to pass options to BIOS Makefile <Franck Jullien>
    | | * | | | | 0c770e06 - Update README.md <Sadullah Canakci>
    | | * | | | | 19bb1b9b - update to comply with python-data layout <sadullah>
    | | * | | | | 3eb9efd6 - BP fpga recent version <sadullah>
    | | * | | | | bf864d33 - Fix memory transducer bug, --with-sdram for BIOS works, memspeed works <sadullah>
    | | * | | | | cf01ea65 - rebased, minor changes in core.py <sadullah>
    | | * | | | | b7b9a1f0 - Linux works, LiteDRAM works (need cleaning, temporary push) <sadullah>
    | | * | | | | 74140587 - Create GETTING STARTED <Sadullah Canakci>
    | |/ / / / /
    |/| | | | |
    * | | | | |   e853cac6 - Merge pull request #483 from ilya-epifanov/lattice-openocd-jtag-programmer-erase-flag-and-quiet-output <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | a11f1c39 - Removed erase flag and made progress output less noisy <Ilya Epifanov>
    | | |_|_|/ /
    | |/| | | |
    * | | | | |   a6779b9d - Merge pull request #491 from gsomlo/gls-spisd-clusters <enjoy-digital>
    |\ \ \ \ \ \
    | * | | | | | c8e3bba4 - software: spisdcard: cosmetic: avoid filling screen with cluster numbers <Gabriel Somlo>
    * | | | | | | b5978b21 - .travis.yml: disable python3.5 test (nMigen requires 3.6+). <Florent Kermarrec>
    * | | | | | | 10371a33 - CHANGES: update. <Florent Kermarrec>
    * | | | | | | bd8a4100 - cpu/minerva: add pythondata and use it to compile the sources. <Florent Kermarrec>
    * | | | | | | e4a4659d - litex_setup: add nmigen dependency (used to generate Minerva CPU). <Florent Kermarrec>
    * | | | | | | d3e3ca06 - CHANGES: start listing changes for next release. <Florent Kermarrec>
    |/ / / / / /
    * | / / / / 3c70c83f - cpu/software: move flush_cpu_icache/flush_cpu_dcache functions to CPUs. <Florent Kermarrec>
    | |/ / / /
    |/| | | |
    * | | | | bb70a232 - cpu/software: move CPU specific software from the BIOS to the CPU directories. <Florent Kermarrec>
    * | | | | 0abc7d4f - cpu/Minerva: Clone the repository locally for now, we need to create a pythondata repository. <Florent Kermarrec>
    * | | | | b82b3b7e - integration/soc: rename usb_cdc to usb_acm. <Florent Kermarrec>
    * | | | | 0a1afbf6 - litex/__init__.py: remove retro-compat > 6 months old. <Florent Kermarrec>
    * | | | | 3531a641 - soc: allow passing custom CPU class to SoC. <Florent Kermarrec>
    | | | * | 83f4dcb2 - Added `imac` config for CPUs which implements the most basic working riscv32imac feature set, implemented for VexRiscv <Ilya Epifanov>
    | | |/ /
    | |/| |
    | | | * 64b50515 - Add RDIMM side-B inversion support <David Shah>
    | |_|/
    |/| |
    * | |   90a6343d - Merge pull request #488 from enjoy-digital/python3.5 <enjoy-digital>
    |\ \ \
    | |/ /
    |/| |
    | * | 9941e4c1 - travis: add back test on python3.5 (python3.6 is recommended but we can try to keep 3.5 compatibility until we have good reason to no longer support it). <Florent Kermarrec>
    |/ /
    * |   855d614e - Merge pull request #481 from betrusted-io/unfstringify <enjoy-digital>
    |\ \
    | * | 17b76654 - propose patch to not break litex for python 3.5 <bunnie>
    |/ /
    * | 56aa7897 - create first release, add CHANGES and note about Python modules in README. <Florent Kermarrec>
    * | 6d0896de - cpu/serv: switch to pythondata package instead of local git clone. <Florent Kermarrec>
    * | 1b069268 - README: update Python minimal version to 3.6. <Florent Kermarrec>
    * | ff61b1f6 - litex_setup: disable automatic clone of BlackParrot/Microwatt CPUs, reorder LiteX data. <Florent Kermarrec>
    * |   4d86ab9d - Merge pull request #399 from mithro/litex-sm2py <enjoy-digital>
    |\ \
    | * \   317ea7ed - Merge branch 'master' into litex-sm2py <enjoy-digital>
    | |\ \
    | * | | 1f356695 - litex_sim: Find tapcfg from pythondata module. <Tim 'mithro' Ansell>
    | * | | 3aee8a52 - Remove directories from submodules from MANIFEST.in file. <Tim 'mithro' Ansell>
    | * | | ebcb2a44 - Rename litex-data-XXX-YYY to pythondata-XXX-YYY <Tim 'mithro' Ansell>
    | * | | a39a4ec2 - Only allow fast-forward pulls. <Tim 'mithro' Ansell>
    | * | | e618d41f - Fixing mor1kx data finding. <Tim 'mithro' Ansell>
    | * | | 2e3b7f20 - Fix typo in error message. <Tim 'mithro' Ansell>
    | * | | 83b25813 - Fix the libcompiler_rt path. <Tim 'mithro' Ansell>
    | * | | 1c1c5bcb - Remove submodules. <Tim 'mithro' Ansell>
    | * | | c96d1e66 - Fix import for data. <Tim 'mithro' Ansell>
    | * | | 119985f3 - Use the current directory you are running. <Tim 'mithro' Ansell>
    | * | | 69367f8d - Make litex a namespace. <Tim 'mithro' Ansell>
    | * | | 3ae4f8f2 - Adding missing vexriscv CPU. <Tim 'mithro' Ansell>
    | * | | ac3fd794 - Adding missing comma. <Tim 'mithro' Ansell>
    | * | | 3df6c0c8 - Adding litex-data-software-compiler_rt as a required package. <Tim 'mithro' Ansell>
    | * | | 3964565e - Fixed quotes in `litex_setup.py` <Tim 'mithro' Ansell>
    | * | | d5a21a75 - Converting litex to use Python modules. <Tim 'mithro' Ansell>
    |  / /
    * | | 5ef869b9 - soc/cpu: add memory_buses to cpus and use them in add_sdram. <Florent Kermarrec>
    * | | 467fee3e - soc/cpu: rename cpu.buses to cpu.periph_buses. <Florent Kermarrec>
    |/ /
    * |   05815c4e - Merge pull request #477 from shuffle2/patch-1 <enjoy-digital>
    |\ \
    | * | f71014b9 - diamond: fix include paths <shuffle2>
    |/ /
    * | 4dece4ce - soc/cpu: simplify integration of CPU without interrupts (and automatically use UART_POLLING mode in this case). <Florent Kermarrec>
    * |   c5ef9c73 - Merge pull request #473 from fjullien/memusage <enjoy-digital>
    |\ \
    | * | 3892d7a9 - bios: print memory usage <Franck Jullien>
    * | | 9460e048 - tools/litex_sim: use similar analyzer configuration than wiki. <Florent Kermarrec>
    * | |   443cc72d - Merge pull request #476 from enjoy-digital/serv <enjoy-digital>
    |\ \ \
    | * | | 1d1a4ecd - software/irq: cleanup and make explicit that irqs are not supported with Microwatt and SERV, fix compilation warning. <Florent Kermarrec>
    | * | | fb9e369a - serv: connect reset. <Florent Kermarrec>
    | * | | 71778ad2 - serv: update copyrights (Greg Davill found the typos/issues). <Florent Kermarrec>
    | * | | 1f9db583 - serv/cores: fix verilog top level (use serv_rf_top instead of serv_top), working :). <Florent Kermarrec>
    | * | | 2efd939d - serv: fix ibus/dbus byte/word addressing inconsistency, add missing ibus.sel (thanks @GregDavill). <Florent Kermarrec>
    | * | | 22c39236 - initial SERV integration. <Florent Kermarrec>
    | | |/
    | |/|
    * | | c4c891de - build/icestorm: add verilog_read -defer option to yosys script (changes similar the ones applied to trellis). <Florent Kermarrec>
    * | |   192849f0 - Merge pull request #475 from gregdavill/read_verilog_defer <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | 642c4b30 - build/trellis: add verilog_read -defer option to yosys script <Greg Davill>
    |/ /
    * | 96e7e6e8 - bios/sdram: reduce number of scan loops during cdly scan to speed it up. <Florent Kermarrec>
    * | 43e1a5d6 - targets/kcu105: use cmd_latency=1. <Florent Kermarrec>
    * | 85a059bf - bios/sdram: add some margin on cdly ideal_delay, do the read_leveling even if write_leveling is not optimal. <Florent Kermarrec>
    * | 038e1bc0 - targets/kc705: manual DDRPHY_CMD_DELAY no longer needed. <Florent Kermarrec>
    * | aaed4b94 - bios/sdram: review/cleanup Command/Clock calibration, set window at the start instead of middle. <Florent Kermarrec>
    * |   33c7b2ce - Merge pull request #472 from antmicro/jboc/sdram-calibration <enjoy-digital>
    |\ \
    | * | ab92e81e - bios/sdram: add automatic cdly calibration during write leveling <Jędrzej Boczar>
    * | |   4608bd18 - Merge pull request #470 from antmicro/jboc/sdram-eeprom-timings <enjoy-digital>
    |\ \ \
    | |_|/
    |/| |
    | * | b0f8ee98 - litex_sim: add option to create SDRAM module from SPD data <Jędrzej Boczar>
    * | | 0b3c4b50 - soc/cores/spi: add optional aligned mode. <Florent Kermarrec>
    * | | 6bb22dfe - cores/spi: simplify. <Florent Kermarrec>
    * | | fc434af9 - build/lattice/common: add specific LatticeiCE40SDROutputImpl/LatticeiCE40SDRTristateImpl (thanks @tnt). <Florent Kermarrec>
    * | | 1457c320 - xilinx/common: use a common SDRTristate implementation for Spartan6, 7-Series and Ultrascale. <Florent Kermarrec>
    * | | 69462e66 - build/xilinx/common: add 7-Series/Ultrascale SDROutput/Input. <Florent Kermarrec>
    * | | 65e6ddc6 - lattice/common: add LatticeECP5DDRInput. <Florent Kermarrec>
    * | | 2031f280 - lattice/common: cleanup instances, simplify tritates. <Florent Kermarrec>
    * | | 2d25bcb0 - lattice/common: add LatticeiCE40DDRInput, LatticeiCE40SDROutput and LatticeiCE40SDRInput. <Florent Kermarrec>
    | |/
    |/|
    * | 56e15284 - platforms/de0nano: swap serial tx/rx to ease use of cheap FT232 based cables. <Florent Kermarrec>
    * | 08e4dc02 - tools/remote/etherbone: update import. <Florent Kermarrec>
    |/
    * 19f983c4 - targets: manual define of the SDRAM PHY no longer needed. <Florent Kermarrec>
    * c0f3710d - bios/sdram: update/simplify with new exported LiteDRAM parameters. <Florent Kermarrec>
    * 3915ed97 - litex_sim: add phytype to PhySettings. <Florent Kermarrec>
    * c0c5ae55 - build/generic_programmer: move requests import to do it only when needed. <Florent Kermarrec>
    * c9ab5939 - bios/sdram/ECP5: set ERR_DDRPHY_BITSLIP to 4. <Florent Kermarrec>

 * litex-boards changed from cb95962 to 1356ebb
    * 1356ebb - targets/ecp5: update clocking on boards with DDR3 to use reset from ddrphy.init and use primary clock for Power on reset. <Florent Kermarrec>
    *   4997399 - Merge pull request #85 from oskirby/logicbone <enjoy-digital>
    |\
    | * 76a32ba - Add Logicbone ECP5 board <Owen Kirby>
    * | efe33c9 - targets/arty: add fixed sdcard clock and remove sys2x (use NETWORKING interface_type on DDR3). <Florent Kermarrec>
    * | 6753a92 - targets: add fixed sdcard clock on boards with SDCard support. <Florent Kermarrec>
    * | 782c856 - platforms/genesys2: add usb_fifo. <Florent Kermarrec>
    * | 936ba5b - platforms/genesys2: add openocd specific …
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants