From 3516e3c73a62a9d74301336a4cf7d11013445e57 Mon Sep 17 00:00:00 2001 From: dalance Date: Wed, 21 Aug 2024 12:41:57 +0900 Subject: [PATCH] chore: Release --- CHANGELOG.md | 4 +++- Cargo.lock | 18 +++++++++--------- README.md | 2 +- crates/analyzer/Cargo.toml | 6 +++--- crates/emitter/Cargo.toml | 10 +++++----- crates/formatter/Cargo.toml | 6 +++--- crates/languageserver/Cargo.toml | 10 +++++----- crates/mdbook/Cargo.toml | 10 +++++----- crates/metadata/Cargo.toml | 4 ++-- crates/parser/Cargo.toml | 2 +- crates/sourcemap/Cargo.toml | 2 +- crates/tests/Cargo.toml | 10 +++++----- crates/veryl/Cargo.toml | 14 +++++++------- support/vscode/package.json | 2 +- 14 files changed, 51 insertions(+), 49 deletions(-) diff --git a/CHANGELOG.md b/CHANGELOG.md index af7de74d..9806178a 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -1,6 +1,8 @@ # Change Log -## [Unreleased](https://github.com/veryl-lang/veryl/compare/v0.11.3...Unreleased) - ReleaseDate +## [Unreleased](https://github.com/veryl-lang/veryl/compare/v0.12.0...Unreleased) - ReleaseDate + +## [v0.12.0](https://github.com/veryl-lang/veryl/compare/v0.11.3...v0.12.0) - 2024-08-21 ## [v0.11.3](https://github.com/veryl-lang/veryl/compare/v0.11.2...v0.11.3) - 2024-08-09 diff --git a/Cargo.lock b/Cargo.lock index 7f763279..a91e6206 100644 --- a/Cargo.lock +++ b/Cargo.lock @@ -2733,7 +2733,7 @@ dependencies = [ [[package]] name = "mdbook-veryl" -version = "0.11.3" +version = "0.12.0" dependencies = [ "anyhow", "clap 4.5.16", @@ -4812,7 +4812,7 @@ checksum = "0b928f33d975fc6ad9f86c8f283853ad26bdd5b10b7f1542aa2fa15e2289105a" [[package]] name = "veryl" -version = "0.11.3" +version = "0.12.0" dependencies = [ "anstyle", "clap 4.5.16", @@ -4843,7 +4843,7 @@ dependencies = [ [[package]] name = "veryl-analyzer" -version = "0.11.3" +version = "0.12.0" dependencies = [ "bimap", "daggy", @@ -4858,7 +4858,7 @@ dependencies = [ [[package]] name = "veryl-emitter" -version = "0.11.3" +version = "0.12.0" dependencies = [ "serde", "strnum_bitwidth", @@ -4871,7 +4871,7 @@ dependencies = [ [[package]] name = "veryl-formatter" -version = "0.11.3" +version = "0.12.0" dependencies = [ "veryl-metadata", "veryl-parser", @@ -4879,7 +4879,7 @@ dependencies = [ [[package]] name = "veryl-ls" -version = "0.11.3" +version = "0.12.0" dependencies = [ "async-channel", "dashmap 6.0.1", @@ -4898,7 +4898,7 @@ dependencies = [ [[package]] name = "veryl-metadata" -version = "0.11.3" +version = "0.12.0" dependencies = [ "directories", "fs4", @@ -4924,7 +4924,7 @@ dependencies = [ [[package]] name = "veryl-parser" -version = "0.11.3" +version = "0.12.0" dependencies = [ "anyhow", "bimap", @@ -4940,7 +4940,7 @@ dependencies = [ [[package]] name = "veryl-sourcemap" -version = "0.11.3" +version = "0.12.0" dependencies = [ "miette", "relative-path", diff --git a/README.md b/README.md index de3c7f5b..1d8056a4 100644 --- a/README.md +++ b/README.md @@ -2,7 +2,7 @@ [![Actions Status](https://github.com/veryl-lang/veryl/workflows/Regression/badge.svg)](https://github.com/veryl-lang/veryl/actions) [![Crates.io](https://img.shields.io/crates/v/veryl.svg)](https://crates.io/crates/veryl) -[![Changelog](https://img.shields.io/badge/changelog-v0.11.3-green.svg)](https://github.com/veryl-lang/veryl/blob/master/CHANGELOG.md) +[![Changelog](https://img.shields.io/badge/changelog-v0.12.0-green.svg)](https://github.com/veryl-lang/veryl/blob/master/CHANGELOG.md) Veryl is a modern hardware description language. diff --git a/crates/analyzer/Cargo.toml b/crates/analyzer/Cargo.toml index c1da1246..370327b9 100644 --- a/crates/analyzer/Cargo.toml +++ b/crates/analyzer/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl-analyzer" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true @@ -16,8 +16,8 @@ edition = "2021" itertools = "0.13.0" strnum_bitwidth = {workspace = true} thiserror = {workspace = true} -veryl-metadata = {version = "0.11.3", path = "../metadata"} -veryl-parser = {version = "0.11.3", path = "../parser"} +veryl-metadata = {version = "0.12.0", path = "../metadata"} +veryl-parser = {version = "0.12.0", path = "../parser"} daggy = "0.8.0" bimap = "0.6.3" diff --git a/crates/emitter/Cargo.toml b/crates/emitter/Cargo.toml index a0a9de59..00bf634b 100644 --- a/crates/emitter/Cargo.toml +++ b/crates/emitter/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl-emitter" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true @@ -15,10 +15,10 @@ edition = "2021" [dependencies] serde = {workspace = true} strnum_bitwidth = {workspace = true} -veryl-analyzer = {version = "0.11.3", path = "../analyzer"} -veryl-metadata = {version = "0.11.3", path = "../metadata"} -veryl-parser = {version = "0.11.3", path = "../parser"} -veryl-sourcemap = {version = "0.11.3", path = "../sourcemap"} +veryl-analyzer = {version = "0.12.0", path = "../analyzer"} +veryl-metadata = {version = "0.12.0", path = "../metadata"} +veryl-parser = {version = "0.12.0", path = "../parser"} +veryl-sourcemap = {version = "0.12.0", path = "../sourcemap"} [dev-dependencies] toml = {workspace = true} diff --git a/crates/formatter/Cargo.toml b/crates/formatter/Cargo.toml index 8c2972c4..8c582e6f 100644 --- a/crates/formatter/Cargo.toml +++ b/crates/formatter/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl-formatter" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true @@ -13,5 +13,5 @@ edition = "2021" # See more keys and their definitions at https://doc.rust-lang.org/cargo/reference/manifest.html [dependencies] -veryl-metadata = {version = "0.11.3", path = "../metadata"} -veryl-parser = {version = "0.11.3", path = "../parser"} +veryl-metadata = {version = "0.12.0", path = "../metadata"} +veryl-parser = {version = "0.12.0", path = "../parser"} diff --git a/crates/languageserver/Cargo.toml b/crates/languageserver/Cargo.toml index 73f9fcad..2daf2cd3 100644 --- a/crates/languageserver/Cargo.toml +++ b/crates/languageserver/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl-ls" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true @@ -24,7 +24,7 @@ miette = {workspace = true} serde_json = {workspace = true} tokio = {workspace = true} tower-lsp = "0.20.0" -veryl-analyzer = {version = "0.11.3", path = "../analyzer"} -veryl-formatter = {version = "0.11.3", path = "../formatter"} -veryl-metadata = {version = "0.11.3", path = "../metadata"} -veryl-parser = {version = "0.11.3", path = "../parser"} +veryl-analyzer = {version = "0.12.0", path = "../analyzer"} +veryl-formatter = {version = "0.12.0", path = "../formatter"} +veryl-metadata = {version = "0.12.0", path = "../metadata"} +veryl-parser = {version = "0.12.0", path = "../parser"} diff --git a/crates/mdbook/Cargo.toml b/crates/mdbook/Cargo.toml index 65e83db2..bd087aed 100644 --- a/crates/mdbook/Cargo.toml +++ b/crates/mdbook/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "mdbook-veryl" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true @@ -23,7 +23,7 @@ semver = {workspace = true} serde_json = {workspace = true} similar = {workspace = true} toml = {workspace = true} -veryl-analyzer = {version = "0.11.3", path = "../analyzer"} -veryl-formatter = {version = "0.11.3", path = "../formatter"} -veryl-metadata = {version = "0.11.3", path = "../metadata"} -veryl-parser = {version = "0.11.3", path = "../parser"} +veryl-analyzer = {version = "0.12.0", path = "../analyzer"} +veryl-formatter = {version = "0.12.0", path = "../formatter"} +veryl-metadata = {version = "0.12.0", path = "../metadata"} +veryl-parser = {version = "0.12.0", path = "../parser"} diff --git a/crates/metadata/Cargo.toml b/crates/metadata/Cargo.toml index 0cc34b9d..1beff800 100644 --- a/crates/metadata/Cargo.toml +++ b/crates/metadata/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl-metadata" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true @@ -27,7 +27,7 @@ thiserror = {workspace = true} toml = {workspace = true} url = {workspace = true} uuid = {version = "1.10", default-features = false, features = ["v5", "serde"]} -veryl-parser = {version = "0.11.3", path = "../parser"} +veryl-parser = {version = "0.12.0", path = "../parser"} walkdir = "2.5.0" [target.'cfg(target_family = "wasm")'.dependencies] diff --git a/crates/parser/Cargo.toml b/crates/parser/Cargo.toml index 69bee91a..4284c247 100644 --- a/crates/parser/Cargo.toml +++ b/crates/parser/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl-parser" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true diff --git a/crates/sourcemap/Cargo.toml b/crates/sourcemap/Cargo.toml index 23e77d18..ad404289 100644 --- a/crates/sourcemap/Cargo.toml +++ b/crates/sourcemap/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl-sourcemap" -version = "0.11.3" +version = "0.12.0" edition = "2021" authors.workspace = true repository.workspace = true diff --git a/crates/tests/Cargo.toml b/crates/tests/Cargo.toml index c31bb8fe..5bcaa7dd 100644 --- a/crates/tests/Cargo.toml +++ b/crates/tests/Cargo.toml @@ -6,11 +6,11 @@ edition = "2021" # See more keys and their definitions at https://doc.rust-lang.org/cargo/reference/manifest.html [dependencies] -veryl-analyzer = {version = "0.11.3", path = "../analyzer"} -veryl-emitter = {version = "0.11.3", path = "../emitter"} -veryl-formatter = {version = "0.11.3", path = "../formatter"} -veryl-metadata = {version = "0.11.3", path = "../metadata"} -veryl-parser = {version = "0.11.3", path = "../parser"} +veryl-analyzer = {version = "0.12.0", path = "../analyzer"} +veryl-emitter = {version = "0.12.0", path = "../emitter"} +veryl-formatter = {version = "0.12.0", path = "../formatter"} +veryl-metadata = {version = "0.12.0", path = "../metadata"} +veryl-parser = {version = "0.12.0", path = "../parser"} [dev-dependencies] criterion = "0.5.1" diff --git a/crates/veryl/Cargo.toml b/crates/veryl/Cargo.toml index 051a0ec3..f72a01d7 100644 --- a/crates/veryl/Cargo.toml +++ b/crates/veryl/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "veryl" -version = "0.11.3" +version = "0.12.0" authors.workspace = true repository.workspace = true keywords.workspace = true @@ -44,9 +44,9 @@ tempfile = {workspace = true} thiserror = {workspace = true} tokio = {workspace = true} tokio-util = "0.7.11" -veryl-analyzer = {version = "0.11.3", path = "../analyzer"} -veryl-emitter = {version = "0.11.3", path = "../emitter"} -veryl-formatter = {version = "0.11.3", path = "../formatter"} -veryl-metadata = {version = "0.11.3", path = "../metadata"} -veryl-parser = {version = "0.11.3", path = "../parser"} -veryl-sourcemap = {version = "0.11.3", path = "../sourcemap"} +veryl-analyzer = {version = "0.12.0", path = "../analyzer"} +veryl-emitter = {version = "0.12.0", path = "../emitter"} +veryl-formatter = {version = "0.12.0", path = "../formatter"} +veryl-metadata = {version = "0.12.0", path = "../metadata"} +veryl-parser = {version = "0.12.0", path = "../parser"} +veryl-sourcemap = {version = "0.12.0", path = "../sourcemap"} diff --git a/support/vscode/package.json b/support/vscode/package.json index 0fb322ba..a3b2b17a 100644 --- a/support/vscode/package.json +++ b/support/vscode/package.json @@ -2,7 +2,7 @@ "name": "vscode-veryl", "displayName": "Veryl", "description": "Veryl extension for Visual Studio Code", - "version": "0.11.3", + "version": "0.12.0", "publisher": "dalance", "icon": "veryl_icon.png", "galleryBanner": {