diff --git a/crates/analyzer/src/analyzer_error.rs b/crates/analyzer/src/analyzer_error.rs index 05e6125f..9bbf636d 100644 --- a/crates/analyzer/src/analyzer_error.rs +++ b/crates/analyzer/src/analyzer_error.rs @@ -321,6 +321,20 @@ pub enum AnalyzerError { error_location: SourceSpan, }, + #[diagnostic( + severity(Error), + code(invalid_case_condition_non_elaborative), + help(""), + url("") + )] + #[error("Case condition value cannot be used because it is not evaluable at elaboration time")] + InvalidCaseConditionNonElaborative { + #[source_code] + input: NamedSource, + #[label("Error location")] + error_location: SourceSpan, + }, + #[diagnostic( severity(Error), code(missing_default_argument), @@ -1008,6 +1022,13 @@ impl AnalyzerError { } } + pub fn invalid_case_condition_non_elaborative(source: &str, token: &TokenRange) -> Self { + AnalyzerError::InvalidCaseConditionNonElaborative { + input: AnalyzerError::named_source(source, token), + error_location: token.into(), + } + } + pub fn invalid_modport_variable_item( identifier: &str, source: &str, diff --git a/crates/analyzer/src/handlers/check_expression.rs b/crates/analyzer/src/handlers/check_expression.rs index b1748a03..f37a2572 100644 --- a/crates/analyzer/src/handlers/check_expression.rs +++ b/crates/analyzer/src/handlers/check_expression.rs @@ -1,4 +1,5 @@ use crate::analyzer_error::AnalyzerError; +use crate::evaluator::{Evaluated, Evaluator}; use crate::symbol::SymbolKind; use crate::symbol_table; use veryl_parser::veryl_grammar_trait::*; @@ -11,6 +12,8 @@ pub struct CheckExpression<'a> { pub errors: Vec, text: &'a str, point: HandlerPoint, + case_condition_depth: usize, + evaluator: Evaluator, } impl<'a> CheckExpression<'a> { @@ -29,6 +32,30 @@ impl<'a> Handler for CheckExpression<'a> { } impl<'a> VerylGrammarTrait for CheckExpression<'a> { + fn case_condition(&mut self, _arg: &CaseCondition) -> Result<(), ParolError> { + match self.point { + HandlerPoint::Before => self.case_condition_depth += 1, + HandlerPoint::After => self.case_condition_depth -= 1, + } + Ok(()) + } + + fn expression(&mut self, arg: &Expression) -> Result<(), ParolError> { + if let HandlerPoint::Before = self.point { + if self.case_condition_depth >= 1 { + let result = matches!(self.evaluator.expression(arg), Evaluated::Variable { .. }); + if result { + self.errors + .push(AnalyzerError::invalid_case_condition_non_elaborative( + self.text, + &arg.into(), + )); + } + } + } + Ok(()) + } + fn factor(&mut self, arg: &Factor) -> Result<(), ParolError> { if let HandlerPoint::Before = self.point { if let Factor::ExpressionIdentifierFactorOpt(x) = arg { diff --git a/crates/analyzer/src/tests.rs b/crates/analyzer/src/tests.rs index 7555da9c..2302d72a 100644 --- a/crates/analyzer/src/tests.rs +++ b/crates/analyzer/src/tests.rs @@ -1551,3 +1551,80 @@ fn invalid_assignment_to_const() { AnalyzerError::InvalidAssignmentToConst { .. } )); } + +#[test] +fn invalid_case_condition_expression() { + let code = r#" + module ModuleA ( + i_sel: input logic<2>, + i_a : input logic<3>, + o_b : output logic, + o_c : output logic, + ) { + local ONE: bit <2> = 2'd1; + + always_comb { + case i_sel { + 2'd0 : o_b = i_a[0]; + ONE : o_b = i_a[1]; + 2'b1x : o_b = i_a[2]; + default: o_b = i_a[2]; + } + } + + assign o_c = case i_sel { + 2'd0 : i_a[0], + ONE : i_a[1], + 2'b1x : i_a[2], + default: i_a[2], + }; + } + "#; + + let errors = analyze(code); + assert!(errors.is_empty()); + + let code = r#" + module ModuleB ( + i_sel: input logic<2>, + i_a : input logic<3>, + o_b : output logic, + ) { + let c: logic<2> = 2'd0; + + always_comb { + case i_sel { + c : o_b = i_a[0]; + default: o_b = i_a[1]; + } + } + } + "#; + + let errors = analyze(code); + assert!(matches!( + errors[0], + AnalyzerError::InvalidCaseConditionNonElaborative { .. } + )); + + let code = r#" + module ModuleC ( + i_sel: input logic<2>, + i_a : input logic<3>, + o_b : output logic, + ) { + let c: logic<2> = 2'd0; + + assign o_b = case i_sel { + c : i_a[0], + default: i_a[1], + }; + } + "#; + + let errors = analyze(code); + assert!(matches!( + errors[0], + AnalyzerError::InvalidCaseConditionNonElaborative { .. } + )); +} diff --git a/crates/emitter/src/aligner.rs b/crates/emitter/src/aligner.rs index f254732b..ddf6d95e 100644 --- a/crates/emitter/src/aligner.rs +++ b/crates/emitter/src/aligner.rs @@ -660,9 +660,9 @@ impl VerylWalker for Aligner { fn case_item(&mut self, arg: &CaseItem) { self.aligns[align_kind::EXPRESSION].start_item(); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { + CaseItemGroup::CaseCondition(x) => { + self.expression(&x.case_condition.expression); + for x in &x.case_condition.case_condition_list { self.comma(&x.comma); self.space(1); self.expression(&x.expression); diff --git a/crates/emitter/src/emitter.rs b/crates/emitter/src/emitter.rs index 7a15b126..6ce4c914 100644 --- a/crates/emitter/src/emitter.rs +++ b/crates/emitter/src/emitter.rs @@ -1052,52 +1052,52 @@ impl VerylWalker for Emitter { self.token(&arg.case.case_token.replace("((")); self.expression(&arg.expression); self.space(1); - self.str("=="); + self.str("==?"); self.space(1); - self.expression(&arg.expression0); + self.expression(&arg.case_condition.expression); self.str(") ? ("); self.newline_push(); - self.expression(&arg.expression1); + self.expression(&arg.expression0); self.newline_pop(); - for x in &arg.case_expression_list { + for x in &arg.case_condition.case_condition_list { self.token(&x.comma.comma_token.replace(")")); self.space(1); self.str(": ("); self.expression(&arg.expression); self.space(1); - self.str("=="); + self.str("==?"); self.space(1); self.expression(&x.expression); self.str(") ? ("); self.newline_push(); - self.expression(&arg.expression1); + self.expression(&arg.expression0); self.newline_pop(); } self.str(")"); self.space(1); - for x in &arg.case_expression_list0 { + for x in &arg.case_expression_list { self.str(": ("); self.expression(&arg.expression); self.space(1); - self.str("=="); + self.str("==?"); self.space(1); - self.expression(&x.expression); + self.expression(&x.case_condition.expression); self.str(") ? ("); self.newline_push(); - self.expression(&x.expression0); + self.expression(&x.expression); self.newline_pop(); - for y in &x.case_expression_list0_list { + for y in &x.case_condition.case_condition_list { self.token(&x.comma.comma_token.replace(")")); self.space(1); self.str(": ("); self.expression(&arg.expression); self.space(1); - self.str("=="); + self.str("==?"); self.space(1); self.expression(&y.expression); self.str(") ? ("); self.newline_push(); - self.expression(&x.expression0); + self.expression(&x.expression); self.newline_pop(); } self.token(&x.comma.comma_token.replace(")")); @@ -1105,7 +1105,7 @@ impl VerylWalker for Emitter { } self.str(": ("); self.newline_push(); - self.expression(&arg.expression2); + self.expression(&arg.expression1); self.newline_pop(); self.token(&arg.r_brace.r_brace_token.replace("))")); } @@ -1583,7 +1583,7 @@ impl VerylWalker for Emitter { self.space(1); self.str("("); self.expression(&arg.expression); - self.token_will_push(&arg.l_brace.l_brace_token.replace(")")); + self.token_will_push(&arg.l_brace.l_brace_token.replace(") inside")); for (i, x) in arg.case_statement_list.iter().enumerate() { self.newline_list(i); self.case_item(&x.case_item); @@ -1596,9 +1596,9 @@ impl VerylWalker for Emitter { fn case_item(&mut self, arg: &CaseItem) { let start = self.dst_column; match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { + CaseItemGroup::CaseCondition(x) => { + self.expression(&x.case_condition.expression); + for x in &x.case_condition.case_condition_list { self.comma(&x.comma); self.space(1); self.expression(&x.expression); diff --git a/crates/formatter/src/aligner.rs b/crates/formatter/src/aligner.rs index c2e58ede..711534b1 100644 --- a/crates/formatter/src/aligner.rs +++ b/crates/formatter/src/aligner.rs @@ -324,34 +324,24 @@ impl VerylWalker for Aligner { self.expression(&arg.expression); self.l_brace(&arg.l_brace); self.aligns[align_kind::EXPRESSION].start_item(); - self.expression(&arg.expression0); - for x in &arg.case_expression_list { - self.comma(&x.comma); - self.space(1); - self.expression(&arg.expression); - } + self.case_condition(&arg.case_condition); self.aligns[align_kind::EXPRESSION].finish_item(); self.colon(&arg.colon); - self.expression(&arg.expression1); + self.expression(&arg.expression0); self.comma(&arg.comma); - for x in &arg.case_expression_list0 { + for x in &arg.case_expression_list { self.aligns[align_kind::EXPRESSION].start_item(); - self.expression(&x.expression); - for x in &x.case_expression_list0_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } + self.case_condition(&x.case_condition); self.aligns[align_kind::EXPRESSION].finish_item(); self.colon(&x.colon); - self.expression(&x.expression0); + self.expression(&x.expression); self.comma(&x.comma); } self.aligns[align_kind::EXPRESSION].start_item(); self.defaul(&arg.defaul); self.aligns[align_kind::EXPRESSION].finish_item(); self.colon(&arg.colon0); - self.expression(&arg.expression2); + self.expression(&arg.expression1); if let Some(ref x) = arg.case_expression_opt { self.comma(&x.comma); } @@ -525,14 +515,7 @@ impl VerylWalker for Aligner { fn case_item(&mut self, arg: &CaseItem) { self.aligns[align_kind::EXPRESSION].start_item(); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } - } + CaseItemGroup::CaseCondition(x) => self.case_condition(&x.case_condition), CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), } self.aligns[align_kind::EXPRESSION].finish_item(); @@ -549,6 +532,16 @@ impl VerylWalker for Aligner { } } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, arg: &CaseCondition) { + self.expression(&arg.expression); + for x in &arg.case_condition_list { + self.comma(&x.comma); + self.space(1); + self.expression(&x.expression); + } + } + /// Semantic action for non-terminal 'LetDeclaration' fn let_declaration(&mut self, arg: &LetDeclaration) { self.r#let(&arg.r#let); diff --git a/crates/formatter/src/formatter.rs b/crates/formatter/src/formatter.rs index 12cbd73e..c14c879d 100644 --- a/crates/formatter/src/formatter.rs +++ b/crates/formatter/src/formatter.rs @@ -455,34 +455,24 @@ impl VerylWalker for Formatter { self.space(1); self.token_will_push(&arg.l_brace.l_brace_token); self.newline_push(); - self.expression(&arg.expression0); - for x in &arg.case_expression_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } + self.case_condition(&arg.case_condition); self.colon(&arg.colon); self.space(1); - self.expression(&arg.expression1); + self.expression(&arg.expression0); self.comma(&arg.comma); self.newline(); - for x in &arg.case_expression_list0 { - self.expression(&x.expression); - for x in &x.case_expression_list0_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } + for x in &arg.case_expression_list { + self.case_condition(&x.case_condition); self.colon(&x.colon); self.space(1); - self.expression(&x.expression0); + self.expression(&x.expression); self.comma(&x.comma); self.newline(); } self.defaul(&arg.defaul); self.colon(&arg.colon0); self.space(1); - self.expression(&arg.expression2); + self.expression(&arg.expression1); if let Some(ref x) = arg.case_expression_opt { self.comma(&x.comma); } else { @@ -768,14 +758,7 @@ impl VerylWalker for Formatter { fn case_item(&mut self, arg: &CaseItem) { let start = self.column(); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } - } + CaseItemGroup::CaseCondition(x) => self.case_condition(&x.case_condition), CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), } self.colon(&arg.colon); @@ -796,6 +779,16 @@ impl VerylWalker for Formatter { self.case_item_indent.pop(); } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, arg: &CaseCondition) { + self.expression(&arg.expression); + for x in &arg.case_condition_list { + self.comma(&x.comma); + self.space(1); + self.expression(&x.expression); + } + } + /// Semantic action for non-terminal 'AttributeList' fn attribute_list(&mut self, arg: &AttributeList) { self.attribute_item(&arg.attribute_item); diff --git a/crates/parser/src/generated/veryl-exp.par b/crates/parser/src/generated/veryl-exp.par index 1df13687..28dd0adc 100644 --- a/crates/parser/src/generated/veryl-exp.par +++ b/crates/parser/src/generated/veryl-exp.par @@ -461,470 +461,467 @@ /* 446 */ IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; /* 447 */ IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList; /* 448 */ IfExpressionList /* Vec::New */: ; -/* 449 */ CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; -/* 450 */ CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; -/* 451 */ CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List; -/* 452 */ CaseExpressionList0List /* Vec::New */: ; -/* 453 */ CaseExpressionList0 /* Vec::New */: ; -/* 454 */ CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList; -/* 455 */ CaseExpressionList /* Vec::New */: ; -/* 456 */ CaseExpressionOpt /* Option::Some */: Comma; -/* 457 */ CaseExpressionOpt /* Option::None */: ; -/* 458 */ TypeExpression: ScalarType; -/* 459 */ TypeExpression: Type LParen Expression RParen; -/* 460 */ InsideExpression: Inside Expression LBrace RangeList RBrace; -/* 461 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; -/* 462 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; -/* 463 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; -/* 464 */ RangeListList /* Vec::New */: ; -/* 465 */ RangeListOpt /* Option::Some */: Comma; -/* 466 */ RangeListOpt /* Option::None */: ; -/* 467 */ RangeItem: Range; -/* 468 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; -/* 469 */ SelectOpt /* Option::Some */: SelectOperator Expression; -/* 470 */ SelectOpt /* Option::None */: ; -/* 471 */ SelectOperator: Colon; -/* 472 */ SelectOperator: PlusColon; -/* 473 */ SelectOperator: MinusColon; -/* 474 */ SelectOperator: Step; -/* 475 */ Width: LAngle Expression WidthList /* Vec */ RAngle; -/* 476 */ WidthList /* Vec::Push */: Comma Expression WidthList; -/* 477 */ WidthList /* Vec::New */: ; -/* 478 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; -/* 479 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; -/* 480 */ ArrayList /* Vec::New */: ; -/* 481 */ Range: Expression RangeOpt /* Option */; -/* 482 */ RangeOpt /* Option::Some */: RangeOperator Expression; -/* 483 */ RangeOpt /* Option::None */: ; -/* 484 */ RangeOperator: DotDot; -/* 485 */ RangeOperator: DotDotEqu; -/* 486 */ FixedType: U32; -/* 487 */ FixedType: U64; -/* 488 */ FixedType: I32; -/* 489 */ FixedType: I64; -/* 490 */ FixedType: F32; -/* 491 */ FixedType: F64; -/* 492 */ FixedType: Strin; -/* 493 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; -/* 494 */ VariableTypeGroup: Clock; -/* 495 */ VariableTypeGroup: ClockPosedge; -/* 496 */ VariableTypeGroup: ClockNegedge; -/* 497 */ VariableTypeGroup: Reset; -/* 498 */ VariableTypeGroup: ResetAsyncHigh; -/* 499 */ VariableTypeGroup: ResetAsyncLow; -/* 500 */ VariableTypeGroup: ResetSyncHigh; -/* 501 */ VariableTypeGroup: ResetSyncLow; -/* 502 */ VariableTypeGroup: Logic; -/* 503 */ VariableTypeGroup: Bit; -/* 504 */ VariableTypeGroup: ScopedIdentifier; -/* 505 */ VariableTypeOpt /* Option::Some */: Width; -/* 506 */ VariableTypeOpt /* Option::None */: ; -/* 507 */ TypeModifier: Tri; -/* 508 */ TypeModifier: Signed; -/* 509 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; -/* 510 */ ScalarTypeGroup: VariableType; -/* 511 */ ScalarTypeGroup: FixedType; -/* 512 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; -/* 513 */ ScalarTypeList /* Vec::New */: ; -/* 514 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; -/* 515 */ ArrayTypeOpt /* Option::Some */: Array; -/* 516 */ ArrayTypeOpt /* Option::None */: ; -/* 517 */ Statement: LetStatement; -/* 518 */ Statement: IdentifierStatement; -/* 519 */ Statement: IfStatement; -/* 520 */ Statement: IfResetStatement; -/* 521 */ Statement: ReturnStatement; -/* 522 */ Statement: BreakStatement; -/* 523 */ Statement: ForStatement; -/* 524 */ Statement: CaseStatement; -/* 525 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 526 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; -/* 527 */ IdentifierStatementGroup: FunctionCall; -/* 528 */ IdentifierStatementGroup: Assignment; -/* 529 */ Assignment: AssignmentGroup Expression; -/* 530 */ AssignmentGroup: Equ; -/* 531 */ AssignmentGroup: AssignmentOperator; -/* 532 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; -/* 533 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; -/* 534 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; -/* 535 */ IfStatementList0List /* Vec::New */: ; -/* 536 */ IfStatementList0 /* Vec::New */: ; -/* 537 */ IfStatementList /* Vec::Push */: Statement IfStatementList; -/* 538 */ IfStatementList /* Vec::New */: ; -/* 539 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; -/* 540 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; -/* 541 */ IfStatementOptList /* Vec::New */: ; -/* 542 */ IfStatementOpt /* Option::None */: ; -/* 543 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; -/* 544 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; -/* 545 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; -/* 546 */ IfResetStatementList0List /* Vec::New */: ; -/* 547 */ IfResetStatementList0 /* Vec::New */: ; -/* 548 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; -/* 549 */ IfResetStatementList /* Vec::New */: ; -/* 550 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; -/* 551 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; -/* 552 */ IfResetStatementOptList /* Vec::New */: ; -/* 553 */ IfResetStatementOpt /* Option::None */: ; -/* 554 */ ReturnStatement: Return Expression Semicolon; -/* 555 */ BreakStatement: Break Semicolon; -/* 556 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; -/* 557 */ ForStatementList /* Vec::Push */: Statement ForStatementList; -/* 558 */ ForStatementList /* Vec::New */: ; -/* 559 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 560 */ ForStatementOpt /* Option::None */: ; -/* 561 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; -/* 562 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; -/* 563 */ CaseStatementList /* Vec::New */: ; -/* 564 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; -/* 565 */ CaseItemGroup0: Statement; -/* 566 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; -/* 567 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; -/* 568 */ CaseItemGroup0List /* Vec::New */: ; -/* 569 */ CaseItemGroup: Expression CaseItemGroupList /* Vec */; -/* 570 */ CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList; -/* 571 */ CaseItemGroupList /* Vec::New */: ; -/* 572 */ CaseItemGroup: Defaul; -/* 573 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; -/* 574 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; -/* 575 */ AttributeOpt /* Option::None */: ; -/* 576 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; -/* 577 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; -/* 578 */ AttributeListList /* Vec::New */: ; -/* 579 */ AttributeListOpt /* Option::Some */: Comma; -/* 580 */ AttributeListOpt /* Option::None */: ; -/* 581 */ AttributeItem: Identifier; -/* 582 */ AttributeItem: StringLiteral; -/* 583 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 584 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; -/* 585 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; -/* 586 */ LocalDeclarationGroup: ArrayType Equ Expression; -/* 587 */ LocalDeclarationGroup: Type Equ TypeExpression; -/* 588 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; -/* 589 */ AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; -/* 590 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; -/* 591 */ AlwaysFfDeclarationList /* Vec::New */: ; -/* 592 */ AlwaysFfDeclarationOpt /* Option::Some */: AlwayfFfEventList; -/* 593 */ AlwaysFfDeclarationOpt /* Option::None */: ; -/* 594 */ AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; -/* 595 */ AlwayfFfEventListOpt /* Option::Some */: Comma AlwaysFfReset; -/* 596 */ AlwayfFfEventListOpt /* Option::None */: ; -/* 597 */ AlwaysFfClock: HierarchicalIdentifier; -/* 598 */ AlwaysFfReset: HierarchicalIdentifier; -/* 599 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; -/* 600 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; -/* 601 */ AlwaysCombDeclarationList /* Vec::New */: ; -/* 602 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; -/* 603 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; -/* 604 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; -/* 605 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; -/* 606 */ ModportListList /* Vec::New */: ; -/* 607 */ ModportListOpt /* Option::Some */: Comma; -/* 608 */ ModportListOpt /* Option::None */: ; -/* 609 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; -/* 610 */ ModportGroupGroup: LBrace ModportList RBrace; -/* 611 */ ModportGroupGroup: ModportItem; -/* 612 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; -/* 613 */ ModportGroupList /* Vec::New */: ; -/* 614 */ ModportItem: Identifier Colon Direction; -/* 615 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; -/* 616 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; -/* 617 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; -/* 618 */ EnumListList /* Vec::New */: ; -/* 619 */ EnumListOpt /* Option::Some */: Comma; -/* 620 */ EnumListOpt /* Option::None */: ; -/* 621 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; -/* 622 */ EnumGroupGroup: LBrace EnumList RBrace; -/* 623 */ EnumGroupGroup: EnumItem; -/* 624 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; -/* 625 */ EnumGroupList /* Vec::New */: ; -/* 626 */ EnumItem: Identifier EnumItemOpt /* Option */; -/* 627 */ EnumItemOpt /* Option::Some */: Equ Expression; -/* 628 */ EnumItemOpt /* Option::None */: ; -/* 629 */ StructUnion: Struct; -/* 630 */ StructUnion: Union; -/* 631 */ StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; -/* 632 */ StructUnionDeclarationOpt /* Option::Some */: WithGenericParameter; -/* 633 */ StructUnionDeclarationOpt /* Option::None */: ; -/* 634 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; -/* 635 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; -/* 636 */ StructUnionListList /* Vec::New */: ; -/* 637 */ StructUnionListOpt /* Option::Some */: Comma; -/* 638 */ StructUnionListOpt /* Option::None */: ; -/* 639 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; -/* 640 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; -/* 641 */ StructUnionGroupGroup: StructUnionItem; -/* 642 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; -/* 643 */ StructUnionGroupList /* Vec::New */: ; -/* 644 */ StructUnionItem: Identifier Colon ScalarType; -/* 645 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; -/* 646 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; -/* 647 */ InitialDeclarationList /* Vec::New */: ; -/* 648 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; -/* 649 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; -/* 650 */ FinalDeclarationList /* Vec::New */: ; -/* 651 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; -/* 652 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; -/* 653 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; -/* 654 */ InstDeclarationOpt2 /* Option::None */: ; -/* 655 */ InstDeclarationOpt1 /* Option::None */: ; -/* 656 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; -/* 657 */ InstDeclarationOpt0 /* Option::None */: ; -/* 658 */ InstDeclarationOpt /* Option::Some */: Array; -/* 659 */ InstDeclarationOpt /* Option::None */: ; -/* 660 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; -/* 661 */ InstParameterOpt /* Option::Some */: InstParameterList; -/* 662 */ InstParameterOpt /* Option::None */: ; -/* 663 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; -/* 664 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; -/* 665 */ InstParameterListList /* Vec::New */: ; -/* 666 */ InstParameterListOpt /* Option::Some */: Comma; -/* 667 */ InstParameterListOpt /* Option::None */: ; -/* 668 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; -/* 669 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; -/* 670 */ InstParameterGroupGroup: InstParameterItem; -/* 671 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; -/* 672 */ InstParameterGroupList /* Vec::New */: ; -/* 673 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; -/* 674 */ InstParameterItemOpt /* Option::Some */: Colon Expression; -/* 675 */ InstParameterItemOpt /* Option::None */: ; -/* 676 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; -/* 677 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; -/* 678 */ InstPortListList /* Vec::New */: ; -/* 679 */ InstPortListOpt /* Option::Some */: Comma; -/* 680 */ InstPortListOpt /* Option::None */: ; -/* 681 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; -/* 682 */ InstPortGroupGroup: LBrace InstPortList RBrace; -/* 683 */ InstPortGroupGroup: InstPortItem; -/* 684 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; -/* 685 */ InstPortGroupList /* Vec::New */: ; -/* 686 */ InstPortItem: Identifier InstPortItemOpt /* Option */; -/* 687 */ InstPortItemOpt /* Option::Some */: Colon Expression; -/* 688 */ InstPortItemOpt /* Option::None */: ; -/* 689 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; -/* 690 */ WithParameterOpt /* Option::Some */: WithParameterList; -/* 691 */ WithParameterOpt /* Option::None */: ; -/* 692 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; -/* 693 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; -/* 694 */ WithParameterListList /* Vec::New */: ; -/* 695 */ WithParameterListOpt /* Option::Some */: Comma; -/* 696 */ WithParameterListOpt /* Option::None */: ; -/* 697 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; -/* 698 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; -/* 699 */ WithParameterGroupGroup: WithParameterItem; -/* 700 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; -/* 701 */ WithParameterGroupList /* Vec::New */: ; -/* 702 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; -/* 703 */ WithParameterItemGroup0: ArrayType Equ Expression; -/* 704 */ WithParameterItemGroup0: Type Equ TypeExpression; -/* 705 */ WithParameterItemGroup: Param; -/* 706 */ WithParameterItemGroup: Local; -/* 707 */ WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; -/* 708 */ WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; -/* 709 */ WithGenericParameterListList /* Vec::Push */: Comma WithGenericParameterItem WithGenericParameterListList; -/* 710 */ WithGenericParameterListList /* Vec::New */: ; -/* 711 */ WithGenericParameterListOpt /* Option::Some */: Comma; -/* 712 */ WithGenericParameterListOpt /* Option::None */: ; -/* 713 */ WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; -/* 714 */ WithGenericParameterItemOpt /* Option::Some */: Equ WithGenericArgumentItem; -/* 715 */ WithGenericParameterItemOpt /* Option::None */: ; -/* 716 */ WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentOpt /* Option */ RAngle %pop(); -/* 717 */ WithGenericArgumentOpt /* Option::Some */: WithGenericArgumentList; -/* 718 */ WithGenericArgumentOpt /* Option::None */: ; -/* 719 */ WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; -/* 720 */ WithGenericArgumentListList /* Vec::Push */: Comma WithGenericArgumentItem WithGenericArgumentListList; -/* 721 */ WithGenericArgumentListList /* Vec::New */: ; -/* 722 */ WithGenericArgumentListOpt /* Option::Some */: Comma; -/* 723 */ WithGenericArgumentListOpt /* Option::None */: ; -/* 724 */ WithGenericArgumentItem: ScopedIdentifier; -/* 725 */ WithGenericArgumentItem: Number; -/* 726 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; -/* 727 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; -/* 728 */ PortDeclarationOpt /* Option::None */: ; -/* 729 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; -/* 730 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; -/* 731 */ PortDeclarationListList /* Vec::New */: ; -/* 732 */ PortDeclarationListOpt /* Option::Some */: Comma; -/* 733 */ PortDeclarationListOpt /* Option::None */: ; -/* 734 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; -/* 735 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; -/* 736 */ PortDeclarationGroupGroup: PortDeclarationItem; -/* 737 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; -/* 738 */ PortDeclarationGroupList /* Vec::New */: ; -/* 739 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; -/* 740 */ PortDeclarationItemGroup: Direction ArrayType; -/* 741 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; -/* 742 */ PortDeclarationItemOpt /* Option::Some */: Array; -/* 743 */ PortDeclarationItemOpt /* Option::None */: ; -/* 744 */ Direction: Input; -/* 745 */ Direction: Output; -/* 746 */ Direction: Inout; -/* 747 */ Direction: Ref; -/* 748 */ Direction: Modport; -/* 749 */ Direction: Import; -/* 750 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; -/* 751 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; -/* 752 */ FunctionDeclarationList /* Vec::New */: ; -/* 753 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; -/* 754 */ FunctionDeclarationOpt1 /* Option::None */: ; -/* 755 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; -/* 756 */ FunctionDeclarationOpt0 /* Option::None */: ; -/* 757 */ FunctionDeclarationOpt /* Option::Some */: WithGenericParameter; -/* 758 */ FunctionDeclarationOpt /* Option::None */: ; -/* 759 */ FunctionItem: VarDeclaration; -/* 760 */ FunctionItem: Statement; -/* 761 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; -/* 762 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 763 */ ImportDeclarationOpt /* Option::None */: ; -/* 764 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; -/* 765 */ ExportDeclarationGroup: Star; -/* 766 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; -/* 767 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 768 */ ExportDeclarationOpt /* Option::None */: ; -/* 769 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; -/* 770 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; -/* 771 */ ModuleDeclarationList /* Vec::New */: ; -/* 772 */ ModuleDeclarationOpt2 /* Option::Some */: PortDeclaration; -/* 773 */ ModuleDeclarationOpt2 /* Option::None */: ; -/* 774 */ ModuleDeclarationOpt1 /* Option::Some */: WithParameter; -/* 775 */ ModuleDeclarationOpt1 /* Option::None */: ; -/* 776 */ ModuleDeclarationOpt0 /* Option::Some */: WithGenericParameter; -/* 777 */ ModuleDeclarationOpt0 /* Option::None */: ; -/* 778 */ ModuleDeclarationOpt /* Option::Some */: Pub; -/* 779 */ ModuleDeclarationOpt /* Option::None */: ; -/* 780 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; -/* 781 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; -/* 782 */ ModuleIfDeclarationList /* Vec::New */: ; -/* 783 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; -/* 784 */ ModuleIfDeclarationOpt /* Option::None */: ; -/* 785 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; -/* 786 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 787 */ ModuleForDeclarationOpt /* Option::None */: ; -/* 788 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; -/* 789 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; -/* 790 */ ModuleNamedBlockList /* Vec::New */: ; -/* 791 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; -/* 792 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; -/* 793 */ ModuleOptionalNamedBlockList /* Vec::New */: ; -/* 794 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 795 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; -/* 796 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; -/* 797 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; -/* 798 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; -/* 799 */ ModuleGroupGroupList /* Vec::New */: ; -/* 800 */ ModuleGroupGroup: ModuleItem; -/* 801 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; -/* 802 */ ModuleGroupList /* Vec::New */: ; -/* 803 */ ModuleItem: LetDeclaration; -/* 804 */ ModuleItem: VarDeclaration; -/* 805 */ ModuleItem: InstDeclaration; -/* 806 */ ModuleItem: TypeDefDeclaration; -/* 807 */ ModuleItem: LocalDeclaration; -/* 808 */ ModuleItem: AlwaysFfDeclaration; -/* 809 */ ModuleItem: AlwaysCombDeclaration; -/* 810 */ ModuleItem: AssignDeclaration; -/* 811 */ ModuleItem: FunctionDeclaration; -/* 812 */ ModuleItem: ModuleIfDeclaration; -/* 813 */ ModuleItem: ModuleForDeclaration; -/* 814 */ ModuleItem: EnumDeclaration; -/* 815 */ ModuleItem: StructUnionDeclaration; -/* 816 */ ModuleItem: ModuleNamedBlock; -/* 817 */ ModuleItem: ImportDeclaration; -/* 818 */ ModuleItem: InitialDeclaration; -/* 819 */ ModuleItem: FinalDeclaration; -/* 820 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; -/* 821 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; -/* 822 */ InterfaceDeclarationList /* Vec::New */: ; -/* 823 */ InterfaceDeclarationOpt1 /* Option::Some */: WithParameter; -/* 824 */ InterfaceDeclarationOpt1 /* Option::None */: ; -/* 825 */ InterfaceDeclarationOpt0 /* Option::Some */: WithGenericParameter; -/* 826 */ InterfaceDeclarationOpt0 /* Option::None */: ; -/* 827 */ InterfaceDeclarationOpt /* Option::Some */: Pub; -/* 828 */ InterfaceDeclarationOpt /* Option::None */: ; -/* 829 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; -/* 830 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; -/* 831 */ InterfaceIfDeclarationList /* Vec::New */: ; -/* 832 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; -/* 833 */ InterfaceIfDeclarationOpt /* Option::None */: ; -/* 834 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; -/* 835 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 836 */ InterfaceForDeclarationOpt /* Option::None */: ; -/* 837 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; -/* 838 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; -/* 839 */ InterfaceNamedBlockList /* Vec::New */: ; -/* 840 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; -/* 841 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; -/* 842 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; -/* 843 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 844 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; -/* 845 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; -/* 846 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; -/* 847 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; -/* 848 */ InterfaceGroupGroupList /* Vec::New */: ; -/* 849 */ InterfaceGroupGroup: InterfaceItem; -/* 850 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; -/* 851 */ InterfaceGroupList /* Vec::New */: ; -/* 852 */ InterfaceItem: LetDeclaration; -/* 853 */ InterfaceItem: VarDeclaration; -/* 854 */ InterfaceItem: LocalDeclaration; -/* 855 */ InterfaceItem: ModportDeclaration; -/* 856 */ InterfaceItem: InterfaceIfDeclaration; -/* 857 */ InterfaceItem: InterfaceForDeclaration; -/* 858 */ InterfaceItem: TypeDefDeclaration; -/* 859 */ InterfaceItem: EnumDeclaration; -/* 860 */ InterfaceItem: StructUnionDeclaration; -/* 861 */ InterfaceItem: InterfaceNamedBlock; -/* 862 */ InterfaceItem: FunctionDeclaration; -/* 863 */ InterfaceItem: ImportDeclaration; -/* 864 */ InterfaceItem: InitialDeclaration; -/* 865 */ InterfaceItem: FinalDeclaration; -/* 866 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; -/* 867 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; -/* 868 */ PackageDeclarationList /* Vec::New */: ; -/* 869 */ PackageDeclarationOpt0 /* Option::Some */: WithGenericParameter; -/* 870 */ PackageDeclarationOpt0 /* Option::None */: ; -/* 871 */ PackageDeclarationOpt /* Option::Some */: Pub; -/* 872 */ PackageDeclarationOpt /* Option::None */: ; -/* 873 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; -/* 874 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; -/* 875 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; -/* 876 */ PackageGroupGroupList /* Vec::New */: ; -/* 877 */ PackageGroupGroup: PackageItem; -/* 878 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; -/* 879 */ PackageGroupList /* Vec::New */: ; -/* 880 */ PackageItem: VarDeclaration; -/* 881 */ PackageItem: LocalDeclaration; -/* 882 */ PackageItem: TypeDefDeclaration; -/* 883 */ PackageItem: EnumDeclaration; -/* 884 */ PackageItem: StructUnionDeclaration; -/* 885 */ PackageItem: FunctionDeclaration; -/* 886 */ PackageItem: ImportDeclaration; -/* 887 */ PackageItem: ExportDeclaration; -/* 888 */ PackageItem: InitialDeclaration; -/* 889 */ PackageItem: FinalDeclaration; -/* 890 */ EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; -/* 891 */ EmbedContent: EmbedContentToken : VerylToken; -/* 892 */ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop() Comments; -/* 893 */ EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList; -/* 894 */ EmbedContentTokenList /* Vec::New */: ; -/* 895 */ EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; -/* 896 */ EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList; -/* 897 */ EmbedItemList /* Vec::New */: ; -/* 898 */ EmbedItem: AnyTerm; -/* 899 */ IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; -/* 900 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; -/* 901 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; -/* 902 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; -/* 903 */ DescriptionGroupGroupList /* Vec::New */: ; -/* 904 */ DescriptionGroupGroup: DescriptionItem; -/* 905 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; -/* 906 */ DescriptionGroupList /* Vec::New */: ; -/* 907 */ DescriptionItem: ModuleDeclaration; -/* 908 */ DescriptionItem: InterfaceDeclaration; -/* 909 */ DescriptionItem: PackageDeclaration; -/* 910 */ DescriptionItem: ImportDeclaration; -/* 911 */ DescriptionItem: EmbedDeclaration; -/* 912 */ DescriptionItem: IncludeDeclaration; -/* 913 */ Veryl: Start VerylList /* Vec */; -/* 914 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; -/* 915 */ VerylList /* Vec::New */: ; +/* 449 */ CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma CaseExpressionList /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; +/* 450 */ CaseExpressionList /* Vec::Push */: CaseCondition Colon Expression Comma CaseExpressionList; +/* 451 */ CaseExpressionList /* Vec::New */: ; +/* 452 */ CaseExpressionOpt /* Option::Some */: Comma; +/* 453 */ CaseExpressionOpt /* Option::None */: ; +/* 454 */ TypeExpression: ScalarType; +/* 455 */ TypeExpression: Type LParen Expression RParen; +/* 456 */ InsideExpression: Inside Expression LBrace RangeList RBrace; +/* 457 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; +/* 458 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; +/* 459 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; +/* 460 */ RangeListList /* Vec::New */: ; +/* 461 */ RangeListOpt /* Option::Some */: Comma; +/* 462 */ RangeListOpt /* Option::None */: ; +/* 463 */ RangeItem: Range; +/* 464 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; +/* 465 */ SelectOpt /* Option::Some */: SelectOperator Expression; +/* 466 */ SelectOpt /* Option::None */: ; +/* 467 */ SelectOperator: Colon; +/* 468 */ SelectOperator: PlusColon; +/* 469 */ SelectOperator: MinusColon; +/* 470 */ SelectOperator: Step; +/* 471 */ Width: LAngle Expression WidthList /* Vec */ RAngle; +/* 472 */ WidthList /* Vec::Push */: Comma Expression WidthList; +/* 473 */ WidthList /* Vec::New */: ; +/* 474 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; +/* 475 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; +/* 476 */ ArrayList /* Vec::New */: ; +/* 477 */ Range: Expression RangeOpt /* Option */; +/* 478 */ RangeOpt /* Option::Some */: RangeOperator Expression; +/* 479 */ RangeOpt /* Option::None */: ; +/* 480 */ RangeOperator: DotDot; +/* 481 */ RangeOperator: DotDotEqu; +/* 482 */ FixedType: U32; +/* 483 */ FixedType: U64; +/* 484 */ FixedType: I32; +/* 485 */ FixedType: I64; +/* 486 */ FixedType: F32; +/* 487 */ FixedType: F64; +/* 488 */ FixedType: Strin; +/* 489 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; +/* 490 */ VariableTypeGroup: Clock; +/* 491 */ VariableTypeGroup: ClockPosedge; +/* 492 */ VariableTypeGroup: ClockNegedge; +/* 493 */ VariableTypeGroup: Reset; +/* 494 */ VariableTypeGroup: ResetAsyncHigh; +/* 495 */ VariableTypeGroup: ResetAsyncLow; +/* 496 */ VariableTypeGroup: ResetSyncHigh; +/* 497 */ VariableTypeGroup: ResetSyncLow; +/* 498 */ VariableTypeGroup: Logic; +/* 499 */ VariableTypeGroup: Bit; +/* 500 */ VariableTypeGroup: ScopedIdentifier; +/* 501 */ VariableTypeOpt /* Option::Some */: Width; +/* 502 */ VariableTypeOpt /* Option::None */: ; +/* 503 */ TypeModifier: Tri; +/* 504 */ TypeModifier: Signed; +/* 505 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; +/* 506 */ ScalarTypeGroup: VariableType; +/* 507 */ ScalarTypeGroup: FixedType; +/* 508 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; +/* 509 */ ScalarTypeList /* Vec::New */: ; +/* 510 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; +/* 511 */ ArrayTypeOpt /* Option::Some */: Array; +/* 512 */ ArrayTypeOpt /* Option::None */: ; +/* 513 */ Statement: LetStatement; +/* 514 */ Statement: IdentifierStatement; +/* 515 */ Statement: IfStatement; +/* 516 */ Statement: IfResetStatement; +/* 517 */ Statement: ReturnStatement; +/* 518 */ Statement: BreakStatement; +/* 519 */ Statement: ForStatement; +/* 520 */ Statement: CaseStatement; +/* 521 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 522 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; +/* 523 */ IdentifierStatementGroup: FunctionCall; +/* 524 */ IdentifierStatementGroup: Assignment; +/* 525 */ Assignment: AssignmentGroup Expression; +/* 526 */ AssignmentGroup: Equ; +/* 527 */ AssignmentGroup: AssignmentOperator; +/* 528 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; +/* 529 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; +/* 530 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; +/* 531 */ IfStatementList0List /* Vec::New */: ; +/* 532 */ IfStatementList0 /* Vec::New */: ; +/* 533 */ IfStatementList /* Vec::Push */: Statement IfStatementList; +/* 534 */ IfStatementList /* Vec::New */: ; +/* 535 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; +/* 536 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; +/* 537 */ IfStatementOptList /* Vec::New */: ; +/* 538 */ IfStatementOpt /* Option::None */: ; +/* 539 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; +/* 540 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; +/* 541 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; +/* 542 */ IfResetStatementList0List /* Vec::New */: ; +/* 543 */ IfResetStatementList0 /* Vec::New */: ; +/* 544 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; +/* 545 */ IfResetStatementList /* Vec::New */: ; +/* 546 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; +/* 547 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; +/* 548 */ IfResetStatementOptList /* Vec::New */: ; +/* 549 */ IfResetStatementOpt /* Option::None */: ; +/* 550 */ ReturnStatement: Return Expression Semicolon; +/* 551 */ BreakStatement: Break Semicolon; +/* 552 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; +/* 553 */ ForStatementList /* Vec::Push */: Statement ForStatementList; +/* 554 */ ForStatementList /* Vec::New */: ; +/* 555 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 556 */ ForStatementOpt /* Option::None */: ; +/* 557 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; +/* 558 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; +/* 559 */ CaseStatementList /* Vec::New */: ; +/* 560 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; +/* 561 */ CaseItemGroup0: Statement; +/* 562 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; +/* 563 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; +/* 564 */ CaseItemGroup0List /* Vec::New */: ; +/* 565 */ CaseItemGroup: CaseCondition; +/* 566 */ CaseItemGroup: Defaul; +/* 567 */ CaseCondition: Expression CaseConditionList /* Vec */; +/* 568 */ CaseConditionList /* Vec::Push */: Comma Expression CaseConditionList; +/* 569 */ CaseConditionList /* Vec::New */: ; +/* 570 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; +/* 571 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; +/* 572 */ AttributeOpt /* Option::None */: ; +/* 573 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; +/* 574 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; +/* 575 */ AttributeListList /* Vec::New */: ; +/* 576 */ AttributeListOpt /* Option::Some */: Comma; +/* 577 */ AttributeListOpt /* Option::None */: ; +/* 578 */ AttributeItem: Identifier; +/* 579 */ AttributeItem: StringLiteral; +/* 580 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 581 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; +/* 582 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; +/* 583 */ LocalDeclarationGroup: ArrayType Equ Expression; +/* 584 */ LocalDeclarationGroup: Type Equ TypeExpression; +/* 585 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; +/* 586 */ AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; +/* 587 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; +/* 588 */ AlwaysFfDeclarationList /* Vec::New */: ; +/* 589 */ AlwaysFfDeclarationOpt /* Option::Some */: AlwayfFfEventList; +/* 590 */ AlwaysFfDeclarationOpt /* Option::None */: ; +/* 591 */ AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; +/* 592 */ AlwayfFfEventListOpt /* Option::Some */: Comma AlwaysFfReset; +/* 593 */ AlwayfFfEventListOpt /* Option::None */: ; +/* 594 */ AlwaysFfClock: HierarchicalIdentifier; +/* 595 */ AlwaysFfReset: HierarchicalIdentifier; +/* 596 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; +/* 597 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; +/* 598 */ AlwaysCombDeclarationList /* Vec::New */: ; +/* 599 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; +/* 600 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; +/* 601 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; +/* 602 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; +/* 603 */ ModportListList /* Vec::New */: ; +/* 604 */ ModportListOpt /* Option::Some */: Comma; +/* 605 */ ModportListOpt /* Option::None */: ; +/* 606 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; +/* 607 */ ModportGroupGroup: LBrace ModportList RBrace; +/* 608 */ ModportGroupGroup: ModportItem; +/* 609 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; +/* 610 */ ModportGroupList /* Vec::New */: ; +/* 611 */ ModportItem: Identifier Colon Direction; +/* 612 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; +/* 613 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; +/* 614 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; +/* 615 */ EnumListList /* Vec::New */: ; +/* 616 */ EnumListOpt /* Option::Some */: Comma; +/* 617 */ EnumListOpt /* Option::None */: ; +/* 618 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; +/* 619 */ EnumGroupGroup: LBrace EnumList RBrace; +/* 620 */ EnumGroupGroup: EnumItem; +/* 621 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; +/* 622 */ EnumGroupList /* Vec::New */: ; +/* 623 */ EnumItem: Identifier EnumItemOpt /* Option */; +/* 624 */ EnumItemOpt /* Option::Some */: Equ Expression; +/* 625 */ EnumItemOpt /* Option::None */: ; +/* 626 */ StructUnion: Struct; +/* 627 */ StructUnion: Union; +/* 628 */ StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; +/* 629 */ StructUnionDeclarationOpt /* Option::Some */: WithGenericParameter; +/* 630 */ StructUnionDeclarationOpt /* Option::None */: ; +/* 631 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; +/* 632 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; +/* 633 */ StructUnionListList /* Vec::New */: ; +/* 634 */ StructUnionListOpt /* Option::Some */: Comma; +/* 635 */ StructUnionListOpt /* Option::None */: ; +/* 636 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; +/* 637 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; +/* 638 */ StructUnionGroupGroup: StructUnionItem; +/* 639 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; +/* 640 */ StructUnionGroupList /* Vec::New */: ; +/* 641 */ StructUnionItem: Identifier Colon ScalarType; +/* 642 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; +/* 643 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; +/* 644 */ InitialDeclarationList /* Vec::New */: ; +/* 645 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; +/* 646 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; +/* 647 */ FinalDeclarationList /* Vec::New */: ; +/* 648 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; +/* 649 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; +/* 650 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; +/* 651 */ InstDeclarationOpt2 /* Option::None */: ; +/* 652 */ InstDeclarationOpt1 /* Option::None */: ; +/* 653 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; +/* 654 */ InstDeclarationOpt0 /* Option::None */: ; +/* 655 */ InstDeclarationOpt /* Option::Some */: Array; +/* 656 */ InstDeclarationOpt /* Option::None */: ; +/* 657 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; +/* 658 */ InstParameterOpt /* Option::Some */: InstParameterList; +/* 659 */ InstParameterOpt /* Option::None */: ; +/* 660 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; +/* 661 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; +/* 662 */ InstParameterListList /* Vec::New */: ; +/* 663 */ InstParameterListOpt /* Option::Some */: Comma; +/* 664 */ InstParameterListOpt /* Option::None */: ; +/* 665 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; +/* 666 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; +/* 667 */ InstParameterGroupGroup: InstParameterItem; +/* 668 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; +/* 669 */ InstParameterGroupList /* Vec::New */: ; +/* 670 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; +/* 671 */ InstParameterItemOpt /* Option::Some */: Colon Expression; +/* 672 */ InstParameterItemOpt /* Option::None */: ; +/* 673 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; +/* 674 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; +/* 675 */ InstPortListList /* Vec::New */: ; +/* 676 */ InstPortListOpt /* Option::Some */: Comma; +/* 677 */ InstPortListOpt /* Option::None */: ; +/* 678 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; +/* 679 */ InstPortGroupGroup: LBrace InstPortList RBrace; +/* 680 */ InstPortGroupGroup: InstPortItem; +/* 681 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; +/* 682 */ InstPortGroupList /* Vec::New */: ; +/* 683 */ InstPortItem: Identifier InstPortItemOpt /* Option */; +/* 684 */ InstPortItemOpt /* Option::Some */: Colon Expression; +/* 685 */ InstPortItemOpt /* Option::None */: ; +/* 686 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; +/* 687 */ WithParameterOpt /* Option::Some */: WithParameterList; +/* 688 */ WithParameterOpt /* Option::None */: ; +/* 689 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; +/* 690 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; +/* 691 */ WithParameterListList /* Vec::New */: ; +/* 692 */ WithParameterListOpt /* Option::Some */: Comma; +/* 693 */ WithParameterListOpt /* Option::None */: ; +/* 694 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; +/* 695 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; +/* 696 */ WithParameterGroupGroup: WithParameterItem; +/* 697 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; +/* 698 */ WithParameterGroupList /* Vec::New */: ; +/* 699 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; +/* 700 */ WithParameterItemGroup0: ArrayType Equ Expression; +/* 701 */ WithParameterItemGroup0: Type Equ TypeExpression; +/* 702 */ WithParameterItemGroup: Param; +/* 703 */ WithParameterItemGroup: Local; +/* 704 */ WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; +/* 705 */ WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; +/* 706 */ WithGenericParameterListList /* Vec::Push */: Comma WithGenericParameterItem WithGenericParameterListList; +/* 707 */ WithGenericParameterListList /* Vec::New */: ; +/* 708 */ WithGenericParameterListOpt /* Option::Some */: Comma; +/* 709 */ WithGenericParameterListOpt /* Option::None */: ; +/* 710 */ WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; +/* 711 */ WithGenericParameterItemOpt /* Option::Some */: Equ WithGenericArgumentItem; +/* 712 */ WithGenericParameterItemOpt /* Option::None */: ; +/* 713 */ WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentOpt /* Option */ RAngle %pop(); +/* 714 */ WithGenericArgumentOpt /* Option::Some */: WithGenericArgumentList; +/* 715 */ WithGenericArgumentOpt /* Option::None */: ; +/* 716 */ WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; +/* 717 */ WithGenericArgumentListList /* Vec::Push */: Comma WithGenericArgumentItem WithGenericArgumentListList; +/* 718 */ WithGenericArgumentListList /* Vec::New */: ; +/* 719 */ WithGenericArgumentListOpt /* Option::Some */: Comma; +/* 720 */ WithGenericArgumentListOpt /* Option::None */: ; +/* 721 */ WithGenericArgumentItem: ScopedIdentifier; +/* 722 */ WithGenericArgumentItem: Number; +/* 723 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; +/* 724 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; +/* 725 */ PortDeclarationOpt /* Option::None */: ; +/* 726 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; +/* 727 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; +/* 728 */ PortDeclarationListList /* Vec::New */: ; +/* 729 */ PortDeclarationListOpt /* Option::Some */: Comma; +/* 730 */ PortDeclarationListOpt /* Option::None */: ; +/* 731 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; +/* 732 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; +/* 733 */ PortDeclarationGroupGroup: PortDeclarationItem; +/* 734 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; +/* 735 */ PortDeclarationGroupList /* Vec::New */: ; +/* 736 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; +/* 737 */ PortDeclarationItemGroup: Direction ArrayType; +/* 738 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; +/* 739 */ PortDeclarationItemOpt /* Option::Some */: Array; +/* 740 */ PortDeclarationItemOpt /* Option::None */: ; +/* 741 */ Direction: Input; +/* 742 */ Direction: Output; +/* 743 */ Direction: Inout; +/* 744 */ Direction: Ref; +/* 745 */ Direction: Modport; +/* 746 */ Direction: Import; +/* 747 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; +/* 748 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; +/* 749 */ FunctionDeclarationList /* Vec::New */: ; +/* 750 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; +/* 751 */ FunctionDeclarationOpt1 /* Option::None */: ; +/* 752 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; +/* 753 */ FunctionDeclarationOpt0 /* Option::None */: ; +/* 754 */ FunctionDeclarationOpt /* Option::Some */: WithGenericParameter; +/* 755 */ FunctionDeclarationOpt /* Option::None */: ; +/* 756 */ FunctionItem: VarDeclaration; +/* 757 */ FunctionItem: Statement; +/* 758 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; +/* 759 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 760 */ ImportDeclarationOpt /* Option::None */: ; +/* 761 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; +/* 762 */ ExportDeclarationGroup: Star; +/* 763 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; +/* 764 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 765 */ ExportDeclarationOpt /* Option::None */: ; +/* 766 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; +/* 767 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; +/* 768 */ ModuleDeclarationList /* Vec::New */: ; +/* 769 */ ModuleDeclarationOpt2 /* Option::Some */: PortDeclaration; +/* 770 */ ModuleDeclarationOpt2 /* Option::None */: ; +/* 771 */ ModuleDeclarationOpt1 /* Option::Some */: WithParameter; +/* 772 */ ModuleDeclarationOpt1 /* Option::None */: ; +/* 773 */ ModuleDeclarationOpt0 /* Option::Some */: WithGenericParameter; +/* 774 */ ModuleDeclarationOpt0 /* Option::None */: ; +/* 775 */ ModuleDeclarationOpt /* Option::Some */: Pub; +/* 776 */ ModuleDeclarationOpt /* Option::None */: ; +/* 777 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; +/* 778 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; +/* 779 */ ModuleIfDeclarationList /* Vec::New */: ; +/* 780 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; +/* 781 */ ModuleIfDeclarationOpt /* Option::None */: ; +/* 782 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; +/* 783 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 784 */ ModuleForDeclarationOpt /* Option::None */: ; +/* 785 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; +/* 786 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; +/* 787 */ ModuleNamedBlockList /* Vec::New */: ; +/* 788 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; +/* 789 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; +/* 790 */ ModuleOptionalNamedBlockList /* Vec::New */: ; +/* 791 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 792 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; +/* 793 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; +/* 794 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; +/* 795 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; +/* 796 */ ModuleGroupGroupList /* Vec::New */: ; +/* 797 */ ModuleGroupGroup: ModuleItem; +/* 798 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; +/* 799 */ ModuleGroupList /* Vec::New */: ; +/* 800 */ ModuleItem: LetDeclaration; +/* 801 */ ModuleItem: VarDeclaration; +/* 802 */ ModuleItem: InstDeclaration; +/* 803 */ ModuleItem: TypeDefDeclaration; +/* 804 */ ModuleItem: LocalDeclaration; +/* 805 */ ModuleItem: AlwaysFfDeclaration; +/* 806 */ ModuleItem: AlwaysCombDeclaration; +/* 807 */ ModuleItem: AssignDeclaration; +/* 808 */ ModuleItem: FunctionDeclaration; +/* 809 */ ModuleItem: ModuleIfDeclaration; +/* 810 */ ModuleItem: ModuleForDeclaration; +/* 811 */ ModuleItem: EnumDeclaration; +/* 812 */ ModuleItem: StructUnionDeclaration; +/* 813 */ ModuleItem: ModuleNamedBlock; +/* 814 */ ModuleItem: ImportDeclaration; +/* 815 */ ModuleItem: InitialDeclaration; +/* 816 */ ModuleItem: FinalDeclaration; +/* 817 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; +/* 818 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; +/* 819 */ InterfaceDeclarationList /* Vec::New */: ; +/* 820 */ InterfaceDeclarationOpt1 /* Option::Some */: WithParameter; +/* 821 */ InterfaceDeclarationOpt1 /* Option::None */: ; +/* 822 */ InterfaceDeclarationOpt0 /* Option::Some */: WithGenericParameter; +/* 823 */ InterfaceDeclarationOpt0 /* Option::None */: ; +/* 824 */ InterfaceDeclarationOpt /* Option::Some */: Pub; +/* 825 */ InterfaceDeclarationOpt /* Option::None */: ; +/* 826 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; +/* 827 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; +/* 828 */ InterfaceIfDeclarationList /* Vec::New */: ; +/* 829 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; +/* 830 */ InterfaceIfDeclarationOpt /* Option::None */: ; +/* 831 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; +/* 832 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 833 */ InterfaceForDeclarationOpt /* Option::None */: ; +/* 834 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; +/* 835 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; +/* 836 */ InterfaceNamedBlockList /* Vec::New */: ; +/* 837 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; +/* 838 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; +/* 839 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; +/* 840 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 841 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; +/* 842 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; +/* 843 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; +/* 844 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; +/* 845 */ InterfaceGroupGroupList /* Vec::New */: ; +/* 846 */ InterfaceGroupGroup: InterfaceItem; +/* 847 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; +/* 848 */ InterfaceGroupList /* Vec::New */: ; +/* 849 */ InterfaceItem: LetDeclaration; +/* 850 */ InterfaceItem: VarDeclaration; +/* 851 */ InterfaceItem: LocalDeclaration; +/* 852 */ InterfaceItem: ModportDeclaration; +/* 853 */ InterfaceItem: InterfaceIfDeclaration; +/* 854 */ InterfaceItem: InterfaceForDeclaration; +/* 855 */ InterfaceItem: TypeDefDeclaration; +/* 856 */ InterfaceItem: EnumDeclaration; +/* 857 */ InterfaceItem: StructUnionDeclaration; +/* 858 */ InterfaceItem: InterfaceNamedBlock; +/* 859 */ InterfaceItem: FunctionDeclaration; +/* 860 */ InterfaceItem: ImportDeclaration; +/* 861 */ InterfaceItem: InitialDeclaration; +/* 862 */ InterfaceItem: FinalDeclaration; +/* 863 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; +/* 864 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; +/* 865 */ PackageDeclarationList /* Vec::New */: ; +/* 866 */ PackageDeclarationOpt0 /* Option::Some */: WithGenericParameter; +/* 867 */ PackageDeclarationOpt0 /* Option::None */: ; +/* 868 */ PackageDeclarationOpt /* Option::Some */: Pub; +/* 869 */ PackageDeclarationOpt /* Option::None */: ; +/* 870 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; +/* 871 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; +/* 872 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; +/* 873 */ PackageGroupGroupList /* Vec::New */: ; +/* 874 */ PackageGroupGroup: PackageItem; +/* 875 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; +/* 876 */ PackageGroupList /* Vec::New */: ; +/* 877 */ PackageItem: VarDeclaration; +/* 878 */ PackageItem: LocalDeclaration; +/* 879 */ PackageItem: TypeDefDeclaration; +/* 880 */ PackageItem: EnumDeclaration; +/* 881 */ PackageItem: StructUnionDeclaration; +/* 882 */ PackageItem: FunctionDeclaration; +/* 883 */ PackageItem: ImportDeclaration; +/* 884 */ PackageItem: ExportDeclaration; +/* 885 */ PackageItem: InitialDeclaration; +/* 886 */ PackageItem: FinalDeclaration; +/* 887 */ EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; +/* 888 */ EmbedContent: EmbedContentToken : VerylToken; +/* 889 */ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop() Comments; +/* 890 */ EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList; +/* 891 */ EmbedContentTokenList /* Vec::New */: ; +/* 892 */ EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; +/* 893 */ EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList; +/* 894 */ EmbedItemList /* Vec::New */: ; +/* 895 */ EmbedItem: AnyTerm; +/* 896 */ IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; +/* 897 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; +/* 898 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; +/* 899 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; +/* 900 */ DescriptionGroupGroupList /* Vec::New */: ; +/* 901 */ DescriptionGroupGroup: DescriptionItem; +/* 902 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; +/* 903 */ DescriptionGroupList /* Vec::New */: ; +/* 904 */ DescriptionItem: ModuleDeclaration; +/* 905 */ DescriptionItem: InterfaceDeclaration; +/* 906 */ DescriptionItem: PackageDeclaration; +/* 907 */ DescriptionItem: ImportDeclaration; +/* 908 */ DescriptionItem: EmbedDeclaration; +/* 909 */ DescriptionItem: IncludeDeclaration; +/* 910 */ Veryl: Start VerylList /* Vec */; +/* 911 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; +/* 912 */ VerylList /* Vec::New */: ; diff --git a/crates/parser/src/generated/veryl_grammar_trait.rs b/crates/parser/src/generated/veryl_grammar_trait.rs index 65951754..b95d9e2d 100644 --- a/crates/parser/src/generated/veryl_grammar_trait.rs +++ b/crates/parser/src/generated/veryl_grammar_trait.rs @@ -1930,6 +1930,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, _arg: &CaseCondition) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'Attribute' fn attribute(&mut self, _arg: &Attribute) -> Result<()> { Ok(()) @@ -2724,7 +2729,7 @@ pub struct ArrayLiteralItemGroupDefaulColonExpression { } /// -/// Type derived for production 458 +/// Type derived for production 454 /// /// `TypeExpression: ScalarType;` /// @@ -2736,7 +2741,7 @@ pub struct TypeExpressionScalarType { } /// -/// Type derived for production 459 +/// Type derived for production 455 /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -2751,7 +2756,7 @@ pub struct TypeExpressionTypeLParenExpressionRParen { } /// -/// Type derived for production 471 +/// Type derived for production 467 /// /// `SelectOperator: Colon;` /// @@ -2763,7 +2768,7 @@ pub struct SelectOperatorColon { } /// -/// Type derived for production 472 +/// Type derived for production 468 /// /// `SelectOperator: PlusColon;` /// @@ -2775,7 +2780,7 @@ pub struct SelectOperatorPlusColon { } /// -/// Type derived for production 473 +/// Type derived for production 469 /// /// `SelectOperator: MinusColon;` /// @@ -2787,7 +2792,7 @@ pub struct SelectOperatorMinusColon { } /// -/// Type derived for production 474 +/// Type derived for production 470 /// /// `SelectOperator: Step;` /// @@ -2799,7 +2804,7 @@ pub struct SelectOperatorStep { } /// -/// Type derived for production 484 +/// Type derived for production 480 /// /// `RangeOperator: DotDot;` /// @@ -2811,7 +2816,7 @@ pub struct RangeOperatorDotDot { } /// -/// Type derived for production 485 +/// Type derived for production 481 /// /// `RangeOperator: DotDotEqu;` /// @@ -2823,7 +2828,7 @@ pub struct RangeOperatorDotDotEqu { } /// -/// Type derived for production 486 +/// Type derived for production 482 /// /// `FixedType: U32;` /// @@ -2835,7 +2840,7 @@ pub struct FixedTypeU32 { } /// -/// Type derived for production 487 +/// Type derived for production 483 /// /// `FixedType: U64;` /// @@ -2847,7 +2852,7 @@ pub struct FixedTypeU64 { } /// -/// Type derived for production 488 +/// Type derived for production 484 /// /// `FixedType: I32;` /// @@ -2859,7 +2864,7 @@ pub struct FixedTypeI32 { } /// -/// Type derived for production 489 +/// Type derived for production 485 /// /// `FixedType: I64;` /// @@ -2871,7 +2876,7 @@ pub struct FixedTypeI64 { } /// -/// Type derived for production 490 +/// Type derived for production 486 /// /// `FixedType: F32;` /// @@ -2883,7 +2888,7 @@ pub struct FixedTypeF32 { } /// -/// Type derived for production 491 +/// Type derived for production 487 /// /// `FixedType: F64;` /// @@ -2895,7 +2900,7 @@ pub struct FixedTypeF64 { } /// -/// Type derived for production 492 +/// Type derived for production 488 /// /// `FixedType: Strin;` /// @@ -2907,7 +2912,7 @@ pub struct FixedTypeStrin { } /// -/// Type derived for production 494 +/// Type derived for production 490 /// /// `VariableTypeGroup: Clock;` /// @@ -2919,7 +2924,7 @@ pub struct VariableTypeGroupClock { } /// -/// Type derived for production 495 +/// Type derived for production 491 /// /// `VariableTypeGroup: ClockPosedge;` /// @@ -2931,7 +2936,7 @@ pub struct VariableTypeGroupClockPosedge { } /// -/// Type derived for production 496 +/// Type derived for production 492 /// /// `VariableTypeGroup: ClockNegedge;` /// @@ -2943,7 +2948,7 @@ pub struct VariableTypeGroupClockNegedge { } /// -/// Type derived for production 497 +/// Type derived for production 493 /// /// `VariableTypeGroup: Reset;` /// @@ -2955,7 +2960,7 @@ pub struct VariableTypeGroupReset { } /// -/// Type derived for production 498 +/// Type derived for production 494 /// /// `VariableTypeGroup: ResetAsyncHigh;` /// @@ -2967,7 +2972,7 @@ pub struct VariableTypeGroupResetAsyncHigh { } /// -/// Type derived for production 499 +/// Type derived for production 495 /// /// `VariableTypeGroup: ResetAsyncLow;` /// @@ -2979,7 +2984,7 @@ pub struct VariableTypeGroupResetAsyncLow { } /// -/// Type derived for production 500 +/// Type derived for production 496 /// /// `VariableTypeGroup: ResetSyncHigh;` /// @@ -2991,7 +2996,7 @@ pub struct VariableTypeGroupResetSyncHigh { } /// -/// Type derived for production 501 +/// Type derived for production 497 /// /// `VariableTypeGroup: ResetSyncLow;` /// @@ -3003,7 +3008,7 @@ pub struct VariableTypeGroupResetSyncLow { } /// -/// Type derived for production 502 +/// Type derived for production 498 /// /// `VariableTypeGroup: Logic;` /// @@ -3015,7 +3020,7 @@ pub struct VariableTypeGroupLogic { } /// -/// Type derived for production 503 +/// Type derived for production 499 /// /// `VariableTypeGroup: Bit;` /// @@ -3027,7 +3032,7 @@ pub struct VariableTypeGroupBit { } /// -/// Type derived for production 504 +/// Type derived for production 500 /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -3039,7 +3044,7 @@ pub struct VariableTypeGroupScopedIdentifier { } /// -/// Type derived for production 507 +/// Type derived for production 503 /// /// `TypeModifier: Tri;` /// @@ -3051,7 +3056,7 @@ pub struct TypeModifierTri { } /// -/// Type derived for production 508 +/// Type derived for production 504 /// /// `TypeModifier: Signed;` /// @@ -3063,7 +3068,7 @@ pub struct TypeModifierSigned { } /// -/// Type derived for production 510 +/// Type derived for production 506 /// /// `ScalarTypeGroup: VariableType;` /// @@ -3075,7 +3080,7 @@ pub struct ScalarTypeGroupVariableType { } /// -/// Type derived for production 511 +/// Type derived for production 507 /// /// `ScalarTypeGroup: FixedType;` /// @@ -3087,7 +3092,7 @@ pub struct ScalarTypeGroupFixedType { } /// -/// Type derived for production 517 +/// Type derived for production 513 /// /// `Statement: LetStatement;` /// @@ -3099,7 +3104,7 @@ pub struct StatementLetStatement { } /// -/// Type derived for production 518 +/// Type derived for production 514 /// /// `Statement: IdentifierStatement;` /// @@ -3111,7 +3116,7 @@ pub struct StatementIdentifierStatement { } /// -/// Type derived for production 519 +/// Type derived for production 515 /// /// `Statement: IfStatement;` /// @@ -3123,7 +3128,7 @@ pub struct StatementIfStatement { } /// -/// Type derived for production 520 +/// Type derived for production 516 /// /// `Statement: IfResetStatement;` /// @@ -3135,7 +3140,7 @@ pub struct StatementIfResetStatement { } /// -/// Type derived for production 521 +/// Type derived for production 517 /// /// `Statement: ReturnStatement;` /// @@ -3147,7 +3152,7 @@ pub struct StatementReturnStatement { } /// -/// Type derived for production 522 +/// Type derived for production 518 /// /// `Statement: BreakStatement;` /// @@ -3159,7 +3164,7 @@ pub struct StatementBreakStatement { } /// -/// Type derived for production 523 +/// Type derived for production 519 /// /// `Statement: ForStatement;` /// @@ -3171,7 +3176,7 @@ pub struct StatementForStatement { } /// -/// Type derived for production 524 +/// Type derived for production 520 /// /// `Statement: CaseStatement;` /// @@ -3183,7 +3188,7 @@ pub struct StatementCaseStatement { } /// -/// Type derived for production 527 +/// Type derived for production 523 /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -3195,7 +3200,7 @@ pub struct IdentifierStatementGroupFunctionCall { } /// -/// Type derived for production 528 +/// Type derived for production 524 /// /// `IdentifierStatementGroup: Assignment;` /// @@ -3207,7 +3212,7 @@ pub struct IdentifierStatementGroupAssignment { } /// -/// Type derived for production 530 +/// Type derived for production 526 /// /// `AssignmentGroup: Equ;` /// @@ -3219,7 +3224,7 @@ pub struct AssignmentGroupEqu { } /// -/// Type derived for production 531 +/// Type derived for production 527 /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -3231,7 +3236,7 @@ pub struct AssignmentGroupAssignmentOperator { } /// -/// Type derived for production 565 +/// Type derived for production 561 /// /// `CaseItemGroup0: Statement;` /// @@ -3243,7 +3248,7 @@ pub struct CaseItemGroup0Statement { } /// -/// Type derived for production 566 +/// Type derived for production 562 /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -3257,20 +3262,19 @@ pub struct CaseItemGroup0LBraceCaseItemGroup0ListRBrace { } /// -/// Type derived for production 569 +/// Type derived for production 565 /// -/// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` +/// `CaseItemGroup: CaseCondition;` /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseItemGroupExpressionCaseItemGroupList { - pub expression: Box, - pub case_item_group_list: Vec, +pub struct CaseItemGroupCaseCondition { + pub case_condition: Box, } /// -/// Type derived for production 572 +/// Type derived for production 566 /// /// `CaseItemGroup: Defaul;` /// @@ -3282,7 +3286,7 @@ pub struct CaseItemGroupDefaul { } /// -/// Type derived for production 581 +/// Type derived for production 578 /// /// `AttributeItem: Identifier;` /// @@ -3294,7 +3298,7 @@ pub struct AttributeItemIdentifier { } /// -/// Type derived for production 582 +/// Type derived for production 579 /// /// `AttributeItem: StringLiteral;` /// @@ -3306,7 +3310,7 @@ pub struct AttributeItemStringLiteral { } /// -/// Type derived for production 586 +/// Type derived for production 583 /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -3320,7 +3324,7 @@ pub struct LocalDeclarationGroupArrayTypeEquExpression { } /// -/// Type derived for production 587 +/// Type derived for production 584 /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -3334,7 +3338,7 @@ pub struct LocalDeclarationGroupTypeEquTypeExpression { } /// -/// Type derived for production 610 +/// Type derived for production 607 /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -3348,7 +3352,7 @@ pub struct ModportGroupGroupLBraceModportListRBrace { } /// -/// Type derived for production 611 +/// Type derived for production 608 /// /// `ModportGroupGroup: ModportItem;` /// @@ -3360,7 +3364,7 @@ pub struct ModportGroupGroupModportItem { } /// -/// Type derived for production 622 +/// Type derived for production 619 /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -3374,7 +3378,7 @@ pub struct EnumGroupGroupLBraceEnumListRBrace { } /// -/// Type derived for production 623 +/// Type derived for production 620 /// /// `EnumGroupGroup: EnumItem;` /// @@ -3386,7 +3390,7 @@ pub struct EnumGroupGroupEnumItem { } /// -/// Type derived for production 629 +/// Type derived for production 626 /// /// `StructUnion: Struct;` /// @@ -3398,7 +3402,7 @@ pub struct StructUnionStruct { } /// -/// Type derived for production 630 +/// Type derived for production 627 /// /// `StructUnion: Union;` /// @@ -3410,7 +3414,7 @@ pub struct StructUnionUnion { } /// -/// Type derived for production 640 +/// Type derived for production 637 /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -3424,7 +3428,7 @@ pub struct StructUnionGroupGroupLBraceStructUnionListRBrace { } /// -/// Type derived for production 641 +/// Type derived for production 638 /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -3436,7 +3440,7 @@ pub struct StructUnionGroupGroupStructUnionItem { } /// -/// Type derived for production 669 +/// Type derived for production 666 /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -3450,7 +3454,7 @@ pub struct InstParameterGroupGroupLBraceInstParameterListRBrace { } /// -/// Type derived for production 670 +/// Type derived for production 667 /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -3462,7 +3466,7 @@ pub struct InstParameterGroupGroupInstParameterItem { } /// -/// Type derived for production 682 +/// Type derived for production 679 /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -3476,7 +3480,7 @@ pub struct InstPortGroupGroupLBraceInstPortListRBrace { } /// -/// Type derived for production 683 +/// Type derived for production 680 /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -3488,7 +3492,7 @@ pub struct InstPortGroupGroupInstPortItem { } /// -/// Type derived for production 698 +/// Type derived for production 695 /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -3502,7 +3506,7 @@ pub struct WithParameterGroupGroupLBraceWithParameterListRBrace { } /// -/// Type derived for production 699 +/// Type derived for production 696 /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -3514,7 +3518,7 @@ pub struct WithParameterGroupGroupWithParameterItem { } /// -/// Type derived for production 703 +/// Type derived for production 700 /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -3528,7 +3532,7 @@ pub struct WithParameterItemGroup0ArrayTypeEquExpression { } /// -/// Type derived for production 704 +/// Type derived for production 701 /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -3542,7 +3546,7 @@ pub struct WithParameterItemGroup0TypeEquTypeExpression { } /// -/// Type derived for production 705 +/// Type derived for production 702 /// /// `WithParameterItemGroup: Param;` /// @@ -3554,7 +3558,7 @@ pub struct WithParameterItemGroupParam { } /// -/// Type derived for production 706 +/// Type derived for production 703 /// /// `WithParameterItemGroup: Local;` /// @@ -3566,7 +3570,7 @@ pub struct WithParameterItemGroupLocal { } /// -/// Type derived for production 724 +/// Type derived for production 721 /// /// `WithGenericArgumentItem: ScopedIdentifier;` /// @@ -3578,7 +3582,7 @@ pub struct WithGenericArgumentItemScopedIdentifier { } /// -/// Type derived for production 725 +/// Type derived for production 722 /// /// `WithGenericArgumentItem: Number;` /// @@ -3590,7 +3594,7 @@ pub struct WithGenericArgumentItemNumber { } /// -/// Type derived for production 735 +/// Type derived for production 732 /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -3604,7 +3608,7 @@ pub struct PortDeclarationGroupGroupLBracePortDeclarationListRBrace { } /// -/// Type derived for production 736 +/// Type derived for production 733 /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -3616,7 +3620,7 @@ pub struct PortDeclarationGroupGroupPortDeclarationItem { } /// -/// Type derived for production 740 +/// Type derived for production 737 /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -3629,7 +3633,7 @@ pub struct PortDeclarationItemGroupDirectionArrayType { } /// -/// Type derived for production 741 +/// Type derived for production 738 /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -3642,7 +3646,7 @@ pub struct PortDeclarationItemGroupInterfacePortDeclarationItemOpt { } /// -/// Type derived for production 744 +/// Type derived for production 741 /// /// `Direction: Input;` /// @@ -3654,7 +3658,7 @@ pub struct DirectionInput { } /// -/// Type derived for production 745 +/// Type derived for production 742 /// /// `Direction: Output;` /// @@ -3666,7 +3670,7 @@ pub struct DirectionOutput { } /// -/// Type derived for production 746 +/// Type derived for production 743 /// /// `Direction: Inout;` /// @@ -3678,7 +3682,7 @@ pub struct DirectionInout { } /// -/// Type derived for production 747 +/// Type derived for production 744 /// /// `Direction: Ref;` /// @@ -3690,7 +3694,7 @@ pub struct DirectionRef { } /// -/// Type derived for production 748 +/// Type derived for production 745 /// /// `Direction: Modport;` /// @@ -3702,7 +3706,7 @@ pub struct DirectionModport { } /// -/// Type derived for production 749 +/// Type derived for production 746 /// /// `Direction: Import;` /// @@ -3714,7 +3718,7 @@ pub struct DirectionImport { } /// -/// Type derived for production 759 +/// Type derived for production 756 /// /// `FunctionItem: VarDeclaration;` /// @@ -3726,7 +3730,7 @@ pub struct FunctionItemVarDeclaration { } /// -/// Type derived for production 760 +/// Type derived for production 757 /// /// `FunctionItem: Statement;` /// @@ -3738,7 +3742,7 @@ pub struct FunctionItemStatement { } /// -/// Type derived for production 765 +/// Type derived for production 762 /// /// `ExportDeclarationGroup: Star;` /// @@ -3750,7 +3754,7 @@ pub struct ExportDeclarationGroupStar { } /// -/// Type derived for production 766 +/// Type derived for production 763 /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -3763,7 +3767,7 @@ pub struct ExportDeclarationGroupScopedIdentifierExportDeclarationOpt { } /// -/// Type derived for production 797 +/// Type derived for production 794 /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -3777,7 +3781,7 @@ pub struct ModuleGroupGroupLBraceModuleGroupGroupListRBrace { } /// -/// Type derived for production 800 +/// Type derived for production 797 /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -3789,7 +3793,7 @@ pub struct ModuleGroupGroupModuleItem { } /// -/// Type derived for production 803 +/// Type derived for production 800 /// /// `ModuleItem: LetDeclaration;` /// @@ -3801,7 +3805,7 @@ pub struct ModuleItemLetDeclaration { } /// -/// Type derived for production 804 +/// Type derived for production 801 /// /// `ModuleItem: VarDeclaration;` /// @@ -3813,7 +3817,7 @@ pub struct ModuleItemVarDeclaration { } /// -/// Type derived for production 805 +/// Type derived for production 802 /// /// `ModuleItem: InstDeclaration;` /// @@ -3825,7 +3829,7 @@ pub struct ModuleItemInstDeclaration { } /// -/// Type derived for production 806 +/// Type derived for production 803 /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -3837,7 +3841,7 @@ pub struct ModuleItemTypeDefDeclaration { } /// -/// Type derived for production 807 +/// Type derived for production 804 /// /// `ModuleItem: LocalDeclaration;` /// @@ -3849,7 +3853,7 @@ pub struct ModuleItemLocalDeclaration { } /// -/// Type derived for production 808 +/// Type derived for production 805 /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -3861,7 +3865,7 @@ pub struct ModuleItemAlwaysFfDeclaration { } /// -/// Type derived for production 809 +/// Type derived for production 806 /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -3873,7 +3877,7 @@ pub struct ModuleItemAlwaysCombDeclaration { } /// -/// Type derived for production 810 +/// Type derived for production 807 /// /// `ModuleItem: AssignDeclaration;` /// @@ -3885,7 +3889,7 @@ pub struct ModuleItemAssignDeclaration { } /// -/// Type derived for production 811 +/// Type derived for production 808 /// /// `ModuleItem: FunctionDeclaration;` /// @@ -3897,7 +3901,7 @@ pub struct ModuleItemFunctionDeclaration { } /// -/// Type derived for production 812 +/// Type derived for production 809 /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -3909,7 +3913,7 @@ pub struct ModuleItemModuleIfDeclaration { } /// -/// Type derived for production 813 +/// Type derived for production 810 /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -3921,7 +3925,7 @@ pub struct ModuleItemModuleForDeclaration { } /// -/// Type derived for production 814 +/// Type derived for production 811 /// /// `ModuleItem: EnumDeclaration;` /// @@ -3933,7 +3937,7 @@ pub struct ModuleItemEnumDeclaration { } /// -/// Type derived for production 815 +/// Type derived for production 812 /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -3945,7 +3949,7 @@ pub struct ModuleItemStructUnionDeclaration { } /// -/// Type derived for production 816 +/// Type derived for production 813 /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -3957,7 +3961,7 @@ pub struct ModuleItemModuleNamedBlock { } /// -/// Type derived for production 817 +/// Type derived for production 814 /// /// `ModuleItem: ImportDeclaration;` /// @@ -3969,7 +3973,7 @@ pub struct ModuleItemImportDeclaration { } /// -/// Type derived for production 818 +/// Type derived for production 815 /// /// `ModuleItem: InitialDeclaration;` /// @@ -3981,7 +3985,7 @@ pub struct ModuleItemInitialDeclaration { } /// -/// Type derived for production 819 +/// Type derived for production 816 /// /// `ModuleItem: FinalDeclaration;` /// @@ -3993,7 +3997,7 @@ pub struct ModuleItemFinalDeclaration { } /// -/// Type derived for production 846 +/// Type derived for production 843 /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -4007,7 +4011,7 @@ pub struct InterfaceGroupGroupLBraceInterfaceGroupGroupListRBrace { } /// -/// Type derived for production 849 +/// Type derived for production 846 /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -4019,7 +4023,7 @@ pub struct InterfaceGroupGroupInterfaceItem { } /// -/// Type derived for production 852 +/// Type derived for production 849 /// /// `InterfaceItem: LetDeclaration;` /// @@ -4031,7 +4035,7 @@ pub struct InterfaceItemLetDeclaration { } /// -/// Type derived for production 853 +/// Type derived for production 850 /// /// `InterfaceItem: VarDeclaration;` /// @@ -4043,7 +4047,7 @@ pub struct InterfaceItemVarDeclaration { } /// -/// Type derived for production 854 +/// Type derived for production 851 /// /// `InterfaceItem: LocalDeclaration;` /// @@ -4055,7 +4059,7 @@ pub struct InterfaceItemLocalDeclaration { } /// -/// Type derived for production 855 +/// Type derived for production 852 /// /// `InterfaceItem: ModportDeclaration;` /// @@ -4067,7 +4071,7 @@ pub struct InterfaceItemModportDeclaration { } /// -/// Type derived for production 856 +/// Type derived for production 853 /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -4079,7 +4083,7 @@ pub struct InterfaceItemInterfaceIfDeclaration { } /// -/// Type derived for production 857 +/// Type derived for production 854 /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -4091,7 +4095,7 @@ pub struct InterfaceItemInterfaceForDeclaration { } /// -/// Type derived for production 858 +/// Type derived for production 855 /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -4103,7 +4107,7 @@ pub struct InterfaceItemTypeDefDeclaration { } /// -/// Type derived for production 859 +/// Type derived for production 856 /// /// `InterfaceItem: EnumDeclaration;` /// @@ -4115,7 +4119,7 @@ pub struct InterfaceItemEnumDeclaration { } /// -/// Type derived for production 860 +/// Type derived for production 857 /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -4127,7 +4131,7 @@ pub struct InterfaceItemStructUnionDeclaration { } /// -/// Type derived for production 861 +/// Type derived for production 858 /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -4139,7 +4143,7 @@ pub struct InterfaceItemInterfaceNamedBlock { } /// -/// Type derived for production 862 +/// Type derived for production 859 /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -4151,7 +4155,7 @@ pub struct InterfaceItemFunctionDeclaration { } /// -/// Type derived for production 863 +/// Type derived for production 860 /// /// `InterfaceItem: ImportDeclaration;` /// @@ -4163,7 +4167,7 @@ pub struct InterfaceItemImportDeclaration { } /// -/// Type derived for production 864 +/// Type derived for production 861 /// /// `InterfaceItem: InitialDeclaration;` /// @@ -4175,7 +4179,7 @@ pub struct InterfaceItemInitialDeclaration { } /// -/// Type derived for production 865 +/// Type derived for production 862 /// /// `InterfaceItem: FinalDeclaration;` /// @@ -4187,7 +4191,7 @@ pub struct InterfaceItemFinalDeclaration { } /// -/// Type derived for production 874 +/// Type derived for production 871 /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -4201,7 +4205,7 @@ pub struct PackageGroupGroupLBracePackageGroupGroupListRBrace { } /// -/// Type derived for production 877 +/// Type derived for production 874 /// /// `PackageGroupGroup: PackageItem;` /// @@ -4213,7 +4217,7 @@ pub struct PackageGroupGroupPackageItem { } /// -/// Type derived for production 880 +/// Type derived for production 877 /// /// `PackageItem: VarDeclaration;` /// @@ -4225,7 +4229,7 @@ pub struct PackageItemVarDeclaration { } /// -/// Type derived for production 881 +/// Type derived for production 878 /// /// `PackageItem: LocalDeclaration;` /// @@ -4237,7 +4241,7 @@ pub struct PackageItemLocalDeclaration { } /// -/// Type derived for production 882 +/// Type derived for production 879 /// /// `PackageItem: TypeDefDeclaration;` /// @@ -4249,7 +4253,7 @@ pub struct PackageItemTypeDefDeclaration { } /// -/// Type derived for production 883 +/// Type derived for production 880 /// /// `PackageItem: EnumDeclaration;` /// @@ -4261,7 +4265,7 @@ pub struct PackageItemEnumDeclaration { } /// -/// Type derived for production 884 +/// Type derived for production 881 /// /// `PackageItem: StructUnionDeclaration;` /// @@ -4273,7 +4277,7 @@ pub struct PackageItemStructUnionDeclaration { } /// -/// Type derived for production 885 +/// Type derived for production 882 /// /// `PackageItem: FunctionDeclaration;` /// @@ -4285,7 +4289,7 @@ pub struct PackageItemFunctionDeclaration { } /// -/// Type derived for production 886 +/// Type derived for production 883 /// /// `PackageItem: ImportDeclaration;` /// @@ -4297,7 +4301,7 @@ pub struct PackageItemImportDeclaration { } /// -/// Type derived for production 887 +/// Type derived for production 884 /// /// `PackageItem: ExportDeclaration;` /// @@ -4309,7 +4313,7 @@ pub struct PackageItemExportDeclaration { } /// -/// Type derived for production 888 +/// Type derived for production 885 /// /// `PackageItem: InitialDeclaration;` /// @@ -4321,7 +4325,7 @@ pub struct PackageItemInitialDeclaration { } /// -/// Type derived for production 889 +/// Type derived for production 886 /// /// `PackageItem: FinalDeclaration;` /// @@ -4333,7 +4337,7 @@ pub struct PackageItemFinalDeclaration { } /// -/// Type derived for production 895 +/// Type derived for production 892 /// /// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` /// @@ -4347,7 +4351,7 @@ pub struct EmbedItemLBraceTermEmbedItemListRBraceTerm { } /// -/// Type derived for production 898 +/// Type derived for production 895 /// /// `EmbedItem: AnyTerm;` /// @@ -4359,7 +4363,7 @@ pub struct EmbedItemAnyTerm { } /// -/// Type derived for production 901 +/// Type derived for production 898 /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -4373,7 +4377,7 @@ pub struct DescriptionGroupGroupLBraceDescriptionGroupGroupListRBrace { } /// -/// Type derived for production 904 +/// Type derived for production 901 /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -4385,7 +4389,7 @@ pub struct DescriptionGroupGroupDescriptionItem { } /// -/// Type derived for production 907 +/// Type derived for production 904 /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -4397,7 +4401,7 @@ pub struct DescriptionItemModuleDeclaration { } /// -/// Type derived for production 908 +/// Type derived for production 905 /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -4409,7 +4413,7 @@ pub struct DescriptionItemInterfaceDeclaration { } /// -/// Type derived for production 909 +/// Type derived for production 906 /// /// `DescriptionItem: PackageDeclaration;` /// @@ -4421,7 +4425,7 @@ pub struct DescriptionItemPackageDeclaration { } /// -/// Type derived for production 910 +/// Type derived for production 907 /// /// `DescriptionItem: ImportDeclaration;` /// @@ -4433,7 +4437,7 @@ pub struct DescriptionItemImportDeclaration { } /// -/// Type derived for production 911 +/// Type derived for production 908 /// /// `DescriptionItem: EmbedDeclaration;` /// @@ -4445,7 +4449,7 @@ pub struct DescriptionItemEmbedDeclaration { } /// -/// Type derived for production 912 +/// Type derived for production 909 /// /// `DescriptionItem: IncludeDeclaration;` /// @@ -5160,62 +5164,60 @@ pub struct Case { } /// -/// Type derived for non-terminal CaseExpression +/// Type derived for non-terminal CaseCondition /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpression { - pub case: Box, +pub struct CaseCondition { pub expression: Box, - pub l_brace: Box, - pub expression0: Box, - pub case_expression_list: Vec, - pub colon: Box, - pub expression1: Box, - pub comma: Box, - pub case_expression_list0: Vec, - pub defaul: Box, - pub colon0: Box, - pub expression2: Box, - pub case_expression_opt: Option, - pub r_brace: Box, + pub case_condition_list: Vec, } /// -/// Type derived for non-terminal CaseExpressionList +/// Type derived for non-terminal CaseConditionList /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpressionList { +pub struct CaseConditionList { pub comma: Box, pub expression: Box, } /// -/// Type derived for non-terminal CaseExpressionList0 +/// Type derived for non-terminal CaseExpression /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpressionList0 { +pub struct CaseExpression { + pub case: Box, pub expression: Box, - pub case_expression_list0_list: Vec, + pub l_brace: Box, + pub case_condition: Box, pub colon: Box, pub expression0: Box, pub comma: Box, + pub case_expression_list: Vec, + pub defaul: Box, + pub colon0: Box, + pub expression1: Box, + pub case_expression_opt: Option, + pub r_brace: Box, } /// -/// Type derived for non-terminal CaseExpressionList0List +/// Type derived for non-terminal CaseExpressionList /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpressionList0List { - pub comma: Box, +pub struct CaseExpressionList { + pub case_condition: Box, + pub colon: Box, pub expression: Box, + pub comma: Box, } /// @@ -5246,7 +5248,7 @@ pub struct CaseItem { #[allow(dead_code)] #[derive(Debug, Clone)] pub enum CaseItemGroup { - ExpressionCaseItemGroupList(CaseItemGroupExpressionCaseItemGroupList), + CaseCondition(CaseItemGroupCaseCondition), Defaul(CaseItemGroupDefaul), } @@ -5270,17 +5272,6 @@ pub struct CaseItemGroup0List { pub statement: Box, } -/// -/// Type derived for non-terminal CaseItemGroupList -/// -#[allow(dead_code)] -#[derive(Builder, Debug, Clone)] -#[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseItemGroupList { - pub comma: Box, - pub expression: Box, -} - /// /// Type derived for non-terminal CaseStatement /// @@ -11531,16 +11522,15 @@ pub enum ASTType { BreakTerm(BreakTerm), BreakToken(BreakToken), Case(Case), + CaseCondition(CaseCondition), + CaseConditionList(Vec), CaseExpression(CaseExpression), CaseExpressionList(Vec), - CaseExpressionList0(Vec), - CaseExpressionList0List(Vec), CaseExpressionOpt(Option), CaseItem(CaseItem), CaseItemGroup(CaseItemGroup), CaseItemGroup0(CaseItemGroup0), CaseItemGroup0List(Vec), - CaseItemGroupList(Vec), CaseStatement(CaseStatement), CaseStatementList(Vec), CaseTerm(CaseTerm), @@ -22166,7 +22156,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 449: /// - /// `CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace;` + /// `CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma CaseExpressionList /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace;` /// #[parol_runtime::function_name::named] fn case_expression( @@ -22174,15 +22164,14 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { _case: &ParseTreeType<'t>, _expression: &ParseTreeType<'t>, _l_brace: &ParseTreeType<'t>, - _expression0: &ParseTreeType<'t>, - _case_expression_list: &ParseTreeType<'t>, + _case_condition: &ParseTreeType<'t>, _colon: &ParseTreeType<'t>, - _expression1: &ParseTreeType<'t>, + _expression0: &ParseTreeType<'t>, _comma: &ParseTreeType<'t>, - _case_expression_list0: &ParseTreeType<'t>, + _case_expression_list: &ParseTreeType<'t>, _defaul: &ParseTreeType<'t>, _colon0: &ParseTreeType<'t>, - _expression2: &ParseTreeType<'t>, + _expression1: &ParseTreeType<'t>, _case_expression_opt: &ParseTreeType<'t>, _r_brace: &ParseTreeType<'t>, ) -> Result<()> { @@ -22190,17 +22179,15 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { trace!("{}", self.trace_item_stack(context)); let r_brace = pop_item!(self, r_brace, RBrace, context); let case_expression_opt = pop_item!(self, case_expression_opt, CaseExpressionOpt, context); - let expression2 = pop_item!(self, expression2, Expression, context); + let expression1 = pop_item!(self, expression1, Expression, context); let colon0 = pop_item!(self, colon0, Colon, context); let defaul = pop_item!(self, defaul, Defaul, context); - let case_expression_list0 = - pop_and_reverse_item!(self, case_expression_list0, CaseExpressionList0, context); - let comma = pop_item!(self, comma, Comma, context); - let expression1 = pop_item!(self, expression1, Expression, context); - let colon = pop_item!(self, colon, Colon, context); let case_expression_list = pop_and_reverse_item!(self, case_expression_list, CaseExpressionList, context); + let comma = pop_item!(self, comma, Comma, context); let expression0 = pop_item!(self, expression0, Expression, context); + let colon = pop_item!(self, colon, Colon, context); + let case_condition = pop_item!(self, case_condition, CaseCondition, context); let l_brace = pop_item!(self, l_brace, LBrace, context); let expression = pop_item!(self, expression, Expression, context); let case = pop_item!(self, case, Case, context); @@ -22208,15 +22195,14 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { case: Box::new(case), expression: Box::new(expression), l_brace: Box::new(l_brace), - expression0: Box::new(expression0), - case_expression_list, + case_condition: Box::new(case_condition), colon: Box::new(colon), - expression1: Box::new(expression1), + expression0: Box::new(expression0), comma: Box::new(comma), - case_expression_list0, + case_expression_list, defaul: Box::new(defaul), colon0: Box::new(colon0), - expression2: Box::new(expression2), + expression1: Box::new(expression1), case_expression_opt, r_brace: Box::new(r_brace), }; @@ -22228,74 +22214,48 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 450: /// - /// `CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0;` + /// `CaseExpressionList /* Vec::Push */: CaseCondition Colon Expression Comma CaseExpressionList;` /// #[parol_runtime::function_name::named] - fn case_expression_list0_0( + fn case_expression_list_0( &mut self, - _expression: &ParseTreeType<'t>, - _case_expression_list0_list: &ParseTreeType<'t>, + _case_condition: &ParseTreeType<'t>, _colon: &ParseTreeType<'t>, - _expression0: &ParseTreeType<'t>, + _expression: &ParseTreeType<'t>, _comma: &ParseTreeType<'t>, - _case_expression_list0: &ParseTreeType<'t>, + _case_expression_list: &ParseTreeType<'t>, ) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let mut case_expression_list0 = - pop_item!(self, case_expression_list0, CaseExpressionList0, context); + let mut case_expression_list = + pop_item!(self, case_expression_list, CaseExpressionList, context); let comma = pop_item!(self, comma, Comma, context); - let expression0 = pop_item!(self, expression0, Expression, context); - let colon = pop_item!(self, colon, Colon, context); - let case_expression_list0_list = pop_and_reverse_item!( - self, - case_expression_list0_list, - CaseExpressionList0List, - context - ); let expression = pop_item!(self, expression, Expression, context); - let case_expression_list0_0_built = CaseExpressionList0 { + let colon = pop_item!(self, colon, Colon, context); + let case_condition = pop_item!(self, case_condition, CaseCondition, context); + let case_expression_list_0_built = CaseExpressionList { comma: Box::new(comma), - expression0: Box::new(expression0), - colon: Box::new(colon), - case_expression_list0_list, expression: Box::new(expression), + colon: Box::new(colon), + case_condition: Box::new(case_condition), }; // Add an element to the vector - case_expression_list0.push(case_expression_list0_0_built); - self.push(ASTType::CaseExpressionList0(case_expression_list0), context); + case_expression_list.push(case_expression_list_0_built); + self.push(ASTType::CaseExpressionList(case_expression_list), context); Ok(()) } /// Semantic action for production 451: /// - /// `CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List;` + /// `CaseExpressionList /* Vec::New */: ;` /// #[parol_runtime::function_name::named] - fn case_expression_list0_list_0( - &mut self, - _comma: &ParseTreeType<'t>, - _expression: &ParseTreeType<'t>, - _case_expression_list0_list: &ParseTreeType<'t>, - ) -> Result<()> { + fn case_expression_list_1(&mut self) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let mut case_expression_list0_list = pop_item!( - self, - case_expression_list0_list, - CaseExpressionList0List, - context - ); - let expression = pop_item!(self, expression, Expression, context); - let comma = pop_item!(self, comma, Comma, context); - let case_expression_list0_list_0_built = CaseExpressionList0List { - expression: Box::new(expression), - comma: Box::new(comma), - }; - // Add an element to the vector - case_expression_list0_list.push(case_expression_list0_list_0_built); + let case_expression_list_1_built = Vec::new(); self.push( - ASTType::CaseExpressionList0List(case_expression_list0_list), + ASTType::CaseExpressionList(case_expression_list_1_built), context, ); Ok(()) @@ -22303,85 +22263,10 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 452: /// - /// `CaseExpressionList0List /* Vec::New */: ;` + /// `CaseExpressionOpt /* Option::Some */: Comma;` /// #[parol_runtime::function_name::named] - fn case_expression_list0_list_1(&mut self) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let case_expression_list0_list_1_built = Vec::new(); - self.push( - ASTType::CaseExpressionList0List(case_expression_list0_list_1_built), - context, - ); - Ok(()) - } - - /// Semantic action for production 453: - /// - /// `CaseExpressionList0 /* Vec::New */: ;` - /// - #[parol_runtime::function_name::named] - fn case_expression_list0_1(&mut self) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let case_expression_list0_1_built = Vec::new(); - self.push( - ASTType::CaseExpressionList0(case_expression_list0_1_built), - context, - ); - Ok(()) - } - - /// Semantic action for production 454: - /// - /// `CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList;` - /// - #[parol_runtime::function_name::named] - fn case_expression_list_0( - &mut self, - _comma: &ParseTreeType<'t>, - _expression: &ParseTreeType<'t>, - _case_expression_list: &ParseTreeType<'t>, - ) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let mut case_expression_list = - pop_item!(self, case_expression_list, CaseExpressionList, context); - let expression = pop_item!(self, expression, Expression, context); - let comma = pop_item!(self, comma, Comma, context); - let case_expression_list_0_built = CaseExpressionList { - expression: Box::new(expression), - comma: Box::new(comma), - }; - // Add an element to the vector - case_expression_list.push(case_expression_list_0_built); - self.push(ASTType::CaseExpressionList(case_expression_list), context); - Ok(()) - } - - /// Semantic action for production 455: - /// - /// `CaseExpressionList /* Vec::New */: ;` - /// - #[parol_runtime::function_name::named] - fn case_expression_list_1(&mut self) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let case_expression_list_1_built = Vec::new(); - self.push( - ASTType::CaseExpressionList(case_expression_list_1_built), - context, - ); - Ok(()) - } - - /// Semantic action for production 456: - /// - /// `CaseExpressionOpt /* Option::Some */: Comma;` - /// - #[parol_runtime::function_name::named] - fn case_expression_opt_0(&mut self, _comma: &ParseTreeType<'t>) -> Result<()> { + fn case_expression_opt_0(&mut self, _comma: &ParseTreeType<'t>) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); let comma = pop_item!(self, comma, Comma, context); @@ -22395,7 +22280,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 457: + /// Semantic action for production 453: /// /// `CaseExpressionOpt /* Option::None */: ;` /// @@ -22407,7 +22292,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 458: + /// Semantic action for production 454: /// /// `TypeExpression: ScalarType;` /// @@ -22427,7 +22312,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 459: + /// Semantic action for production 455: /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -22460,7 +22345,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 460: + /// Semantic action for production 456: /// /// `InsideExpression: Inside Expression LBrace RangeList RBrace;` /// @@ -22494,7 +22379,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 461: + /// Semantic action for production 457: /// /// `OutsideExpression: Outside Expression LBrace RangeList RBrace;` /// @@ -22531,7 +22416,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 462: + /// Semantic action for production 458: /// /// `RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */;` /// @@ -22558,7 +22443,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 463: + /// Semantic action for production 459: /// /// `RangeListList /* Vec::Push */: Comma RangeItem RangeListList;` /// @@ -22584,7 +22469,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 464: + /// Semantic action for production 460: /// /// `RangeListList /* Vec::New */: ;` /// @@ -22597,7 +22482,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 465: + /// Semantic action for production 461: /// /// `RangeListOpt /* Option::Some */: Comma;` /// @@ -22613,7 +22498,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 466: + /// Semantic action for production 462: /// /// `RangeListOpt /* Option::None */: ;` /// @@ -22625,7 +22510,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 467: + /// Semantic action for production 463: /// /// `RangeItem: Range;` /// @@ -22643,7 +22528,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 468: + /// Semantic action for production 464: /// /// `Select: LBracket Expression SelectOpt /* Option */ RBracket;` /// @@ -22673,7 +22558,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 469: + /// Semantic action for production 465: /// /// `SelectOpt /* Option::Some */: SelectOperator Expression;` /// @@ -22695,7 +22580,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 470: + /// Semantic action for production 466: /// /// `SelectOpt /* Option::None */: ;` /// @@ -22707,7 +22592,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 471: + /// Semantic action for production 467: /// /// `SelectOperator: Colon;` /// @@ -22727,7 +22612,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 472: + /// Semantic action for production 468: /// /// `SelectOperator: PlusColon;` /// @@ -22747,7 +22632,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 473: + /// Semantic action for production 469: /// /// `SelectOperator: MinusColon;` /// @@ -22767,7 +22652,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 474: + /// Semantic action for production 470: /// /// `SelectOperator: Step;` /// @@ -22787,7 +22672,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 475: + /// Semantic action for production 471: /// /// `Width: LAngle Expression WidthList /* Vec */ RAngle;` /// @@ -22817,7 +22702,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 476: + /// Semantic action for production 472: /// /// `WidthList /* Vec::Push */: Comma Expression WidthList;` /// @@ -22843,7 +22728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 477: + /// Semantic action for production 473: /// /// `WidthList /* Vec::New */: ;` /// @@ -22856,7 +22741,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 478: + /// Semantic action for production 474: /// /// `Array: LBracket Expression ArrayList /* Vec */ RBracket;` /// @@ -22886,7 +22771,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 479: + /// Semantic action for production 475: /// /// `ArrayList /* Vec::Push */: Comma Expression ArrayList;` /// @@ -22912,7 +22797,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 480: + /// Semantic action for production 476: /// /// `ArrayList /* Vec::New */: ;` /// @@ -22925,7 +22810,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 481: + /// Semantic action for production 477: /// /// `Range: Expression RangeOpt /* Option */;` /// @@ -22949,7 +22834,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 482: + /// Semantic action for production 478: /// /// `RangeOpt /* Option::Some */: RangeOperator Expression;` /// @@ -22971,7 +22856,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 483: + /// Semantic action for production 479: /// /// `RangeOpt /* Option::None */: ;` /// @@ -22983,7 +22868,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 484: + /// Semantic action for production 480: /// /// `RangeOperator: DotDot;` /// @@ -23002,7 +22887,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 485: + /// Semantic action for production 481: /// /// `RangeOperator: DotDotEqu;` /// @@ -23021,7 +22906,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 486: + /// Semantic action for production 482: /// /// `FixedType: U32;` /// @@ -23038,7 +22923,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 487: + /// Semantic action for production 483: /// /// `FixedType: U64;` /// @@ -23055,7 +22940,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 488: + /// Semantic action for production 484: /// /// `FixedType: I32;` /// @@ -23072,7 +22957,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 489: + /// Semantic action for production 485: /// /// `FixedType: I64;` /// @@ -23089,7 +22974,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 490: + /// Semantic action for production 486: /// /// `FixedType: F32;` /// @@ -23106,7 +22991,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 491: + /// Semantic action for production 487: /// /// `FixedType: F64;` /// @@ -23123,7 +23008,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 492: + /// Semantic action for production 488: /// /// `FixedType: Strin;` /// @@ -23142,7 +23027,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 493: + /// Semantic action for production 489: /// /// `VariableType: VariableTypeGroup VariableTypeOpt /* Option */;` /// @@ -23166,7 +23051,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 494: + /// Semantic action for production 490: /// /// `VariableTypeGroup: Clock;` /// @@ -23186,7 +23071,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 495: + /// Semantic action for production 491: /// /// `VariableTypeGroup: ClockPosedge;` /// @@ -23207,7 +23092,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 496: + /// Semantic action for production 492: /// /// `VariableTypeGroup: ClockNegedge;` /// @@ -23228,7 +23113,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 497: + /// Semantic action for production 493: /// /// `VariableTypeGroup: Reset;` /// @@ -23248,7 +23133,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 498: + /// Semantic action for production 494: /// /// `VariableTypeGroup: ResetAsyncHigh;` /// @@ -23269,7 +23154,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 499: + /// Semantic action for production 495: /// /// `VariableTypeGroup: ResetAsyncLow;` /// @@ -23290,7 +23175,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 500: + /// Semantic action for production 496: /// /// `VariableTypeGroup: ResetSyncHigh;` /// @@ -23311,7 +23196,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 501: + /// Semantic action for production 497: /// /// `VariableTypeGroup: ResetSyncLow;` /// @@ -23332,7 +23217,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 502: + /// Semantic action for production 498: /// /// `VariableTypeGroup: Logic;` /// @@ -23352,7 +23237,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 503: + /// Semantic action for production 499: /// /// `VariableTypeGroup: Bit;` /// @@ -23370,7 +23255,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 504: + /// Semantic action for production 500: /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -23391,7 +23276,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 505: + /// Semantic action for production 501: /// /// `VariableTypeOpt /* Option::Some */: Width;` /// @@ -23410,7 +23295,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 506: + /// Semantic action for production 502: /// /// `VariableTypeOpt /* Option::None */: ;` /// @@ -23422,7 +23307,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 507: + /// Semantic action for production 503: /// /// `TypeModifier: Tri;` /// @@ -23439,7 +23324,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 508: + /// Semantic action for production 504: /// /// `TypeModifier: Signed;` /// @@ -23458,7 +23343,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 509: + /// Semantic action for production 505: /// /// `ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup;` /// @@ -23483,7 +23368,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 510: + /// Semantic action for production 506: /// /// `ScalarTypeGroup: VariableType;` /// @@ -23500,7 +23385,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 511: + /// Semantic action for production 507: /// /// `ScalarTypeGroup: FixedType;` /// @@ -23517,7 +23402,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 512: + /// Semantic action for production 508: /// /// `ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList;` /// @@ -23540,7 +23425,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 513: + /// Semantic action for production 509: /// /// `ScalarTypeList /* Vec::New */: ;` /// @@ -23553,7 +23438,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 514: + /// Semantic action for production 510: /// /// `ArrayType: ScalarType ArrayTypeOpt /* Option */;` /// @@ -23577,7 +23462,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 515: + /// Semantic action for production 511: /// /// `ArrayTypeOpt /* Option::Some */: Array;` /// @@ -23593,7 +23478,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 516: + /// Semantic action for production 512: /// /// `ArrayTypeOpt /* Option::None */: ;` /// @@ -23605,7 +23490,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 517: + /// Semantic action for production 513: /// /// `Statement: LetStatement;` /// @@ -23624,7 +23509,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 518: + /// Semantic action for production 514: /// /// `Statement: IdentifierStatement;` /// @@ -23644,7 +23529,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 519: + /// Semantic action for production 515: /// /// `Statement: IfStatement;` /// @@ -23663,7 +23548,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 520: + /// Semantic action for production 516: /// /// `Statement: IfResetStatement;` /// @@ -23682,7 +23567,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 521: + /// Semantic action for production 517: /// /// `Statement: ReturnStatement;` /// @@ -23701,7 +23586,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 522: + /// Semantic action for production 518: /// /// `Statement: BreakStatement;` /// @@ -23720,7 +23605,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 523: + /// Semantic action for production 519: /// /// `Statement: ForStatement;` /// @@ -23739,7 +23624,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 524: + /// Semantic action for production 520: /// /// `Statement: CaseStatement;` /// @@ -23758,7 +23643,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 525: + /// Semantic action for production 521: /// /// `LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -23797,7 +23682,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 526: + /// Semantic action for production 522: /// /// `IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon;` /// @@ -23834,7 +23719,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 527: + /// Semantic action for production 523: /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -23855,7 +23740,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 528: + /// Semantic action for production 524: /// /// `IdentifierStatementGroup: Assignment;` /// @@ -23876,7 +23761,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 529: + /// Semantic action for production 525: /// /// `Assignment: AssignmentGroup Expression;` /// @@ -23900,7 +23785,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 530: + /// Semantic action for production 526: /// /// `AssignmentGroup: Equ;` /// @@ -23915,7 +23800,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 531: + /// Semantic action for production 527: /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -23933,7 +23818,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 532: + /// Semantic action for production 528: /// /// `IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */;` /// @@ -23974,7 +23859,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 533: + /// Semantic action for production 529: /// /// `IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0;` /// @@ -24013,7 +23898,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 534: + /// Semantic action for production 530: /// /// `IfStatementList0List /* Vec::Push */: Statement IfStatementList0List;` /// @@ -24040,7 +23925,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 535: + /// Semantic action for production 531: /// /// `IfStatementList0List /* Vec::New */: ;` /// @@ -24056,7 +23941,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 536: + /// Semantic action for production 532: /// /// `IfStatementList0 /* Vec::New */: ;` /// @@ -24072,7 +23957,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 537: + /// Semantic action for production 533: /// /// `IfStatementList /* Vec::Push */: Statement IfStatementList;` /// @@ -24095,7 +23980,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 538: + /// Semantic action for production 534: /// /// `IfStatementList /* Vec::New */: ;` /// @@ -24108,7 +23993,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 539: + /// Semantic action for production 535: /// /// `IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace;` /// @@ -24140,7 +24025,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 540: + /// Semantic action for production 536: /// /// `IfStatementOptList /* Vec::Push */: Statement IfStatementOptList;` /// @@ -24164,7 +24049,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 541: + /// Semantic action for production 537: /// /// `IfStatementOptList /* Vec::New */: ;` /// @@ -24180,7 +24065,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 542: + /// Semantic action for production 538: /// /// `IfStatementOpt /* Option::None */: ;` /// @@ -24192,7 +24077,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 543: + /// Semantic action for production 539: /// /// `IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */;` /// @@ -24236,7 +24121,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 544: + /// Semantic action for production 540: /// /// `IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0;` /// @@ -24287,7 +24172,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 545: + /// Semantic action for production 541: /// /// `IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List;` /// @@ -24318,7 +24203,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 546: + /// Semantic action for production 542: /// /// `IfResetStatementList0List /* Vec::New */: ;` /// @@ -24334,7 +24219,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 547: + /// Semantic action for production 543: /// /// `IfResetStatementList0 /* Vec::New */: ;` /// @@ -24350,7 +24235,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 548: + /// Semantic action for production 544: /// /// `IfResetStatementList /* Vec::Push */: Statement IfResetStatementList;` /// @@ -24377,7 +24262,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 549: + /// Semantic action for production 545: /// /// `IfResetStatementList /* Vec::New */: ;` /// @@ -24393,7 +24278,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 550: + /// Semantic action for production 546: /// /// `IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace;` /// @@ -24429,7 +24314,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 551: + /// Semantic action for production 547: /// /// `IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList;` /// @@ -24460,7 +24345,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 552: + /// Semantic action for production 548: /// /// `IfResetStatementOptList /* Vec::New */: ;` /// @@ -24476,7 +24361,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 553: + /// Semantic action for production 549: /// /// `IfResetStatementOpt /* Option::None */: ;` /// @@ -24488,7 +24373,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 554: + /// Semantic action for production 550: /// /// `ReturnStatement: Return Expression Semicolon;` /// @@ -24516,7 +24401,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 555: + /// Semantic action for production 551: /// /// `BreakStatement: Break Semicolon;` /// @@ -24540,7 +24425,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 556: + /// Semantic action for production 552: /// /// `ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace;` /// @@ -24589,7 +24474,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 557: + /// Semantic action for production 553: /// /// `ForStatementList /* Vec::Push */: Statement ForStatementList;` /// @@ -24612,7 +24497,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 558: + /// Semantic action for production 554: /// /// `ForStatementList /* Vec::New */: ;` /// @@ -24628,7 +24513,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 559: + /// Semantic action for production 555: /// /// `ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -24656,7 +24541,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 560: + /// Semantic action for production 556: /// /// `ForStatementOpt /* Option::None */: ;` /// @@ -24668,7 +24553,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 561: + /// Semantic action for production 557: /// /// `CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace;` /// @@ -24702,7 +24587,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 562: + /// Semantic action for production 558: /// /// `CaseStatementList /* Vec::Push */: CaseItem CaseStatementList;` /// @@ -24726,7 +24611,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 563: + /// Semantic action for production 559: /// /// `CaseStatementList /* Vec::New */: ;` /// @@ -24742,7 +24627,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 564: + /// Semantic action for production 560: /// /// `CaseItem: CaseItemGroup Colon CaseItemGroup0;` /// @@ -24769,7 +24654,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 565: + /// Semantic action for production 561: /// /// `CaseItemGroup0: Statement;` /// @@ -24786,7 +24671,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 566: + /// Semantic action for production 562: /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -24814,7 +24699,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 567: + /// Semantic action for production 563: /// /// `CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List;` /// @@ -24838,7 +24723,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 568: + /// Semantic action for production 564: /// /// `CaseItemGroup0List /* Vec::New */: ;` /// @@ -24854,92 +24739,109 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 569: + /// Semantic action for production 565: + /// + /// `CaseItemGroup: CaseCondition;` + /// + #[parol_runtime::function_name::named] + fn case_item_group_0(&mut self, _case_condition: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let case_condition = pop_item!(self, case_condition, CaseCondition, context); + let case_item_group_0_built = CaseItemGroupCaseCondition { + case_condition: Box::new(case_condition), + }; + let case_item_group_0_built = CaseItemGroup::CaseCondition(case_item_group_0_built); + self.push(ASTType::CaseItemGroup(case_item_group_0_built), context); + Ok(()) + } + + /// Semantic action for production 566: + /// + /// `CaseItemGroup: Defaul;` + /// + #[parol_runtime::function_name::named] + fn case_item_group_1(&mut self, _defaul: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let defaul = pop_item!(self, defaul, Defaul, context); + let case_item_group_1_built = CaseItemGroupDefaul { + defaul: Box::new(defaul), + }; + let case_item_group_1_built = CaseItemGroup::Defaul(case_item_group_1_built); + self.push(ASTType::CaseItemGroup(case_item_group_1_built), context); + Ok(()) + } + + /// Semantic action for production 567: /// - /// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` + /// `CaseCondition: Expression CaseConditionList /* Vec */;` /// #[parol_runtime::function_name::named] - fn case_item_group_0( + fn case_condition( &mut self, _expression: &ParseTreeType<'t>, - _case_item_group_list: &ParseTreeType<'t>, + _case_condition_list: &ParseTreeType<'t>, ) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let case_item_group_list = - pop_and_reverse_item!(self, case_item_group_list, CaseItemGroupList, context); + let case_condition_list = + pop_and_reverse_item!(self, case_condition_list, CaseConditionList, context); let expression = pop_item!(self, expression, Expression, context); - let case_item_group_0_built = CaseItemGroupExpressionCaseItemGroupList { + let case_condition_built = CaseCondition { expression: Box::new(expression), - case_item_group_list, + case_condition_list, }; - let case_item_group_0_built = - CaseItemGroup::ExpressionCaseItemGroupList(case_item_group_0_built); - self.push(ASTType::CaseItemGroup(case_item_group_0_built), context); + // Calling user action here + self.user_grammar.case_condition(&case_condition_built)?; + self.push(ASTType::CaseCondition(case_condition_built), context); Ok(()) } - /// Semantic action for production 570: + /// Semantic action for production 568: /// - /// `CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList;` + /// `CaseConditionList /* Vec::Push */: Comma Expression CaseConditionList;` /// #[parol_runtime::function_name::named] - fn case_item_group_list_0( + fn case_condition_list_0( &mut self, _comma: &ParseTreeType<'t>, _expression: &ParseTreeType<'t>, - _case_item_group_list: &ParseTreeType<'t>, + _case_condition_list: &ParseTreeType<'t>, ) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let mut case_item_group_list = - pop_item!(self, case_item_group_list, CaseItemGroupList, context); + let mut case_condition_list = + pop_item!(self, case_condition_list, CaseConditionList, context); let expression = pop_item!(self, expression, Expression, context); let comma = pop_item!(self, comma, Comma, context); - let case_item_group_list_0_built = CaseItemGroupList { + let case_condition_list_0_built = CaseConditionList { expression: Box::new(expression), comma: Box::new(comma), }; // Add an element to the vector - case_item_group_list.push(case_item_group_list_0_built); - self.push(ASTType::CaseItemGroupList(case_item_group_list), context); + case_condition_list.push(case_condition_list_0_built); + self.push(ASTType::CaseConditionList(case_condition_list), context); Ok(()) } - /// Semantic action for production 571: + /// Semantic action for production 569: /// - /// `CaseItemGroupList /* Vec::New */: ;` + /// `CaseConditionList /* Vec::New */: ;` /// #[parol_runtime::function_name::named] - fn case_item_group_list_1(&mut self) -> Result<()> { + fn case_condition_list_1(&mut self) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let case_item_group_list_1_built = Vec::new(); + let case_condition_list_1_built = Vec::new(); self.push( - ASTType::CaseItemGroupList(case_item_group_list_1_built), + ASTType::CaseConditionList(case_condition_list_1_built), context, ); Ok(()) } - /// Semantic action for production 572: - /// - /// `CaseItemGroup: Defaul;` - /// - #[parol_runtime::function_name::named] - fn case_item_group_1(&mut self, _defaul: &ParseTreeType<'t>) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let defaul = pop_item!(self, defaul, Defaul, context); - let case_item_group_1_built = CaseItemGroupDefaul { - defaul: Box::new(defaul), - }; - let case_item_group_1_built = CaseItemGroup::Defaul(case_item_group_1_built); - self.push(ASTType::CaseItemGroup(case_item_group_1_built), context); - Ok(()) - } - - /// Semantic action for production 573: + /// Semantic action for production 570: /// /// `Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket;` /// @@ -24972,7 +24874,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 574: + /// Semantic action for production 571: /// /// `AttributeOpt /* Option::Some */: LParen AttributeList RParen;` /// @@ -24997,7 +24899,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 575: + /// Semantic action for production 572: /// /// `AttributeOpt /* Option::None */: ;` /// @@ -25009,7 +24911,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 576: + /// Semantic action for production 573: /// /// `AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */;` /// @@ -25037,7 +24939,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 577: + /// Semantic action for production 574: /// /// `AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList;` /// @@ -25064,7 +24966,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 578: + /// Semantic action for production 575: /// /// `AttributeListList /* Vec::New */: ;` /// @@ -25080,7 +24982,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 579: + /// Semantic action for production 576: /// /// `AttributeListOpt /* Option::Some */: Comma;` /// @@ -25099,7 +25001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 580: + /// Semantic action for production 577: /// /// `AttributeListOpt /* Option::None */: ;` /// @@ -25111,7 +25013,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 581: + /// Semantic action for production 578: /// /// `AttributeItem: Identifier;` /// @@ -25130,7 +25032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 582: + /// Semantic action for production 579: /// /// `AttributeItem: StringLiteral;` /// @@ -25149,7 +25051,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 583: + /// Semantic action for production 580: /// /// `LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -25188,7 +25090,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 584: + /// Semantic action for production 581: /// /// `VarDeclaration: Var Identifier Colon ArrayType Semicolon;` /// @@ -25221,7 +25123,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 585: + /// Semantic action for production 582: /// /// `LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon;` /// @@ -25260,7 +25162,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 586: + /// Semantic action for production 583: /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -25290,7 +25192,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 587: + /// Semantic action for production 584: /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -25320,7 +25222,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 588: + /// Semantic action for production 585: /// /// `TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon;` /// @@ -25357,7 +25259,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 589: + /// Semantic action for production 586: /// /// `AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace;` /// @@ -25404,7 +25306,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 590: + /// Semantic action for production 587: /// /// `AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList;` /// @@ -25435,7 +25337,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 591: + /// Semantic action for production 588: /// /// `AlwaysFfDeclarationList /* Vec::New */: ;` /// @@ -25451,7 +25353,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 592: + /// Semantic action for production 589: /// /// `AlwaysFfDeclarationOpt /* Option::Some */: AlwayfFfEventList;` /// @@ -25474,7 +25376,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 593: + /// Semantic action for production 590: /// /// `AlwaysFfDeclarationOpt /* Option::None */: ;` /// @@ -25486,7 +25388,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 594: + /// Semantic action for production 591: /// /// `AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen;` /// @@ -25525,7 +25427,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 595: + /// Semantic action for production 592: /// /// `AlwayfFfEventListOpt /* Option::Some */: Comma AlwaysFfReset;` /// @@ -25550,7 +25452,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 596: + /// Semantic action for production 593: /// /// `AlwayfFfEventListOpt /* Option::None */: ;` /// @@ -25562,7 +25464,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 597: + /// Semantic action for production 594: /// /// `AlwaysFfClock: HierarchicalIdentifier;` /// @@ -25585,7 +25487,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 598: + /// Semantic action for production 595: /// /// `AlwaysFfReset: HierarchicalIdentifier;` /// @@ -25608,7 +25510,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 599: + /// Semantic action for production 596: /// /// `AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace;` /// @@ -25647,7 +25549,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 600: + /// Semantic action for production 597: /// /// `AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList;` /// @@ -25678,7 +25580,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 601: + /// Semantic action for production 598: /// /// `AlwaysCombDeclarationList /* Vec::New */: ;` /// @@ -25694,7 +25596,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 602: + /// Semantic action for production 599: /// /// `AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon;` /// @@ -25736,7 +25638,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 603: + /// Semantic action for production 600: /// /// `ModportDeclaration: Modport Identifier LBrace ModportList RBrace;` /// @@ -25773,7 +25675,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 604: + /// Semantic action for production 601: /// /// `ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */;` /// @@ -25801,7 +25703,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 605: + /// Semantic action for production 602: /// /// `ModportListList /* Vec::Push */: Comma ModportGroup ModportListList;` /// @@ -25827,7 +25729,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 606: + /// Semantic action for production 603: /// /// `ModportListList /* Vec::New */: ;` /// @@ -25840,7 +25742,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 607: + /// Semantic action for production 604: /// /// `ModportListOpt /* Option::Some */: Comma;` /// @@ -25859,7 +25761,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 608: + /// Semantic action for production 605: /// /// `ModportListOpt /* Option::None */: ;` /// @@ -25871,7 +25773,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 609: + /// Semantic action for production 606: /// /// `ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup;` /// @@ -25896,7 +25798,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 610: + /// Semantic action for production 607: /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -25926,7 +25828,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 611: + /// Semantic action for production 608: /// /// `ModportGroupGroup: ModportItem;` /// @@ -25947,7 +25849,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 612: + /// Semantic action for production 609: /// /// `ModportGroupList /* Vec::Push */: Attribute ModportGroupList;` /// @@ -25970,7 +25872,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 613: + /// Semantic action for production 610: /// /// `ModportGroupList /* Vec::New */: ;` /// @@ -25986,7 +25888,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 614: + /// Semantic action for production 611: /// /// `ModportItem: Identifier Colon Direction;` /// @@ -26013,7 +25915,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 615: + /// Semantic action for production 612: /// /// `EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace;` /// @@ -26053,7 +25955,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 616: + /// Semantic action for production 613: /// /// `EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */;` /// @@ -26080,7 +25982,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 617: + /// Semantic action for production 614: /// /// `EnumListList /* Vec::Push */: Comma EnumGroup EnumListList;` /// @@ -26106,7 +26008,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 618: + /// Semantic action for production 615: /// /// `EnumListList /* Vec::New */: ;` /// @@ -26119,7 +26021,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 619: + /// Semantic action for production 616: /// /// `EnumListOpt /* Option::Some */: Comma;` /// @@ -26135,7 +26037,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 620: + /// Semantic action for production 617: /// /// `EnumListOpt /* Option::None */: ;` /// @@ -26147,7 +26049,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 621: + /// Semantic action for production 618: /// /// `EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup;` /// @@ -26171,7 +26073,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 622: + /// Semantic action for production 619: /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -26198,7 +26100,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 623: + /// Semantic action for production 620: /// /// `EnumGroupGroup: EnumItem;` /// @@ -26215,7 +26117,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 624: + /// Semantic action for production 621: /// /// `EnumGroupList /* Vec::Push */: Attribute EnumGroupList;` /// @@ -26238,7 +26140,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 625: + /// Semantic action for production 622: /// /// `EnumGroupList /* Vec::New */: ;` /// @@ -26251,7 +26153,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 626: + /// Semantic action for production 623: /// /// `EnumItem: Identifier EnumItemOpt /* Option */;` /// @@ -26275,7 +26177,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 627: + /// Semantic action for production 624: /// /// `EnumItemOpt /* Option::Some */: Equ Expression;` /// @@ -26297,7 +26199,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 628: + /// Semantic action for production 625: /// /// `EnumItemOpt /* Option::None */: ;` /// @@ -26309,7 +26211,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 629: + /// Semantic action for production 626: /// /// `StructUnion: Struct;` /// @@ -26328,7 +26230,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 630: + /// Semantic action for production 627: /// /// `StructUnion: Union;` /// @@ -26347,7 +26249,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 631: + /// Semantic action for production 628: /// /// `StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace;` /// @@ -26392,7 +26294,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 632: + /// Semantic action for production 629: /// /// `StructUnionDeclarationOpt /* Option::Some */: WithGenericParameter;` /// @@ -26415,7 +26317,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 633: + /// Semantic action for production 630: /// /// `StructUnionDeclarationOpt /* Option::None */: ;` /// @@ -26427,7 +26329,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 634: + /// Semantic action for production 631: /// /// `StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */;` /// @@ -26457,7 +26359,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 635: + /// Semantic action for production 632: /// /// `StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList;` /// @@ -26487,7 +26389,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 636: + /// Semantic action for production 633: /// /// `StructUnionListList /* Vec::New */: ;` /// @@ -26503,7 +26405,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 637: + /// Semantic action for production 634: /// /// `StructUnionListOpt /* Option::Some */: Comma;` /// @@ -26522,7 +26424,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 638: + /// Semantic action for production 635: /// /// `StructUnionListOpt /* Option::None */: ;` /// @@ -26534,7 +26436,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 639: + /// Semantic action for production 636: /// /// `StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup;` /// @@ -26565,7 +26467,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 640: + /// Semantic action for production 637: /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -26595,7 +26497,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 641: + /// Semantic action for production 638: /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -26616,7 +26518,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 642: + /// Semantic action for production 639: /// /// `StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList;` /// @@ -26643,7 +26545,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 643: + /// Semantic action for production 640: /// /// `StructUnionGroupList /* Vec::New */: ;` /// @@ -26659,7 +26561,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 644: + /// Semantic action for production 641: /// /// `StructUnionItem: Identifier Colon ScalarType;` /// @@ -26687,7 +26589,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 645: + /// Semantic action for production 642: /// /// `InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace;` /// @@ -26726,7 +26628,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 646: + /// Semantic action for production 643: /// /// `InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList;` /// @@ -26757,7 +26659,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 647: + /// Semantic action for production 644: /// /// `InitialDeclarationList /* Vec::New */: ;` /// @@ -26773,7 +26675,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 648: + /// Semantic action for production 645: /// /// `FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace;` /// @@ -26805,7 +26707,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 649: + /// Semantic action for production 646: /// /// `FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList;` /// @@ -26832,7 +26734,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 650: + /// Semantic action for production 647: /// /// `FinalDeclarationList /* Vec::New */: ;` /// @@ -26848,7 +26750,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 651: + /// Semantic action for production 648: /// /// `InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon;` /// @@ -26894,7 +26796,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 652: + /// Semantic action for production 649: /// /// `InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen;` /// @@ -26923,7 +26825,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 653: + /// Semantic action for production 650: /// /// `InstDeclarationOpt2 /* Option::Some */: InstPortList;` /// @@ -26942,7 +26844,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 654: + /// Semantic action for production 651: /// /// `InstDeclarationOpt2 /* Option::None */: ;` /// @@ -26954,7 +26856,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 655: + /// Semantic action for production 652: /// /// `InstDeclarationOpt1 /* Option::None */: ;` /// @@ -26966,7 +26868,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 656: + /// Semantic action for production 653: /// /// `InstDeclarationOpt0 /* Option::Some */: InstParameter;` /// @@ -26985,7 +26887,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 657: + /// Semantic action for production 654: /// /// `InstDeclarationOpt0 /* Option::None */: ;` /// @@ -26997,7 +26899,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 658: + /// Semantic action for production 655: /// /// `InstDeclarationOpt /* Option::Some */: Array;` /// @@ -27016,7 +26918,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 659: + /// Semantic action for production 656: /// /// `InstDeclarationOpt /* Option::None */: ;` /// @@ -27028,7 +26930,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 660: + /// Semantic action for production 657: /// /// `InstParameter: Hash LParen InstParameterOpt /* Option */ RParen;` /// @@ -27058,7 +26960,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 661: + /// Semantic action for production 658: /// /// `InstParameterOpt /* Option::Some */: InstParameterList;` /// @@ -27077,7 +26979,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 662: + /// Semantic action for production 659: /// /// `InstParameterOpt /* Option::None */: ;` /// @@ -27089,7 +26991,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 663: + /// Semantic action for production 660: /// /// `InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */;` /// @@ -27127,7 +27029,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 664: + /// Semantic action for production 661: /// /// `InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList;` /// @@ -27162,7 +27064,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 665: + /// Semantic action for production 662: /// /// `InstParameterListList /* Vec::New */: ;` /// @@ -27178,7 +27080,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 666: + /// Semantic action for production 663: /// /// `InstParameterListOpt /* Option::Some */: Comma;` /// @@ -27197,7 +27099,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 667: + /// Semantic action for production 664: /// /// `InstParameterListOpt /* Option::None */: ;` /// @@ -27209,7 +27111,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 668: + /// Semantic action for production 665: /// /// `InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup;` /// @@ -27247,7 +27149,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 669: + /// Semantic action for production 666: /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -27280,7 +27182,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 670: + /// Semantic action for production 667: /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -27304,7 +27206,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 671: + /// Semantic action for production 668: /// /// `InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList;` /// @@ -27335,7 +27237,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 672: + /// Semantic action for production 669: /// /// `InstParameterGroupList /* Vec::New */: ;` /// @@ -27351,7 +27253,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 673: + /// Semantic action for production 670: /// /// `InstParameterItem: Identifier InstParameterItemOpt /* Option */;` /// @@ -27380,7 +27282,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 674: + /// Semantic action for production 671: /// /// `InstParameterItemOpt /* Option::Some */: Colon Expression;` /// @@ -27405,7 +27307,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 675: + /// Semantic action for production 672: /// /// `InstParameterItemOpt /* Option::None */: ;` /// @@ -27417,7 +27319,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 676: + /// Semantic action for production 673: /// /// `InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */;` /// @@ -27445,7 +27347,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 677: + /// Semantic action for production 674: /// /// `InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList;` /// @@ -27472,7 +27374,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 678: + /// Semantic action for production 675: /// /// `InstPortListList /* Vec::New */: ;` /// @@ -27488,7 +27390,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 679: + /// Semantic action for production 676: /// /// `InstPortListOpt /* Option::Some */: Comma;` /// @@ -27507,7 +27409,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 680: + /// Semantic action for production 677: /// /// `InstPortListOpt /* Option::None */: ;` /// @@ -27519,7 +27421,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 681: + /// Semantic action for production 678: /// /// `InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup;` /// @@ -27545,7 +27447,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 682: + /// Semantic action for production 679: /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -27575,7 +27477,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 683: + /// Semantic action for production 680: /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -27596,7 +27498,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 684: + /// Semantic action for production 681: /// /// `InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList;` /// @@ -27620,7 +27522,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 685: + /// Semantic action for production 682: /// /// `InstPortGroupList /* Vec::New */: ;` /// @@ -27636,7 +27538,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 686: + /// Semantic action for production 683: /// /// `InstPortItem: Identifier InstPortItemOpt /* Option */;` /// @@ -27660,7 +27562,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 687: + /// Semantic action for production 684: /// /// `InstPortItemOpt /* Option::Some */: Colon Expression;` /// @@ -27685,7 +27587,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 688: + /// Semantic action for production 685: /// /// `InstPortItemOpt /* Option::None */: ;` /// @@ -27697,7 +27599,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 689: + /// Semantic action for production 686: /// /// `WithParameter: Hash LParen WithParameterOpt /* Option */ RParen;` /// @@ -27727,7 +27629,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 690: + /// Semantic action for production 687: /// /// `WithParameterOpt /* Option::Some */: WithParameterList;` /// @@ -27746,7 +27648,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 691: + /// Semantic action for production 688: /// /// `WithParameterOpt /* Option::None */: ;` /// @@ -27758,7 +27660,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 692: + /// Semantic action for production 689: /// /// `WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */;` /// @@ -27796,7 +27698,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 693: + /// Semantic action for production 690: /// /// `WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList;` /// @@ -27831,7 +27733,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 694: + /// Semantic action for production 691: /// /// `WithParameterListList /* Vec::New */: ;` /// @@ -27847,7 +27749,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 695: + /// Semantic action for production 692: /// /// `WithParameterListOpt /* Option::Some */: Comma;` /// @@ -27866,7 +27768,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 696: + /// Semantic action for production 693: /// /// `WithParameterListOpt /* Option::None */: ;` /// @@ -27878,7 +27780,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 697: + /// Semantic action for production 694: /// /// `WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup;` /// @@ -27916,7 +27818,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 698: + /// Semantic action for production 695: /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -27949,7 +27851,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 699: + /// Semantic action for production 696: /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -27973,7 +27875,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 700: + /// Semantic action for production 697: /// /// `WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList;` /// @@ -28004,7 +27906,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 701: + /// Semantic action for production 698: /// /// `WithParameterGroupList /* Vec::New */: ;` /// @@ -28020,7 +27922,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 702: + /// Semantic action for production 699: /// /// `WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0;` /// @@ -28064,7 +27966,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 703: + /// Semantic action for production 700: /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -28094,7 +27996,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 704: + /// Semantic action for production 701: /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -28124,7 +28026,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 705: + /// Semantic action for production 702: /// /// `WithParameterItemGroup: Param;` /// @@ -28145,7 +28047,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 706: + /// Semantic action for production 703: /// /// `WithParameterItemGroup: Local;` /// @@ -28166,7 +28068,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 707: + /// Semantic action for production 704: /// /// `WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle;` /// @@ -28202,7 +28104,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 708: + /// Semantic action for production 705: /// /// `WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */;` /// @@ -28248,7 +28150,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 709: + /// Semantic action for production 706: /// /// `WithGenericParameterListList /* Vec::Push */: Comma WithGenericParameterItem WithGenericParameterListList;` /// @@ -28287,7 +28189,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 710: + /// Semantic action for production 707: /// /// `WithGenericParameterListList /* Vec::New */: ;` /// @@ -28303,7 +28205,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 711: + /// Semantic action for production 708: /// /// `WithGenericParameterListOpt /* Option::Some */: Comma;` /// @@ -28322,7 +28224,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 712: + /// Semantic action for production 709: /// /// `WithGenericParameterListOpt /* Option::None */: ;` /// @@ -28334,7 +28236,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 713: + /// Semantic action for production 710: /// /// `WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */;` /// @@ -28367,7 +28269,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 714: + /// Semantic action for production 711: /// /// `WithGenericParameterItemOpt /* Option::Some */: Equ WithGenericArgumentItem;` /// @@ -28397,7 +28299,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 715: + /// Semantic action for production 712: /// /// `WithGenericParameterItemOpt /* Option::None */: ;` /// @@ -28409,7 +28311,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 716: + /// Semantic action for production 713: /// /// `WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentOpt /* Option */ RAngle %pop();` /// @@ -28445,7 +28347,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 717: + /// Semantic action for production 714: /// /// `WithGenericArgumentOpt /* Option::Some */: WithGenericArgumentList;` /// @@ -28472,7 +28374,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 718: + /// Semantic action for production 715: /// /// `WithGenericArgumentOpt /* Option::None */: ;` /// @@ -28484,7 +28386,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 719: + /// Semantic action for production 716: /// /// `WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */;` /// @@ -28530,7 +28432,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 720: + /// Semantic action for production 717: /// /// `WithGenericArgumentListList /* Vec::Push */: Comma WithGenericArgumentItem WithGenericArgumentListList;` /// @@ -28569,7 +28471,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 721: + /// Semantic action for production 718: /// /// `WithGenericArgumentListList /* Vec::New */: ;` /// @@ -28585,7 +28487,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 722: + /// Semantic action for production 719: /// /// `WithGenericArgumentListOpt /* Option::Some */: Comma;` /// @@ -28604,7 +28506,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 723: + /// Semantic action for production 720: /// /// `WithGenericArgumentListOpt /* Option::None */: ;` /// @@ -28616,7 +28518,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 724: + /// Semantic action for production 721: /// /// `WithGenericArgumentItem: ScopedIdentifier;` /// @@ -28643,7 +28545,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 725: + /// Semantic action for production 722: /// /// `WithGenericArgumentItem: Number;` /// @@ -28667,7 +28569,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 726: + /// Semantic action for production 723: /// /// `PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen;` /// @@ -28696,7 +28598,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 727: + /// Semantic action for production 724: /// /// `PortDeclarationOpt /* Option::Some */: PortDeclarationList;` /// @@ -28716,7 +28618,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 728: + /// Semantic action for production 725: /// /// `PortDeclarationOpt /* Option::None */: ;` /// @@ -28728,7 +28630,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 729: + /// Semantic action for production 726: /// /// `PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */;` /// @@ -28770,7 +28672,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 730: + /// Semantic action for production 727: /// /// `PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList;` /// @@ -28805,7 +28707,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 731: + /// Semantic action for production 728: /// /// `PortDeclarationListList /* Vec::New */: ;` /// @@ -28821,7 +28723,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 732: + /// Semantic action for production 729: /// /// `PortDeclarationListOpt /* Option::Some */: Comma;` /// @@ -28840,7 +28742,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 733: + /// Semantic action for production 730: /// /// `PortDeclarationListOpt /* Option::None */: ;` /// @@ -28852,7 +28754,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 734: + /// Semantic action for production 731: /// /// `PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup;` /// @@ -28890,7 +28792,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 735: + /// Semantic action for production 732: /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -28924,7 +28826,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 736: + /// Semantic action for production 733: /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -28949,7 +28851,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 737: + /// Semantic action for production 734: /// /// `PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList;` /// @@ -28980,7 +28882,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 738: + /// Semantic action for production 735: /// /// `PortDeclarationGroupList /* Vec::New */: ;` /// @@ -28996,7 +28898,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 739: + /// Semantic action for production 736: /// /// `PortDeclarationItem: Identifier Colon PortDeclarationItemGroup;` /// @@ -29032,7 +28934,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 740: + /// Semantic action for production 737: /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -29059,7 +28961,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 741: + /// Semantic action for production 738: /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -29094,7 +28996,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 742: + /// Semantic action for production 739: /// /// `PortDeclarationItemOpt /* Option::Some */: Array;` /// @@ -29113,7 +29015,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 743: + /// Semantic action for production 740: /// /// `PortDeclarationItemOpt /* Option::None */: ;` /// @@ -29125,7 +29027,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 744: + /// Semantic action for production 741: /// /// `Direction: Input;` /// @@ -29144,7 +29046,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 745: + /// Semantic action for production 742: /// /// `Direction: Output;` /// @@ -29163,7 +29065,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 746: + /// Semantic action for production 743: /// /// `Direction: Inout;` /// @@ -29182,7 +29084,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 747: + /// Semantic action for production 744: /// /// `Direction: Ref;` /// @@ -29201,7 +29103,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 748: + /// Semantic action for production 745: /// /// `Direction: Modport;` /// @@ -29220,7 +29122,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 749: + /// Semantic action for production 746: /// /// `Direction: Import;` /// @@ -29239,7 +29141,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 750: + /// Semantic action for production 747: /// /// `FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace;` /// @@ -29305,7 +29207,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 751: + /// Semantic action for production 748: /// /// `FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList;` /// @@ -29336,7 +29238,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 752: + /// Semantic action for production 749: /// /// `FunctionDeclarationList /* Vec::New */: ;` /// @@ -29352,7 +29254,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 753: + /// Semantic action for production 750: /// /// `FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType;` /// @@ -29377,7 +29279,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 754: + /// Semantic action for production 751: /// /// `FunctionDeclarationOpt1 /* Option::None */: ;` /// @@ -29389,7 +29291,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 755: + /// Semantic action for production 752: /// /// `FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration;` /// @@ -29408,7 +29310,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 756: + /// Semantic action for production 753: /// /// `FunctionDeclarationOpt0 /* Option::None */: ;` /// @@ -29420,7 +29322,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 757: + /// Semantic action for production 754: /// /// `FunctionDeclarationOpt /* Option::Some */: WithGenericParameter;` /// @@ -29443,7 +29345,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 758: + /// Semantic action for production 755: /// /// `FunctionDeclarationOpt /* Option::None */: ;` /// @@ -29455,7 +29357,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 759: + /// Semantic action for production 756: /// /// `FunctionItem: VarDeclaration;` /// @@ -29474,7 +29376,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 760: + /// Semantic action for production 757: /// /// `FunctionItem: Statement;` /// @@ -29493,7 +29395,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 761: + /// Semantic action for production 758: /// /// `ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon;` /// @@ -29528,7 +29430,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 762: + /// Semantic action for production 759: /// /// `ImportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -29553,7 +29455,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 763: + /// Semantic action for production 760: /// /// `ImportDeclarationOpt /* Option::None */: ;` /// @@ -29565,7 +29467,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 764: + /// Semantic action for production 761: /// /// `ExportDeclaration: Export ExportDeclarationGroup Semicolon;` /// @@ -29601,7 +29503,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 765: + /// Semantic action for production 762: /// /// `ExportDeclarationGroup: Star;` /// @@ -29622,7 +29524,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 766: + /// Semantic action for production 763: /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -29653,7 +29555,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 767: + /// Semantic action for production 764: /// /// `ExportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -29678,7 +29580,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 768: + /// Semantic action for production 765: /// /// `ExportDeclarationOpt /* Option::None */: ;` /// @@ -29690,7 +29592,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 769: + /// Semantic action for production 766: /// /// `ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace;` /// @@ -29760,7 +29662,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 770: + /// Semantic action for production 767: /// /// `ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList;` /// @@ -29791,7 +29693,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 771: + /// Semantic action for production 768: /// /// `ModuleDeclarationList /* Vec::New */: ;` /// @@ -29807,7 +29709,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 772: + /// Semantic action for production 769: /// /// `ModuleDeclarationOpt2 /* Option::Some */: PortDeclaration;` /// @@ -29826,7 +29728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 773: + /// Semantic action for production 770: /// /// `ModuleDeclarationOpt2 /* Option::None */: ;` /// @@ -29838,7 +29740,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 774: + /// Semantic action for production 771: /// /// `ModuleDeclarationOpt1 /* Option::Some */: WithParameter;` /// @@ -29857,7 +29759,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 775: + /// Semantic action for production 772: /// /// `ModuleDeclarationOpt1 /* Option::None */: ;` /// @@ -29869,7 +29771,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 776: + /// Semantic action for production 773: /// /// `ModuleDeclarationOpt0 /* Option::Some */: WithGenericParameter;` /// @@ -29892,7 +29794,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 777: + /// Semantic action for production 774: /// /// `ModuleDeclarationOpt0 /* Option::None */: ;` /// @@ -29904,7 +29806,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 778: + /// Semantic action for production 775: /// /// `ModuleDeclarationOpt /* Option::Some */: Pub;` /// @@ -29923,7 +29825,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 779: + /// Semantic action for production 776: /// /// `ModuleDeclarationOpt /* Option::None */: ;` /// @@ -29935,7 +29837,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 780: + /// Semantic action for production 777: /// /// `ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */;` /// @@ -29982,7 +29884,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 781: + /// Semantic action for production 778: /// /// `ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList;` /// @@ -30027,7 +29929,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 782: + /// Semantic action for production 779: /// /// `ModuleIfDeclarationList /* Vec::New */: ;` /// @@ -30043,7 +29945,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 783: + /// Semantic action for production 780: /// /// `ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock;` /// @@ -30073,7 +29975,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 784: + /// Semantic action for production 781: /// /// `ModuleIfDeclarationOpt /* Option::None */: ;` /// @@ -30085,7 +29987,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 785: + /// Semantic action for production 782: /// /// `ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock;` /// @@ -30130,7 +30032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 786: + /// Semantic action for production 783: /// /// `ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -30158,7 +30060,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 787: + /// Semantic action for production 784: /// /// `ModuleForDeclarationOpt /* Option::None */: ;` /// @@ -30170,7 +30072,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 788: + /// Semantic action for production 785: /// /// `ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace;` /// @@ -30205,7 +30107,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 789: + /// Semantic action for production 786: /// /// `ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList;` /// @@ -30232,7 +30134,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 790: + /// Semantic action for production 787: /// /// `ModuleNamedBlockList /* Vec::New */: ;` /// @@ -30248,7 +30150,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 791: + /// Semantic action for production 788: /// /// `ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -30292,7 +30194,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 792: + /// Semantic action for production 789: /// /// `ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList;` /// @@ -30323,7 +30225,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 793: + /// Semantic action for production 790: /// /// `ModuleOptionalNamedBlockList /* Vec::New */: ;` /// @@ -30339,7 +30241,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 794: + /// Semantic action for production 791: /// /// `ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -30364,7 +30266,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 795: + /// Semantic action for production 792: /// /// `ModuleOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -30376,7 +30278,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 796: + /// Semantic action for production 793: /// /// `ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup;` /// @@ -30401,7 +30303,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 797: + /// Semantic action for production 794: /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -30432,7 +30334,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 798: + /// Semantic action for production 795: /// /// `ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList;` /// @@ -30459,7 +30361,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 799: + /// Semantic action for production 796: /// /// `ModuleGroupGroupList /* Vec::New */: ;` /// @@ -30475,7 +30377,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 800: + /// Semantic action for production 797: /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -30495,7 +30397,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 801: + /// Semantic action for production 798: /// /// `ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList;` /// @@ -30518,7 +30420,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 802: + /// Semantic action for production 799: /// /// `ModuleGroupList /* Vec::New */: ;` /// @@ -30531,7 +30433,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 803: + /// Semantic action for production 800: /// /// `ModuleItem: LetDeclaration;` /// @@ -30550,7 +30452,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 804: + /// Semantic action for production 801: /// /// `ModuleItem: VarDeclaration;` /// @@ -30569,7 +30471,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 805: + /// Semantic action for production 802: /// /// `ModuleItem: InstDeclaration;` /// @@ -30588,7 +30490,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 806: + /// Semantic action for production 803: /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -30608,7 +30510,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 807: + /// Semantic action for production 804: /// /// `ModuleItem: LocalDeclaration;` /// @@ -30627,7 +30529,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 808: + /// Semantic action for production 805: /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -30647,7 +30549,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 809: + /// Semantic action for production 806: /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -30671,7 +30573,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 810: + /// Semantic action for production 807: /// /// `ModuleItem: AssignDeclaration;` /// @@ -30690,7 +30592,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 811: + /// Semantic action for production 808: /// /// `ModuleItem: FunctionDeclaration;` /// @@ -30710,7 +30612,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 812: + /// Semantic action for production 809: /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -30730,7 +30632,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 813: + /// Semantic action for production 810: /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -30750,7 +30652,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 814: + /// Semantic action for production 811: /// /// `ModuleItem: EnumDeclaration;` /// @@ -30769,7 +30671,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 815: + /// Semantic action for production 812: /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -30793,7 +30695,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 816: + /// Semantic action for production 813: /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -30812,7 +30714,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 817: + /// Semantic action for production 814: /// /// `ModuleItem: ImportDeclaration;` /// @@ -30831,7 +30733,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 818: + /// Semantic action for production 815: /// /// `ModuleItem: InitialDeclaration;` /// @@ -30850,7 +30752,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 819: + /// Semantic action for production 816: /// /// `ModuleItem: FinalDeclaration;` /// @@ -30869,7 +30771,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 820: + /// Semantic action for production 817: /// /// `InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace;` /// @@ -30935,7 +30837,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 821: + /// Semantic action for production 818: /// /// `InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList;` /// @@ -30966,7 +30868,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 822: + /// Semantic action for production 819: /// /// `InterfaceDeclarationList /* Vec::New */: ;` /// @@ -30982,7 +30884,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 823: + /// Semantic action for production 820: /// /// `InterfaceDeclarationOpt1 /* Option::Some */: WithParameter;` /// @@ -31001,7 +30903,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 824: + /// Semantic action for production 821: /// /// `InterfaceDeclarationOpt1 /* Option::None */: ;` /// @@ -31013,7 +30915,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 825: + /// Semantic action for production 822: /// /// `InterfaceDeclarationOpt0 /* Option::Some */: WithGenericParameter;` /// @@ -31036,7 +30938,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 826: + /// Semantic action for production 823: /// /// `InterfaceDeclarationOpt0 /* Option::None */: ;` /// @@ -31048,7 +30950,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 827: + /// Semantic action for production 824: /// /// `InterfaceDeclarationOpt /* Option::Some */: Pub;` /// @@ -31067,7 +30969,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 828: + /// Semantic action for production 825: /// /// `InterfaceDeclarationOpt /* Option::None */: ;` /// @@ -31079,7 +30981,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 829: + /// Semantic action for production 826: /// /// `InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */;` /// @@ -31127,7 +31029,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 830: + /// Semantic action for production 827: /// /// `InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList;` /// @@ -31172,7 +31074,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 831: + /// Semantic action for production 828: /// /// `InterfaceIfDeclarationList /* Vec::New */: ;` /// @@ -31188,7 +31090,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 832: + /// Semantic action for production 829: /// /// `InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock;` /// @@ -31218,7 +31120,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 833: + /// Semantic action for production 830: /// /// `InterfaceIfDeclarationOpt /* Option::None */: ;` /// @@ -31230,7 +31132,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 834: + /// Semantic action for production 831: /// /// `InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock;` /// @@ -31276,7 +31178,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 835: + /// Semantic action for production 832: /// /// `InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -31304,7 +31206,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 836: + /// Semantic action for production 833: /// /// `InterfaceForDeclarationOpt /* Option::None */: ;` /// @@ -31316,7 +31218,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 837: + /// Semantic action for production 834: /// /// `InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace;` /// @@ -31358,7 +31260,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 838: + /// Semantic action for production 835: /// /// `InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList;` /// @@ -31389,7 +31291,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 839: + /// Semantic action for production 836: /// /// `InterfaceNamedBlockList /* Vec::New */: ;` /// @@ -31405,7 +31307,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 840: + /// Semantic action for production 837: /// /// `InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -31449,7 +31351,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 841: + /// Semantic action for production 838: /// /// `InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList;` /// @@ -31480,7 +31382,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 842: + /// Semantic action for production 839: /// /// `InterfaceOptionalNamedBlockList /* Vec::New */: ;` /// @@ -31496,7 +31398,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 843: + /// Semantic action for production 840: /// /// `InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -31523,7 +31425,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 844: + /// Semantic action for production 841: /// /// `InterfaceOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -31535,7 +31437,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 845: + /// Semantic action for production 842: /// /// `InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup;` /// @@ -31561,7 +31463,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 846: + /// Semantic action for production 843: /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -31597,7 +31499,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 847: + /// Semantic action for production 844: /// /// `InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList;` /// @@ -31628,7 +31530,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 848: + /// Semantic action for production 845: /// /// `InterfaceGroupGroupList /* Vec::New */: ;` /// @@ -31644,7 +31546,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 849: + /// Semantic action for production 846: /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -31665,7 +31567,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 850: + /// Semantic action for production 847: /// /// `InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList;` /// @@ -31689,7 +31591,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 851: + /// Semantic action for production 848: /// /// `InterfaceGroupList /* Vec::New */: ;` /// @@ -31705,7 +31607,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 852: + /// Semantic action for production 849: /// /// `InterfaceItem: LetDeclaration;` /// @@ -31724,7 +31626,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 853: + /// Semantic action for production 850: /// /// `InterfaceItem: VarDeclaration;` /// @@ -31743,7 +31645,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 854: + /// Semantic action for production 851: /// /// `InterfaceItem: LocalDeclaration;` /// @@ -31762,7 +31664,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 855: + /// Semantic action for production 852: /// /// `InterfaceItem: ModportDeclaration;` /// @@ -31781,7 +31683,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 856: + /// Semantic action for production 853: /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -31805,7 +31707,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 857: + /// Semantic action for production 854: /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -31829,7 +31731,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 858: + /// Semantic action for production 855: /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -31849,7 +31751,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 859: + /// Semantic action for production 856: /// /// `InterfaceItem: EnumDeclaration;` /// @@ -31868,7 +31770,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 860: + /// Semantic action for production 857: /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -31892,7 +31794,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 861: + /// Semantic action for production 858: /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -31912,7 +31814,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 862: + /// Semantic action for production 859: /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -31932,7 +31834,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 863: + /// Semantic action for production 860: /// /// `InterfaceItem: ImportDeclaration;` /// @@ -31951,7 +31853,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 864: + /// Semantic action for production 861: /// /// `InterfaceItem: InitialDeclaration;` /// @@ -31970,7 +31872,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 865: + /// Semantic action for production 862: /// /// `InterfaceItem: FinalDeclaration;` /// @@ -31989,7 +31891,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 866: + /// Semantic action for production 863: /// /// `PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace;` /// @@ -32047,7 +31949,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 867: + /// Semantic action for production 864: /// /// `PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList;` /// @@ -32078,7 +31980,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 868: + /// Semantic action for production 865: /// /// `PackageDeclarationList /* Vec::New */: ;` /// @@ -32094,7 +31996,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 869: + /// Semantic action for production 866: /// /// `PackageDeclarationOpt0 /* Option::Some */: WithGenericParameter;` /// @@ -32117,7 +32019,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 870: + /// Semantic action for production 867: /// /// `PackageDeclarationOpt0 /* Option::None */: ;` /// @@ -32129,7 +32031,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 871: + /// Semantic action for production 868: /// /// `PackageDeclarationOpt /* Option::Some */: Pub;` /// @@ -32148,7 +32050,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 872: + /// Semantic action for production 869: /// /// `PackageDeclarationOpt /* Option::None */: ;` /// @@ -32160,7 +32062,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 873: + /// Semantic action for production 870: /// /// `PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup;` /// @@ -32185,7 +32087,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 874: + /// Semantic action for production 871: /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -32220,7 +32122,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 875: + /// Semantic action for production 872: /// /// `PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList;` /// @@ -32251,7 +32153,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 876: + /// Semantic action for production 873: /// /// `PackageGroupGroupList /* Vec::New */: ;` /// @@ -32267,7 +32169,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 877: + /// Semantic action for production 874: /// /// `PackageGroupGroup: PackageItem;` /// @@ -32288,7 +32190,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 878: + /// Semantic action for production 875: /// /// `PackageGroupList /* Vec::Push */: Attribute PackageGroupList;` /// @@ -32311,7 +32213,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 879: + /// Semantic action for production 876: /// /// `PackageGroupList /* Vec::New */: ;` /// @@ -32327,7 +32229,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 880: + /// Semantic action for production 877: /// /// `PackageItem: VarDeclaration;` /// @@ -32346,7 +32248,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 881: + /// Semantic action for production 878: /// /// `PackageItem: LocalDeclaration;` /// @@ -32365,7 +32267,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 882: + /// Semantic action for production 879: /// /// `PackageItem: TypeDefDeclaration;` /// @@ -32385,7 +32287,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 883: + /// Semantic action for production 880: /// /// `PackageItem: EnumDeclaration;` /// @@ -32404,7 +32306,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 884: + /// Semantic action for production 881: /// /// `PackageItem: StructUnionDeclaration;` /// @@ -32428,7 +32330,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 885: + /// Semantic action for production 882: /// /// `PackageItem: FunctionDeclaration;` /// @@ -32448,7 +32350,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 886: + /// Semantic action for production 883: /// /// `PackageItem: ImportDeclaration;` /// @@ -32467,7 +32369,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 887: + /// Semantic action for production 884: /// /// `PackageItem: ExportDeclaration;` /// @@ -32486,7 +32388,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 888: + /// Semantic action for production 885: /// /// `PackageItem: InitialDeclaration;` /// @@ -32505,7 +32407,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 889: + /// Semantic action for production 886: /// /// `PackageItem: FinalDeclaration;` /// @@ -32524,7 +32426,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 890: + /// Semantic action for production 887: /// /// `EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent;` /// @@ -32561,7 +32463,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 891: + /// Semantic action for production 888: /// /// `EmbedContent: EmbedContentToken : VerylToken;` /// @@ -32581,7 +32483,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 892: + /// Semantic action for production 889: /// /// `EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop() Comments;` /// @@ -32632,7 +32534,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 893: + /// Semantic action for production 890: /// /// `EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList;` /// @@ -32663,7 +32565,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 894: + /// Semantic action for production 891: /// /// `EmbedContentTokenList /* Vec::New */: ;` /// @@ -32679,7 +32581,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 895: + /// Semantic action for production 892: /// /// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` /// @@ -32707,7 +32609,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 896: + /// Semantic action for production 893: /// /// `EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList;` /// @@ -32730,7 +32632,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 897: + /// Semantic action for production 894: /// /// `EmbedItemList /* Vec::New */: ;` /// @@ -32743,7 +32645,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 898: + /// Semantic action for production 895: /// /// `EmbedItem: AnyTerm;` /// @@ -32762,7 +32664,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 899: + /// Semantic action for production 896: /// /// `IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon;` /// @@ -32805,7 +32707,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 900: + /// Semantic action for production 897: /// /// `DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup;` /// @@ -32836,7 +32738,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 901: + /// Semantic action for production 898: /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -32874,7 +32776,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 902: + /// Semantic action for production 899: /// /// `DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList;` /// @@ -32905,7 +32807,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 903: + /// Semantic action for production 900: /// /// `DescriptionGroupGroupList /* Vec::New */: ;` /// @@ -32921,7 +32823,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 904: + /// Semantic action for production 901: /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -32942,7 +32844,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 905: + /// Semantic action for production 902: /// /// `DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList;` /// @@ -32969,7 +32871,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 906: + /// Semantic action for production 903: /// /// `DescriptionGroupList /* Vec::New */: ;` /// @@ -32985,7 +32887,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 907: + /// Semantic action for production 904: /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -33005,7 +32907,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 908: + /// Semantic action for production 905: /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -33027,7 +32929,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 909: + /// Semantic action for production 906: /// /// `DescriptionItem: PackageDeclaration;` /// @@ -33048,7 +32950,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 910: + /// Semantic action for production 907: /// /// `DescriptionItem: ImportDeclaration;` /// @@ -33068,7 +32970,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 911: + /// Semantic action for production 908: /// /// `DescriptionItem: EmbedDeclaration;` /// @@ -33088,7 +32990,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 912: + /// Semantic action for production 909: /// /// `DescriptionItem: IncludeDeclaration;` /// @@ -33109,7 +33011,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 913: + /// Semantic action for production 910: /// /// `Veryl: Start VerylList /* Vec */;` /// @@ -33129,7 +33031,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 914: + /// Semantic action for production 911: /// /// `VerylList /* Vec::Push */: DescriptionGroup VerylList;` /// @@ -33152,7 +33054,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 915: + /// Semantic action for production 912: /// /// `VerylList /* Vec::New */: ;` /// @@ -33673,103 +33575,97 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[10], &children[11], &children[12], - &children[13], ), - 450 => self.case_expression_list0_0( + 450 => self.case_expression_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], - &children[5], ), - 451 => self.case_expression_list0_list_0(&children[0], &children[1], &children[2]), - 452 => self.case_expression_list0_list_1(), - 453 => self.case_expression_list0_1(), - 454 => self.case_expression_list_0(&children[0], &children[1], &children[2]), - 455 => self.case_expression_list_1(), - 456 => self.case_expression_opt_0(&children[0]), - 457 => self.case_expression_opt_1(), - 458 => self.type_expression_0(&children[0]), - 459 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), - 460 => self.inside_expression( + 451 => self.case_expression_list_1(), + 452 => self.case_expression_opt_0(&children[0]), + 453 => self.case_expression_opt_1(), + 454 => self.type_expression_0(&children[0]), + 455 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), + 456 => self.inside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 461 => self.outside_expression( + 457 => self.outside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 462 => self.range_list(&children[0], &children[1], &children[2]), - 463 => self.range_list_list_0(&children[0], &children[1], &children[2]), - 464 => self.range_list_list_1(), - 465 => self.range_list_opt_0(&children[0]), - 466 => self.range_list_opt_1(), - 467 => self.range_item(&children[0]), - 468 => self.select(&children[0], &children[1], &children[2], &children[3]), - 469 => self.select_opt_0(&children[0], &children[1]), - 470 => self.select_opt_1(), - 471 => self.select_operator_0(&children[0]), - 472 => self.select_operator_1(&children[0]), - 473 => self.select_operator_2(&children[0]), - 474 => self.select_operator_3(&children[0]), - 475 => self.width(&children[0], &children[1], &children[2], &children[3]), - 476 => self.width_list_0(&children[0], &children[1], &children[2]), - 477 => self.width_list_1(), - 478 => self.array(&children[0], &children[1], &children[2], &children[3]), - 479 => self.array_list_0(&children[0], &children[1], &children[2]), - 480 => self.array_list_1(), - 481 => self.range(&children[0], &children[1]), - 482 => self.range_opt_0(&children[0], &children[1]), - 483 => self.range_opt_1(), - 484 => self.range_operator_0(&children[0]), - 485 => self.range_operator_1(&children[0]), - 486 => self.fixed_type_0(&children[0]), - 487 => self.fixed_type_1(&children[0]), - 488 => self.fixed_type_2(&children[0]), - 489 => self.fixed_type_3(&children[0]), - 490 => self.fixed_type_4(&children[0]), - 491 => self.fixed_type_5(&children[0]), - 492 => self.fixed_type_6(&children[0]), - 493 => self.variable_type(&children[0], &children[1]), - 494 => self.variable_type_group_0(&children[0]), - 495 => self.variable_type_group_1(&children[0]), - 496 => self.variable_type_group_2(&children[0]), - 497 => self.variable_type_group_3(&children[0]), - 498 => self.variable_type_group_4(&children[0]), - 499 => self.variable_type_group_5(&children[0]), - 500 => self.variable_type_group_6(&children[0]), - 501 => self.variable_type_group_7(&children[0]), - 502 => self.variable_type_group_8(&children[0]), - 503 => self.variable_type_group_9(&children[0]), - 504 => self.variable_type_group_10(&children[0]), - 505 => self.variable_type_opt_0(&children[0]), - 506 => self.variable_type_opt_1(), - 507 => self.type_modifier_0(&children[0]), - 508 => self.type_modifier_1(&children[0]), - 509 => self.scalar_type(&children[0], &children[1]), - 510 => self.scalar_type_group_0(&children[0]), - 511 => self.scalar_type_group_1(&children[0]), - 512 => self.scalar_type_list_0(&children[0], &children[1]), - 513 => self.scalar_type_list_1(), - 514 => self.array_type(&children[0], &children[1]), - 515 => self.array_type_opt_0(&children[0]), - 516 => self.array_type_opt_1(), - 517 => self.statement_0(&children[0]), - 518 => self.statement_1(&children[0]), - 519 => self.statement_2(&children[0]), - 520 => self.statement_3(&children[0]), - 521 => self.statement_4(&children[0]), - 522 => self.statement_5(&children[0]), - 523 => self.statement_6(&children[0]), - 524 => self.statement_7(&children[0]), - 525 => self.let_statement( + 458 => self.range_list(&children[0], &children[1], &children[2]), + 459 => self.range_list_list_0(&children[0], &children[1], &children[2]), + 460 => self.range_list_list_1(), + 461 => self.range_list_opt_0(&children[0]), + 462 => self.range_list_opt_1(), + 463 => self.range_item(&children[0]), + 464 => self.select(&children[0], &children[1], &children[2], &children[3]), + 465 => self.select_opt_0(&children[0], &children[1]), + 466 => self.select_opt_1(), + 467 => self.select_operator_0(&children[0]), + 468 => self.select_operator_1(&children[0]), + 469 => self.select_operator_2(&children[0]), + 470 => self.select_operator_3(&children[0]), + 471 => self.width(&children[0], &children[1], &children[2], &children[3]), + 472 => self.width_list_0(&children[0], &children[1], &children[2]), + 473 => self.width_list_1(), + 474 => self.array(&children[0], &children[1], &children[2], &children[3]), + 475 => self.array_list_0(&children[0], &children[1], &children[2]), + 476 => self.array_list_1(), + 477 => self.range(&children[0], &children[1]), + 478 => self.range_opt_0(&children[0], &children[1]), + 479 => self.range_opt_1(), + 480 => self.range_operator_0(&children[0]), + 481 => self.range_operator_1(&children[0]), + 482 => self.fixed_type_0(&children[0]), + 483 => self.fixed_type_1(&children[0]), + 484 => self.fixed_type_2(&children[0]), + 485 => self.fixed_type_3(&children[0]), + 486 => self.fixed_type_4(&children[0]), + 487 => self.fixed_type_5(&children[0]), + 488 => self.fixed_type_6(&children[0]), + 489 => self.variable_type(&children[0], &children[1]), + 490 => self.variable_type_group_0(&children[0]), + 491 => self.variable_type_group_1(&children[0]), + 492 => self.variable_type_group_2(&children[0]), + 493 => self.variable_type_group_3(&children[0]), + 494 => self.variable_type_group_4(&children[0]), + 495 => self.variable_type_group_5(&children[0]), + 496 => self.variable_type_group_6(&children[0]), + 497 => self.variable_type_group_7(&children[0]), + 498 => self.variable_type_group_8(&children[0]), + 499 => self.variable_type_group_9(&children[0]), + 500 => self.variable_type_group_10(&children[0]), + 501 => self.variable_type_opt_0(&children[0]), + 502 => self.variable_type_opt_1(), + 503 => self.type_modifier_0(&children[0]), + 504 => self.type_modifier_1(&children[0]), + 505 => self.scalar_type(&children[0], &children[1]), + 506 => self.scalar_type_group_0(&children[0]), + 507 => self.scalar_type_group_1(&children[0]), + 508 => self.scalar_type_list_0(&children[0], &children[1]), + 509 => self.scalar_type_list_1(), + 510 => self.array_type(&children[0], &children[1]), + 511 => self.array_type_opt_0(&children[0]), + 512 => self.array_type_opt_1(), + 513 => self.statement_0(&children[0]), + 514 => self.statement_1(&children[0]), + 515 => self.statement_2(&children[0]), + 516 => self.statement_3(&children[0]), + 517 => self.statement_4(&children[0]), + 518 => self.statement_5(&children[0]), + 519 => self.statement_6(&children[0]), + 520 => self.statement_7(&children[0]), + 521 => self.let_statement( &children[0], &children[1], &children[2], @@ -33778,13 +33674,13 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 526 => self.identifier_statement(&children[0], &children[1], &children[2]), - 527 => self.identifier_statement_group_0(&children[0]), - 528 => self.identifier_statement_group_1(&children[0]), - 529 => self.assignment(&children[0], &children[1]), - 530 => self.assignment_group_0(&children[0]), - 531 => self.assignment_group_1(&children[0]), - 532 => self.if_statement( + 522 => self.identifier_statement(&children[0], &children[1], &children[2]), + 523 => self.identifier_statement_group_0(&children[0]), + 524 => self.identifier_statement_group_1(&children[0]), + 525 => self.assignment(&children[0], &children[1]), + 526 => self.assignment_group_0(&children[0]), + 527 => self.assignment_group_1(&children[0]), + 528 => self.if_statement( &children[0], &children[1], &children[2], @@ -33793,7 +33689,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 533 => self.if_statement_list0_0( + 529 => self.if_statement_list0_0( &children[0], &children[1], &children[2], @@ -33802,16 +33698,16 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 534 => self.if_statement_list0_list_0(&children[0], &children[1]), - 535 => self.if_statement_list0_list_1(), - 536 => self.if_statement_list0_1(), - 537 => self.if_statement_list_0(&children[0], &children[1]), - 538 => self.if_statement_list_1(), - 539 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), - 540 => self.if_statement_opt_list_0(&children[0], &children[1]), - 541 => self.if_statement_opt_list_1(), - 542 => self.if_statement_opt_1(), - 543 => self.if_reset_statement( + 530 => self.if_statement_list0_list_0(&children[0], &children[1]), + 531 => self.if_statement_list0_list_1(), + 532 => self.if_statement_list0_1(), + 533 => self.if_statement_list_0(&children[0], &children[1]), + 534 => self.if_statement_list_1(), + 535 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), + 536 => self.if_statement_opt_list_0(&children[0], &children[1]), + 537 => self.if_statement_opt_list_1(), + 538 => self.if_statement_opt_1(), + 539 => self.if_reset_statement( &children[0], &children[1], &children[2], @@ -33819,7 +33715,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 544 => self.if_reset_statement_list0_0( + 540 => self.if_reset_statement_list0_0( &children[0], &children[1], &children[2], @@ -33828,23 +33724,23 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 545 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), - 546 => self.if_reset_statement_list0_list_1(), - 547 => self.if_reset_statement_list0_1(), - 548 => self.if_reset_statement_list_0(&children[0], &children[1]), - 549 => self.if_reset_statement_list_1(), - 550 => self.if_reset_statement_opt_0( + 541 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), + 542 => self.if_reset_statement_list0_list_1(), + 543 => self.if_reset_statement_list0_1(), + 544 => self.if_reset_statement_list_0(&children[0], &children[1]), + 545 => self.if_reset_statement_list_1(), + 546 => self.if_reset_statement_opt_0( &children[0], &children[1], &children[2], &children[3], ), - 551 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), - 552 => self.if_reset_statement_opt_list_1(), - 553 => self.if_reset_statement_opt_1(), - 554 => self.return_statement(&children[0], &children[1], &children[2]), - 555 => self.break_statement(&children[0], &children[1]), - 556 => self.for_statement( + 547 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), + 548 => self.if_reset_statement_opt_list_1(), + 549 => self.if_reset_statement_opt_1(), + 550 => self.return_statement(&children[0], &children[1], &children[2]), + 551 => self.break_statement(&children[0], &children[1]), + 552 => self.for_statement( &children[0], &children[1], &children[2], @@ -33856,45 +33752,46 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[8], &children[9], ), - 557 => self.for_statement_list_0(&children[0], &children[1]), - 558 => self.for_statement_list_1(), - 559 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), - 560 => self.for_statement_opt_1(), - 561 => self.case_statement( + 553 => self.for_statement_list_0(&children[0], &children[1]), + 554 => self.for_statement_list_1(), + 555 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), + 556 => self.for_statement_opt_1(), + 557 => self.case_statement( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 562 => self.case_statement_list_0(&children[0], &children[1]), - 563 => self.case_statement_list_1(), - 564 => self.case_item(&children[0], &children[1], &children[2]), - 565 => self.case_item_group0_0(&children[0]), - 566 => self.case_item_group0_1(&children[0], &children[1], &children[2]), - 567 => self.case_item_group0_list_0(&children[0], &children[1]), - 568 => self.case_item_group0_list_1(), - 569 => self.case_item_group_0(&children[0], &children[1]), - 570 => self.case_item_group_list_0(&children[0], &children[1], &children[2]), - 571 => self.case_item_group_list_1(), - 572 => self.case_item_group_1(&children[0]), - 573 => self.attribute( + 558 => self.case_statement_list_0(&children[0], &children[1]), + 559 => self.case_statement_list_1(), + 560 => self.case_item(&children[0], &children[1], &children[2]), + 561 => self.case_item_group0_0(&children[0]), + 562 => self.case_item_group0_1(&children[0], &children[1], &children[2]), + 563 => self.case_item_group0_list_0(&children[0], &children[1]), + 564 => self.case_item_group0_list_1(), + 565 => self.case_item_group_0(&children[0]), + 566 => self.case_item_group_1(&children[0]), + 567 => self.case_condition(&children[0], &children[1]), + 568 => self.case_condition_list_0(&children[0], &children[1], &children[2]), + 569 => self.case_condition_list_1(), + 570 => self.attribute( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 574 => self.attribute_opt_0(&children[0], &children[1], &children[2]), - 575 => self.attribute_opt_1(), - 576 => self.attribute_list(&children[0], &children[1], &children[2]), - 577 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), - 578 => self.attribute_list_list_1(), - 579 => self.attribute_list_opt_0(&children[0]), - 580 => self.attribute_list_opt_1(), - 581 => self.attribute_item_0(&children[0]), - 582 => self.attribute_item_1(&children[0]), - 583 => self.let_declaration( + 571 => self.attribute_opt_0(&children[0], &children[1], &children[2]), + 572 => self.attribute_opt_1(), + 573 => self.attribute_list(&children[0], &children[1], &children[2]), + 574 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), + 575 => self.attribute_list_list_1(), + 576 => self.attribute_list_opt_0(&children[0]), + 577 => self.attribute_list_opt_1(), + 578 => self.attribute_item_0(&children[0]), + 579 => self.attribute_item_1(&children[0]), + 580 => self.let_declaration( &children[0], &children[1], &children[2], @@ -33903,78 +33800,78 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 584 => self.var_declaration( + 581 => self.var_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 585 => self.local_declaration( + 582 => self.local_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 586 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), - 587 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), - 588 => self.type_def_declaration( + 583 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), + 584 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), + 585 => self.type_def_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 589 => self.always_ff_declaration( + 586 => self.always_ff_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 590 => self.always_ff_declaration_list_0(&children[0], &children[1]), - 591 => self.always_ff_declaration_list_1(), - 592 => self.always_ff_declaration_opt_0(&children[0]), - 593 => self.always_ff_declaration_opt_1(), - 594 => { + 587 => self.always_ff_declaration_list_0(&children[0], &children[1]), + 588 => self.always_ff_declaration_list_1(), + 589 => self.always_ff_declaration_opt_0(&children[0]), + 590 => self.always_ff_declaration_opt_1(), + 591 => { self.alwayf_ff_event_list(&children[0], &children[1], &children[2], &children[3]) } - 595 => self.alwayf_ff_event_list_opt_0(&children[0], &children[1]), - 596 => self.alwayf_ff_event_list_opt_1(), - 597 => self.always_ff_clock(&children[0]), - 598 => self.always_ff_reset(&children[0]), - 599 => { + 592 => self.alwayf_ff_event_list_opt_0(&children[0], &children[1]), + 593 => self.alwayf_ff_event_list_opt_1(), + 594 => self.always_ff_clock(&children[0]), + 595 => self.always_ff_reset(&children[0]), + 596 => { self.always_comb_declaration(&children[0], &children[1], &children[2], &children[3]) } - 600 => self.always_comb_declaration_list_0(&children[0], &children[1]), - 601 => self.always_comb_declaration_list_1(), - 602 => self.assign_declaration( + 597 => self.always_comb_declaration_list_0(&children[0], &children[1]), + 598 => self.always_comb_declaration_list_1(), + 599 => self.assign_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 603 => self.modport_declaration( + 600 => self.modport_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 604 => self.modport_list(&children[0], &children[1], &children[2]), - 605 => self.modport_list_list_0(&children[0], &children[1], &children[2]), - 606 => self.modport_list_list_1(), - 607 => self.modport_list_opt_0(&children[0]), - 608 => self.modport_list_opt_1(), - 609 => self.modport_group(&children[0], &children[1]), - 610 => self.modport_group_group_0(&children[0], &children[1], &children[2]), - 611 => self.modport_group_group_1(&children[0]), - 612 => self.modport_group_list_0(&children[0], &children[1]), - 613 => self.modport_group_list_1(), - 614 => self.modport_item(&children[0], &children[1], &children[2]), - 615 => self.enum_declaration( + 601 => self.modport_list(&children[0], &children[1], &children[2]), + 602 => self.modport_list_list_0(&children[0], &children[1], &children[2]), + 603 => self.modport_list_list_1(), + 604 => self.modport_list_opt_0(&children[0]), + 605 => self.modport_list_opt_1(), + 606 => self.modport_group(&children[0], &children[1]), + 607 => self.modport_group_group_0(&children[0], &children[1], &children[2]), + 608 => self.modport_group_group_1(&children[0]), + 609 => self.modport_group_list_0(&children[0], &children[1]), + 610 => self.modport_group_list_1(), + 611 => self.modport_item(&children[0], &children[1], &children[2]), + 612 => self.enum_declaration( &children[0], &children[1], &children[2], @@ -33983,22 +33880,22 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 616 => self.enum_list(&children[0], &children[1], &children[2]), - 617 => self.enum_list_list_0(&children[0], &children[1], &children[2]), - 618 => self.enum_list_list_1(), - 619 => self.enum_list_opt_0(&children[0]), - 620 => self.enum_list_opt_1(), - 621 => self.enum_group(&children[0], &children[1]), - 622 => self.enum_group_group_0(&children[0], &children[1], &children[2]), - 623 => self.enum_group_group_1(&children[0]), - 624 => self.enum_group_list_0(&children[0], &children[1]), - 625 => self.enum_group_list_1(), - 626 => self.enum_item(&children[0], &children[1]), - 627 => self.enum_item_opt_0(&children[0], &children[1]), - 628 => self.enum_item_opt_1(), - 629 => self.struct_union_0(&children[0]), - 630 => self.struct_union_1(&children[0]), - 631 => self.struct_union_declaration( + 613 => self.enum_list(&children[0], &children[1], &children[2]), + 614 => self.enum_list_list_0(&children[0], &children[1], &children[2]), + 615 => self.enum_list_list_1(), + 616 => self.enum_list_opt_0(&children[0]), + 617 => self.enum_list_opt_1(), + 618 => self.enum_group(&children[0], &children[1]), + 619 => self.enum_group_group_0(&children[0], &children[1], &children[2]), + 620 => self.enum_group_group_1(&children[0]), + 621 => self.enum_group_list_0(&children[0], &children[1]), + 622 => self.enum_group_list_1(), + 623 => self.enum_item(&children[0], &children[1]), + 624 => self.enum_item_opt_0(&children[0], &children[1]), + 625 => self.enum_item_opt_1(), + 626 => self.struct_union_0(&children[0]), + 627 => self.struct_union_1(&children[0]), + 628 => self.struct_union_declaration( &children[0], &children[1], &children[2], @@ -34006,26 +33903,26 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 632 => self.struct_union_declaration_opt_0(&children[0]), - 633 => self.struct_union_declaration_opt_1(), - 634 => self.struct_union_list(&children[0], &children[1], &children[2]), - 635 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), - 636 => self.struct_union_list_list_1(), - 637 => self.struct_union_list_opt_0(&children[0]), - 638 => self.struct_union_list_opt_1(), - 639 => self.struct_union_group(&children[0], &children[1]), - 640 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), - 641 => self.struct_union_group_group_1(&children[0]), - 642 => self.struct_union_group_list_0(&children[0], &children[1]), - 643 => self.struct_union_group_list_1(), - 644 => self.struct_union_item(&children[0], &children[1], &children[2]), - 645 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), - 646 => self.initial_declaration_list_0(&children[0], &children[1]), - 647 => self.initial_declaration_list_1(), - 648 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), - 649 => self.final_declaration_list_0(&children[0], &children[1]), - 650 => self.final_declaration_list_1(), - 651 => self.inst_declaration( + 629 => self.struct_union_declaration_opt_0(&children[0]), + 630 => self.struct_union_declaration_opt_1(), + 631 => self.struct_union_list(&children[0], &children[1], &children[2]), + 632 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), + 633 => self.struct_union_list_list_1(), + 634 => self.struct_union_list_opt_0(&children[0]), + 635 => self.struct_union_list_opt_1(), + 636 => self.struct_union_group(&children[0], &children[1]), + 637 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), + 638 => self.struct_union_group_group_1(&children[0]), + 639 => self.struct_union_group_list_0(&children[0], &children[1]), + 640 => self.struct_union_group_list_1(), + 641 => self.struct_union_item(&children[0], &children[1], &children[2]), + 642 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), + 643 => self.initial_declaration_list_0(&children[0], &children[1]), + 644 => self.initial_declaration_list_1(), + 645 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), + 646 => self.final_declaration_list_0(&children[0], &children[1]), + 647 => self.final_declaration_list_1(), + 648 => self.inst_declaration( &children[0], &children[1], &children[2], @@ -34035,107 +33932,107 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 652 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), - 653 => self.inst_declaration_opt2_0(&children[0]), - 654 => self.inst_declaration_opt2_1(), - 655 => self.inst_declaration_opt1_1(), - 656 => self.inst_declaration_opt0_0(&children[0]), - 657 => self.inst_declaration_opt0_1(), - 658 => self.inst_declaration_opt_0(&children[0]), - 659 => self.inst_declaration_opt_1(), - 660 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), - 661 => self.inst_parameter_opt_0(&children[0]), - 662 => self.inst_parameter_opt_1(), - 663 => self.inst_parameter_list(&children[0], &children[1], &children[2]), - 664 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), - 665 => self.inst_parameter_list_list_1(), - 666 => self.inst_parameter_list_opt_0(&children[0]), - 667 => self.inst_parameter_list_opt_1(), - 668 => self.inst_parameter_group(&children[0], &children[1]), - 669 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), - 670 => self.inst_parameter_group_group_1(&children[0]), - 671 => self.inst_parameter_group_list_0(&children[0], &children[1]), - 672 => self.inst_parameter_group_list_1(), - 673 => self.inst_parameter_item(&children[0], &children[1]), - 674 => self.inst_parameter_item_opt_0(&children[0], &children[1]), - 675 => self.inst_parameter_item_opt_1(), - 676 => self.inst_port_list(&children[0], &children[1], &children[2]), - 677 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), - 678 => self.inst_port_list_list_1(), - 679 => self.inst_port_list_opt_0(&children[0]), - 680 => self.inst_port_list_opt_1(), - 681 => self.inst_port_group(&children[0], &children[1]), - 682 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), - 683 => self.inst_port_group_group_1(&children[0]), - 684 => self.inst_port_group_list_0(&children[0], &children[1]), - 685 => self.inst_port_group_list_1(), - 686 => self.inst_port_item(&children[0], &children[1]), - 687 => self.inst_port_item_opt_0(&children[0], &children[1]), - 688 => self.inst_port_item_opt_1(), - 689 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), - 690 => self.with_parameter_opt_0(&children[0]), - 691 => self.with_parameter_opt_1(), - 692 => self.with_parameter_list(&children[0], &children[1], &children[2]), - 693 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), - 694 => self.with_parameter_list_list_1(), - 695 => self.with_parameter_list_opt_0(&children[0]), - 696 => self.with_parameter_list_opt_1(), - 697 => self.with_parameter_group(&children[0], &children[1]), - 698 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), - 699 => self.with_parameter_group_group_1(&children[0]), - 700 => self.with_parameter_group_list_0(&children[0], &children[1]), - 701 => self.with_parameter_group_list_1(), - 702 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), - 703 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), - 704 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), - 705 => self.with_parameter_item_group_0(&children[0]), - 706 => self.with_parameter_item_group_1(&children[0]), - 707 => self.with_generic_parameter(&children[0], &children[1], &children[2]), - 708 => self.with_generic_parameter_list(&children[0], &children[1], &children[2]), - 709 => { + 649 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), + 650 => self.inst_declaration_opt2_0(&children[0]), + 651 => self.inst_declaration_opt2_1(), + 652 => self.inst_declaration_opt1_1(), + 653 => self.inst_declaration_opt0_0(&children[0]), + 654 => self.inst_declaration_opt0_1(), + 655 => self.inst_declaration_opt_0(&children[0]), + 656 => self.inst_declaration_opt_1(), + 657 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), + 658 => self.inst_parameter_opt_0(&children[0]), + 659 => self.inst_parameter_opt_1(), + 660 => self.inst_parameter_list(&children[0], &children[1], &children[2]), + 661 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), + 662 => self.inst_parameter_list_list_1(), + 663 => self.inst_parameter_list_opt_0(&children[0]), + 664 => self.inst_parameter_list_opt_1(), + 665 => self.inst_parameter_group(&children[0], &children[1]), + 666 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), + 667 => self.inst_parameter_group_group_1(&children[0]), + 668 => self.inst_parameter_group_list_0(&children[0], &children[1]), + 669 => self.inst_parameter_group_list_1(), + 670 => self.inst_parameter_item(&children[0], &children[1]), + 671 => self.inst_parameter_item_opt_0(&children[0], &children[1]), + 672 => self.inst_parameter_item_opt_1(), + 673 => self.inst_port_list(&children[0], &children[1], &children[2]), + 674 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), + 675 => self.inst_port_list_list_1(), + 676 => self.inst_port_list_opt_0(&children[0]), + 677 => self.inst_port_list_opt_1(), + 678 => self.inst_port_group(&children[0], &children[1]), + 679 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), + 680 => self.inst_port_group_group_1(&children[0]), + 681 => self.inst_port_group_list_0(&children[0], &children[1]), + 682 => self.inst_port_group_list_1(), + 683 => self.inst_port_item(&children[0], &children[1]), + 684 => self.inst_port_item_opt_0(&children[0], &children[1]), + 685 => self.inst_port_item_opt_1(), + 686 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), + 687 => self.with_parameter_opt_0(&children[0]), + 688 => self.with_parameter_opt_1(), + 689 => self.with_parameter_list(&children[0], &children[1], &children[2]), + 690 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), + 691 => self.with_parameter_list_list_1(), + 692 => self.with_parameter_list_opt_0(&children[0]), + 693 => self.with_parameter_list_opt_1(), + 694 => self.with_parameter_group(&children[0], &children[1]), + 695 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), + 696 => self.with_parameter_group_group_1(&children[0]), + 697 => self.with_parameter_group_list_0(&children[0], &children[1]), + 698 => self.with_parameter_group_list_1(), + 699 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), + 700 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), + 701 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), + 702 => self.with_parameter_item_group_0(&children[0]), + 703 => self.with_parameter_item_group_1(&children[0]), + 704 => self.with_generic_parameter(&children[0], &children[1], &children[2]), + 705 => self.with_generic_parameter_list(&children[0], &children[1], &children[2]), + 706 => { self.with_generic_parameter_list_list_0(&children[0], &children[1], &children[2]) } - 710 => self.with_generic_parameter_list_list_1(), - 711 => self.with_generic_parameter_list_opt_0(&children[0]), - 712 => self.with_generic_parameter_list_opt_1(), - 713 => self.with_generic_parameter_item(&children[0], &children[1]), - 714 => self.with_generic_parameter_item_opt_0(&children[0], &children[1]), - 715 => self.with_generic_parameter_item_opt_1(), - 716 => self.with_generic_argument(&children[0], &children[1], &children[2]), - 717 => self.with_generic_argument_opt_0(&children[0]), - 718 => self.with_generic_argument_opt_1(), - 719 => self.with_generic_argument_list(&children[0], &children[1], &children[2]), - 720 => self.with_generic_argument_list_list_0(&children[0], &children[1], &children[2]), - 721 => self.with_generic_argument_list_list_1(), - 722 => self.with_generic_argument_list_opt_0(&children[0]), - 723 => self.with_generic_argument_list_opt_1(), - 724 => self.with_generic_argument_item_0(&children[0]), - 725 => self.with_generic_argument_item_1(&children[0]), - 726 => self.port_declaration(&children[0], &children[1], &children[2]), - 727 => self.port_declaration_opt_0(&children[0]), - 728 => self.port_declaration_opt_1(), - 729 => self.port_declaration_list(&children[0], &children[1], &children[2]), - 730 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), - 731 => self.port_declaration_list_list_1(), - 732 => self.port_declaration_list_opt_0(&children[0]), - 733 => self.port_declaration_list_opt_1(), - 734 => self.port_declaration_group(&children[0], &children[1]), - 735 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), - 736 => self.port_declaration_group_group_1(&children[0]), - 737 => self.port_declaration_group_list_0(&children[0], &children[1]), - 738 => self.port_declaration_group_list_1(), - 739 => self.port_declaration_item(&children[0], &children[1], &children[2]), - 740 => self.port_declaration_item_group_0(&children[0], &children[1]), - 741 => self.port_declaration_item_group_1(&children[0], &children[1]), - 742 => self.port_declaration_item_opt_0(&children[0]), - 743 => self.port_declaration_item_opt_1(), - 744 => self.direction_0(&children[0]), - 745 => self.direction_1(&children[0]), - 746 => self.direction_2(&children[0]), - 747 => self.direction_3(&children[0]), - 748 => self.direction_4(&children[0]), - 749 => self.direction_5(&children[0]), - 750 => self.function_declaration( + 707 => self.with_generic_parameter_list_list_1(), + 708 => self.with_generic_parameter_list_opt_0(&children[0]), + 709 => self.with_generic_parameter_list_opt_1(), + 710 => self.with_generic_parameter_item(&children[0], &children[1]), + 711 => self.with_generic_parameter_item_opt_0(&children[0], &children[1]), + 712 => self.with_generic_parameter_item_opt_1(), + 713 => self.with_generic_argument(&children[0], &children[1], &children[2]), + 714 => self.with_generic_argument_opt_0(&children[0]), + 715 => self.with_generic_argument_opt_1(), + 716 => self.with_generic_argument_list(&children[0], &children[1], &children[2]), + 717 => self.with_generic_argument_list_list_0(&children[0], &children[1], &children[2]), + 718 => self.with_generic_argument_list_list_1(), + 719 => self.with_generic_argument_list_opt_0(&children[0]), + 720 => self.with_generic_argument_list_opt_1(), + 721 => self.with_generic_argument_item_0(&children[0]), + 722 => self.with_generic_argument_item_1(&children[0]), + 723 => self.port_declaration(&children[0], &children[1], &children[2]), + 724 => self.port_declaration_opt_0(&children[0]), + 725 => self.port_declaration_opt_1(), + 726 => self.port_declaration_list(&children[0], &children[1], &children[2]), + 727 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), + 728 => self.port_declaration_list_list_1(), + 729 => self.port_declaration_list_opt_0(&children[0]), + 730 => self.port_declaration_list_opt_1(), + 731 => self.port_declaration_group(&children[0], &children[1]), + 732 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), + 733 => self.port_declaration_group_group_1(&children[0]), + 734 => self.port_declaration_group_list_0(&children[0], &children[1]), + 735 => self.port_declaration_group_list_1(), + 736 => self.port_declaration_item(&children[0], &children[1], &children[2]), + 737 => self.port_declaration_item_group_0(&children[0], &children[1]), + 738 => self.port_declaration_item_group_1(&children[0], &children[1]), + 739 => self.port_declaration_item_opt_0(&children[0]), + 740 => self.port_declaration_item_opt_1(), + 741 => self.direction_0(&children[0]), + 742 => self.direction_1(&children[0]), + 743 => self.direction_2(&children[0]), + 744 => self.direction_3(&children[0]), + 745 => self.direction_4(&children[0]), + 746 => self.direction_5(&children[0]), + 747 => self.function_declaration( &children[0], &children[1], &children[2], @@ -34145,25 +34042,25 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 751 => self.function_declaration_list_0(&children[0], &children[1]), - 752 => self.function_declaration_list_1(), - 753 => self.function_declaration_opt1_0(&children[0], &children[1]), - 754 => self.function_declaration_opt1_1(), - 755 => self.function_declaration_opt0_0(&children[0]), - 756 => self.function_declaration_opt0_1(), - 757 => self.function_declaration_opt_0(&children[0]), - 758 => self.function_declaration_opt_1(), - 759 => self.function_item_0(&children[0]), - 760 => self.function_item_1(&children[0]), - 761 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), - 762 => self.import_declaration_opt_0(&children[0], &children[1]), - 763 => self.import_declaration_opt_1(), - 764 => self.export_declaration(&children[0], &children[1], &children[2]), - 765 => self.export_declaration_group_0(&children[0]), - 766 => self.export_declaration_group_1(&children[0], &children[1]), - 767 => self.export_declaration_opt_0(&children[0], &children[1]), - 768 => self.export_declaration_opt_1(), - 769 => self.module_declaration( + 748 => self.function_declaration_list_0(&children[0], &children[1]), + 749 => self.function_declaration_list_1(), + 750 => self.function_declaration_opt1_0(&children[0], &children[1]), + 751 => self.function_declaration_opt1_1(), + 752 => self.function_declaration_opt0_0(&children[0]), + 753 => self.function_declaration_opt0_1(), + 754 => self.function_declaration_opt_0(&children[0]), + 755 => self.function_declaration_opt_1(), + 756 => self.function_item_0(&children[0]), + 757 => self.function_item_1(&children[0]), + 758 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), + 759 => self.import_declaration_opt_0(&children[0], &children[1]), + 760 => self.import_declaration_opt_1(), + 761 => self.export_declaration(&children[0], &children[1], &children[2]), + 762 => self.export_declaration_group_0(&children[0]), + 763 => self.export_declaration_group_1(&children[0], &children[1]), + 764 => self.export_declaration_opt_0(&children[0], &children[1]), + 765 => self.export_declaration_opt_1(), + 766 => self.module_declaration( &children[0], &children[1], &children[2], @@ -34174,34 +34071,34 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[7], &children[8], ), - 770 => self.module_declaration_list_0(&children[0], &children[1]), - 771 => self.module_declaration_list_1(), - 772 => self.module_declaration_opt2_0(&children[0]), - 773 => self.module_declaration_opt2_1(), - 774 => self.module_declaration_opt1_0(&children[0]), - 775 => self.module_declaration_opt1_1(), - 776 => self.module_declaration_opt0_0(&children[0]), - 777 => self.module_declaration_opt0_1(), - 778 => self.module_declaration_opt_0(&children[0]), - 779 => self.module_declaration_opt_1(), - 780 => self.module_if_declaration( + 767 => self.module_declaration_list_0(&children[0], &children[1]), + 768 => self.module_declaration_list_1(), + 769 => self.module_declaration_opt2_0(&children[0]), + 770 => self.module_declaration_opt2_1(), + 771 => self.module_declaration_opt1_0(&children[0]), + 772 => self.module_declaration_opt1_1(), + 773 => self.module_declaration_opt0_0(&children[0]), + 774 => self.module_declaration_opt0_1(), + 775 => self.module_declaration_opt_0(&children[0]), + 776 => self.module_declaration_opt_1(), + 777 => self.module_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 781 => self.module_if_declaration_list_0( + 778 => self.module_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 782 => self.module_if_declaration_list_1(), - 783 => self.module_if_declaration_opt_0(&children[0], &children[1]), - 784 => self.module_if_declaration_opt_1(), - 785 => self.module_for_declaration( + 779 => self.module_if_declaration_list_1(), + 780 => self.module_if_declaration_opt_0(&children[0], &children[1]), + 781 => self.module_if_declaration_opt_1(), + 782 => self.module_for_declaration( &children[0], &children[1], &children[2], @@ -34209,52 +34106,52 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 786 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 787 => self.module_for_declaration_opt_1(), - 788 => self.module_named_block( + 783 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 784 => self.module_for_declaration_opt_1(), + 785 => self.module_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 789 => self.module_named_block_list_0(&children[0], &children[1]), - 790 => self.module_named_block_list_1(), - 791 => self.module_optional_named_block( + 786 => self.module_named_block_list_0(&children[0], &children[1]), + 787 => self.module_named_block_list_1(), + 788 => self.module_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 792 => self.module_optional_named_block_list_0(&children[0], &children[1]), - 793 => self.module_optional_named_block_list_1(), - 794 => self.module_optional_named_block_opt_0(&children[0], &children[1]), - 795 => self.module_optional_named_block_opt_1(), - 796 => self.module_group(&children[0], &children[1]), - 797 => self.module_group_group_0(&children[0], &children[1], &children[2]), - 798 => self.module_group_group_list_0(&children[0], &children[1]), - 799 => self.module_group_group_list_1(), - 800 => self.module_group_group_1(&children[0]), - 801 => self.module_group_list_0(&children[0], &children[1]), - 802 => self.module_group_list_1(), - 803 => self.module_item_0(&children[0]), - 804 => self.module_item_1(&children[0]), - 805 => self.module_item_2(&children[0]), - 806 => self.module_item_3(&children[0]), - 807 => self.module_item_4(&children[0]), - 808 => self.module_item_5(&children[0]), - 809 => self.module_item_6(&children[0]), - 810 => self.module_item_7(&children[0]), - 811 => self.module_item_8(&children[0]), - 812 => self.module_item_9(&children[0]), - 813 => self.module_item_10(&children[0]), - 814 => self.module_item_11(&children[0]), - 815 => self.module_item_12(&children[0]), - 816 => self.module_item_13(&children[0]), - 817 => self.module_item_14(&children[0]), - 818 => self.module_item_15(&children[0]), - 819 => self.module_item_16(&children[0]), - 820 => self.interface_declaration( + 789 => self.module_optional_named_block_list_0(&children[0], &children[1]), + 790 => self.module_optional_named_block_list_1(), + 791 => self.module_optional_named_block_opt_0(&children[0], &children[1]), + 792 => self.module_optional_named_block_opt_1(), + 793 => self.module_group(&children[0], &children[1]), + 794 => self.module_group_group_0(&children[0], &children[1], &children[2]), + 795 => self.module_group_group_list_0(&children[0], &children[1]), + 796 => self.module_group_group_list_1(), + 797 => self.module_group_group_1(&children[0]), + 798 => self.module_group_list_0(&children[0], &children[1]), + 799 => self.module_group_list_1(), + 800 => self.module_item_0(&children[0]), + 801 => self.module_item_1(&children[0]), + 802 => self.module_item_2(&children[0]), + 803 => self.module_item_3(&children[0]), + 804 => self.module_item_4(&children[0]), + 805 => self.module_item_5(&children[0]), + 806 => self.module_item_6(&children[0]), + 807 => self.module_item_7(&children[0]), + 808 => self.module_item_8(&children[0]), + 809 => self.module_item_9(&children[0]), + 810 => self.module_item_10(&children[0]), + 811 => self.module_item_11(&children[0]), + 812 => self.module_item_12(&children[0]), + 813 => self.module_item_13(&children[0]), + 814 => self.module_item_14(&children[0]), + 815 => self.module_item_15(&children[0]), + 816 => self.module_item_16(&children[0]), + 817 => self.interface_declaration( &children[0], &children[1], &children[2], @@ -34264,32 +34161,32 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 821 => self.interface_declaration_list_0(&children[0], &children[1]), - 822 => self.interface_declaration_list_1(), - 823 => self.interface_declaration_opt1_0(&children[0]), - 824 => self.interface_declaration_opt1_1(), - 825 => self.interface_declaration_opt0_0(&children[0]), - 826 => self.interface_declaration_opt0_1(), - 827 => self.interface_declaration_opt_0(&children[0]), - 828 => self.interface_declaration_opt_1(), - 829 => self.interface_if_declaration( + 818 => self.interface_declaration_list_0(&children[0], &children[1]), + 819 => self.interface_declaration_list_1(), + 820 => self.interface_declaration_opt1_0(&children[0]), + 821 => self.interface_declaration_opt1_1(), + 822 => self.interface_declaration_opt0_0(&children[0]), + 823 => self.interface_declaration_opt0_1(), + 824 => self.interface_declaration_opt_0(&children[0]), + 825 => self.interface_declaration_opt_1(), + 826 => self.interface_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 830 => self.interface_if_declaration_list_0( + 827 => self.interface_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 831 => self.interface_if_declaration_list_1(), - 832 => self.interface_if_declaration_opt_0(&children[0], &children[1]), - 833 => self.interface_if_declaration_opt_1(), - 834 => self.interface_for_declaration( + 828 => self.interface_if_declaration_list_1(), + 829 => self.interface_if_declaration_opt_0(&children[0], &children[1]), + 830 => self.interface_if_declaration_opt_1(), + 831 => self.interface_for_declaration( &children[0], &children[1], &children[2], @@ -34297,49 +34194,49 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 835 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 836 => self.interface_for_declaration_opt_1(), - 837 => self.interface_named_block( + 832 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 833 => self.interface_for_declaration_opt_1(), + 834 => self.interface_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 838 => self.interface_named_block_list_0(&children[0], &children[1]), - 839 => self.interface_named_block_list_1(), - 840 => self.interface_optional_named_block( + 835 => self.interface_named_block_list_0(&children[0], &children[1]), + 836 => self.interface_named_block_list_1(), + 837 => self.interface_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 841 => self.interface_optional_named_block_list_0(&children[0], &children[1]), - 842 => self.interface_optional_named_block_list_1(), - 843 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), - 844 => self.interface_optional_named_block_opt_1(), - 845 => self.interface_group(&children[0], &children[1]), - 846 => self.interface_group_group_0(&children[0], &children[1], &children[2]), - 847 => self.interface_group_group_list_0(&children[0], &children[1]), - 848 => self.interface_group_group_list_1(), - 849 => self.interface_group_group_1(&children[0]), - 850 => self.interface_group_list_0(&children[0], &children[1]), - 851 => self.interface_group_list_1(), - 852 => self.interface_item_0(&children[0]), - 853 => self.interface_item_1(&children[0]), - 854 => self.interface_item_2(&children[0]), - 855 => self.interface_item_3(&children[0]), - 856 => self.interface_item_4(&children[0]), - 857 => self.interface_item_5(&children[0]), - 858 => self.interface_item_6(&children[0]), - 859 => self.interface_item_7(&children[0]), - 860 => self.interface_item_8(&children[0]), - 861 => self.interface_item_9(&children[0]), - 862 => self.interface_item_10(&children[0]), - 863 => self.interface_item_11(&children[0]), - 864 => self.interface_item_12(&children[0]), - 865 => self.interface_item_13(&children[0]), - 866 => self.package_declaration( + 838 => self.interface_optional_named_block_list_0(&children[0], &children[1]), + 839 => self.interface_optional_named_block_list_1(), + 840 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), + 841 => self.interface_optional_named_block_opt_1(), + 842 => self.interface_group(&children[0], &children[1]), + 843 => self.interface_group_group_0(&children[0], &children[1], &children[2]), + 844 => self.interface_group_group_list_0(&children[0], &children[1]), + 845 => self.interface_group_group_list_1(), + 846 => self.interface_group_group_1(&children[0]), + 847 => self.interface_group_list_0(&children[0], &children[1]), + 848 => self.interface_group_list_1(), + 849 => self.interface_item_0(&children[0]), + 850 => self.interface_item_1(&children[0]), + 851 => self.interface_item_2(&children[0]), + 852 => self.interface_item_3(&children[0]), + 853 => self.interface_item_4(&children[0]), + 854 => self.interface_item_5(&children[0]), + 855 => self.interface_item_6(&children[0]), + 856 => self.interface_item_7(&children[0]), + 857 => self.interface_item_8(&children[0]), + 858 => self.interface_item_9(&children[0]), + 859 => self.interface_item_10(&children[0]), + 860 => self.interface_item_11(&children[0]), + 861 => self.interface_item_12(&children[0]), + 862 => self.interface_item_13(&children[0]), + 863 => self.package_declaration( &children[0], &children[1], &children[2], @@ -34348,30 +34245,30 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 867 => self.package_declaration_list_0(&children[0], &children[1]), - 868 => self.package_declaration_list_1(), - 869 => self.package_declaration_opt0_0(&children[0]), - 870 => self.package_declaration_opt0_1(), - 871 => self.package_declaration_opt_0(&children[0]), - 872 => self.package_declaration_opt_1(), - 873 => self.package_group(&children[0], &children[1]), - 874 => self.package_group_group_0(&children[0], &children[1], &children[2]), - 875 => self.package_group_group_list_0(&children[0], &children[1]), - 876 => self.package_group_group_list_1(), - 877 => self.package_group_group_1(&children[0]), - 878 => self.package_group_list_0(&children[0], &children[1]), - 879 => self.package_group_list_1(), - 880 => self.package_item_0(&children[0]), - 881 => self.package_item_1(&children[0]), - 882 => self.package_item_2(&children[0]), - 883 => self.package_item_3(&children[0]), - 884 => self.package_item_4(&children[0]), - 885 => self.package_item_5(&children[0]), - 886 => self.package_item_6(&children[0]), - 887 => self.package_item_7(&children[0]), - 888 => self.package_item_8(&children[0]), - 889 => self.package_item_9(&children[0]), - 890 => self.embed_declaration( + 864 => self.package_declaration_list_0(&children[0], &children[1]), + 865 => self.package_declaration_list_1(), + 866 => self.package_declaration_opt0_0(&children[0]), + 867 => self.package_declaration_opt0_1(), + 868 => self.package_declaration_opt_0(&children[0]), + 869 => self.package_declaration_opt_1(), + 870 => self.package_group(&children[0], &children[1]), + 871 => self.package_group_group_0(&children[0], &children[1], &children[2]), + 872 => self.package_group_group_list_0(&children[0], &children[1]), + 873 => self.package_group_group_list_1(), + 874 => self.package_group_group_1(&children[0]), + 875 => self.package_group_list_0(&children[0], &children[1]), + 876 => self.package_group_list_1(), + 877 => self.package_item_0(&children[0]), + 878 => self.package_item_1(&children[0]), + 879 => self.package_item_2(&children[0]), + 880 => self.package_item_3(&children[0]), + 881 => self.package_item_4(&children[0]), + 882 => self.package_item_5(&children[0]), + 883 => self.package_item_6(&children[0]), + 884 => self.package_item_7(&children[0]), + 885 => self.package_item_8(&children[0]), + 886 => self.package_item_9(&children[0]), + 887 => self.embed_declaration( &children[0], &children[1], &children[2], @@ -34379,8 +34276,8 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 891 => self.embed_content(&children[0]), - 892 => self.embed_content_token( + 888 => self.embed_content(&children[0]), + 889 => self.embed_content_token( &children[0], &children[1], &children[2], @@ -34390,13 +34287,13 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 893 => self.embed_content_token_list_0(&children[0], &children[1]), - 894 => self.embed_content_token_list_1(), - 895 => self.embed_item_0(&children[0], &children[1], &children[2]), - 896 => self.embed_item_list_0(&children[0], &children[1]), - 897 => self.embed_item_list_1(), - 898 => self.embed_item_1(&children[0]), - 899 => self.include_declaration( + 890 => self.embed_content_token_list_0(&children[0], &children[1]), + 891 => self.embed_content_token_list_1(), + 892 => self.embed_item_0(&children[0], &children[1], &children[2]), + 893 => self.embed_item_list_0(&children[0], &children[1]), + 894 => self.embed_item_list_1(), + 895 => self.embed_item_1(&children[0]), + 896 => self.include_declaration( &children[0], &children[1], &children[2], @@ -34405,22 +34302,22 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 900 => self.description_group(&children[0], &children[1]), - 901 => self.description_group_group_0(&children[0], &children[1], &children[2]), - 902 => self.description_group_group_list_0(&children[0], &children[1]), - 903 => self.description_group_group_list_1(), - 904 => self.description_group_group_1(&children[0]), - 905 => self.description_group_list_0(&children[0], &children[1]), - 906 => self.description_group_list_1(), - 907 => self.description_item_0(&children[0]), - 908 => self.description_item_1(&children[0]), - 909 => self.description_item_2(&children[0]), - 910 => self.description_item_3(&children[0]), - 911 => self.description_item_4(&children[0]), - 912 => self.description_item_5(&children[0]), - 913 => self.veryl(&children[0], &children[1]), - 914 => self.veryl_list_0(&children[0], &children[1]), - 915 => self.veryl_list_1(), + 897 => self.description_group(&children[0], &children[1]), + 898 => self.description_group_group_0(&children[0], &children[1], &children[2]), + 899 => self.description_group_group_list_0(&children[0], &children[1]), + 900 => self.description_group_group_list_1(), + 901 => self.description_group_group_1(&children[0]), + 902 => self.description_group_list_0(&children[0], &children[1]), + 903 => self.description_group_list_1(), + 904 => self.description_item_0(&children[0]), + 905 => self.description_item_1(&children[0]), + 906 => self.description_item_2(&children[0]), + 907 => self.description_item_3(&children[0]), + 908 => self.description_item_4(&children[0]), + 909 => self.description_item_5(&children[0]), + 910 => self.veryl(&children[0], &children[1]), + 911 => self.veryl_list_0(&children[0], &children[1]), + 912 => self.veryl_list_1(), _ => Err(ParserError::InternalError(format!( "Unhandled production number: {}", prod_num diff --git a/crates/parser/src/generated/veryl_parser.rs b/crates/parser/src/generated/veryl_parser.rs index 6d13d1cd..486601f4 100644 --- a/crates/parser/src/generated/veryl_parser.rs +++ b/crates/parser/src/generated/veryl_parser.rs @@ -499,7 +499,7 @@ const SCANNER_2: (&[&str; 5], &[TerminalIndex; 92]) = ( const MAX_K: usize = 3; -pub const NON_TERMINALS: &[&str; 640] = &[ +pub const NON_TERMINALS: &[&str; 639] = &[ /* 0 */ "AllBit", /* 1 */ "AllBitTerm", /* 2 */ "AllBitToken", @@ -565,584 +565,583 @@ pub const NON_TERMINALS: &[&str; 640] = &[ /* 62 */ "BreakTerm", /* 63 */ "BreakToken", /* 64 */ "Case", - /* 65 */ "CaseExpression", - /* 66 */ "CaseExpressionList", - /* 67 */ "CaseExpressionList0", - /* 68 */ "CaseExpressionList0List", + /* 65 */ "CaseCondition", + /* 66 */ "CaseConditionList", + /* 67 */ "CaseExpression", + /* 68 */ "CaseExpressionList", /* 69 */ "CaseExpressionOpt", /* 70 */ "CaseItem", /* 71 */ "CaseItemGroup", /* 72 */ "CaseItemGroup0", /* 73 */ "CaseItemGroup0List", - /* 74 */ "CaseItemGroupList", - /* 75 */ "CaseStatement", - /* 76 */ "CaseStatementList", - /* 77 */ "CaseTerm", - /* 78 */ "CaseToken", - /* 79 */ "Clock", - /* 80 */ "ClockNegedge", - /* 81 */ "ClockNegedgeTerm", - /* 82 */ "ClockNegedgeToken", - /* 83 */ "ClockPosedge", - /* 84 */ "ClockPosedgeTerm", - /* 85 */ "ClockPosedgeToken", - /* 86 */ "ClockTerm", - /* 87 */ "ClockToken", - /* 88 */ "Colon", - /* 89 */ "ColonColon", - /* 90 */ "ColonColonLAngle", - /* 91 */ "ColonColonLAngleTerm", - /* 92 */ "ColonColonLAngleToken", - /* 93 */ "ColonColonTerm", - /* 94 */ "ColonColonToken", - /* 95 */ "ColonTerm", - /* 96 */ "ColonToken", - /* 97 */ "Comma", - /* 98 */ "CommaTerm", - /* 99 */ "CommaToken", - /* 100 */ "Comments", - /* 101 */ "CommentsOpt", - /* 102 */ "CommentsTerm", - /* 103 */ "ConcatenationItem", - /* 104 */ "ConcatenationItemOpt", - /* 105 */ "ConcatenationList", - /* 106 */ "ConcatenationListList", - /* 107 */ "ConcatenationListOpt", - /* 108 */ "Defaul", - /* 109 */ "DefaultTerm", - /* 110 */ "DefaultToken", - /* 111 */ "DescriptionGroup", - /* 112 */ "DescriptionGroupGroup", - /* 113 */ "DescriptionGroupGroupList", - /* 114 */ "DescriptionGroupList", - /* 115 */ "DescriptionItem", - /* 116 */ "Direction", - /* 117 */ "DollarIdentifier", - /* 118 */ "DollarIdentifierTerm", - /* 119 */ "DollarIdentifierToken", - /* 120 */ "Dot", - /* 121 */ "DotDot", - /* 122 */ "DotDotEqu", - /* 123 */ "DotDotEquTerm", - /* 124 */ "DotDotEquToken", - /* 125 */ "DotDotTerm", - /* 126 */ "DotDotToken", - /* 127 */ "DotTerm", - /* 128 */ "DotToken", - /* 129 */ "Else", - /* 130 */ "ElseTerm", - /* 131 */ "ElseToken", - /* 132 */ "Embed", - /* 133 */ "EmbedContent", - /* 134 */ "EmbedContentToken", - /* 135 */ "EmbedContentTokenList", - /* 136 */ "EmbedDeclaration", - /* 137 */ "EmbedItem", - /* 138 */ "EmbedItemList", - /* 139 */ "EmbedTerm", - /* 140 */ "EmbedToken", - /* 141 */ "Enum", - /* 142 */ "EnumDeclaration", - /* 143 */ "EnumGroup", - /* 144 */ "EnumGroupGroup", - /* 145 */ "EnumGroupList", - /* 146 */ "EnumItem", - /* 147 */ "EnumItemOpt", - /* 148 */ "EnumList", - /* 149 */ "EnumListList", - /* 150 */ "EnumListOpt", - /* 151 */ "EnumTerm", - /* 152 */ "EnumToken", - /* 153 */ "Equ", - /* 154 */ "EquTerm", - /* 155 */ "EquToken", - /* 156 */ "Exponent", - /* 157 */ "ExponentTerm", - /* 158 */ "ExponentToken", - /* 159 */ "Export", - /* 160 */ "ExportDeclaration", - /* 161 */ "ExportDeclarationGroup", - /* 162 */ "ExportDeclarationOpt", - /* 163 */ "ExportTerm", - /* 164 */ "ExportToken", - /* 165 */ "Expression", - /* 166 */ "Expression01", - /* 167 */ "Expression01List", - /* 168 */ "Expression02", - /* 169 */ "Expression02List", - /* 170 */ "Expression03", - /* 171 */ "Expression03List", - /* 172 */ "Expression04", - /* 173 */ "Expression04List", - /* 174 */ "Expression05", - /* 175 */ "Expression05List", - /* 176 */ "Expression06", - /* 177 */ "Expression06List", - /* 178 */ "Expression07", - /* 179 */ "Expression07List", - /* 180 */ "Expression08", - /* 181 */ "Expression08List", - /* 182 */ "Expression09", - /* 183 */ "Expression09List", - /* 184 */ "Expression09ListGroup", - /* 185 */ "Expression10", - /* 186 */ "Expression10List", - /* 187 */ "Expression11", - /* 188 */ "Expression11List", - /* 189 */ "Expression12", - /* 190 */ "Expression12List", - /* 191 */ "Expression12ListGroup", - /* 192 */ "ExpressionIdentifier", - /* 193 */ "ExpressionIdentifierList", - /* 194 */ "ExpressionIdentifierList0", - /* 195 */ "ExpressionIdentifierList0List", - /* 196 */ "ExpressionList", - /* 197 */ "F32", - /* 198 */ "F32Term", - /* 199 */ "F32Token", - /* 200 */ "F64", - /* 201 */ "F64Term", - /* 202 */ "F64Token", - /* 203 */ "Factor", - /* 204 */ "FactorGroup", - /* 205 */ "FactorOpt", - /* 206 */ "Final", - /* 207 */ "FinalDeclaration", - /* 208 */ "FinalDeclarationList", - /* 209 */ "FinalTerm", - /* 210 */ "FinalToken", - /* 211 */ "FixedPoint", - /* 212 */ "FixedPointTerm", - /* 213 */ "FixedPointToken", - /* 214 */ "FixedType", - /* 215 */ "For", - /* 216 */ "ForStatement", - /* 217 */ "ForStatementList", - /* 218 */ "ForStatementOpt", - /* 219 */ "ForTerm", - /* 220 */ "ForToken", - /* 221 */ "Function", - /* 222 */ "FunctionCall", - /* 223 */ "FunctionCallOpt", - /* 224 */ "FunctionDeclaration", - /* 225 */ "FunctionDeclarationList", - /* 226 */ "FunctionDeclarationOpt", - /* 227 */ "FunctionDeclarationOpt0", - /* 228 */ "FunctionDeclarationOpt1", - /* 229 */ "FunctionItem", - /* 230 */ "FunctionTerm", - /* 231 */ "FunctionToken", - /* 232 */ "Hash", - /* 233 */ "HashTerm", - /* 234 */ "HashToken", - /* 235 */ "HierarchicalIdentifier", - /* 236 */ "HierarchicalIdentifierList", - /* 237 */ "HierarchicalIdentifierList0", - /* 238 */ "HierarchicalIdentifierList0List", - /* 239 */ "I32", - /* 240 */ "I32Term", - /* 241 */ "I32Token", - /* 242 */ "I64", - /* 243 */ "I64Term", - /* 244 */ "I64Token", - /* 245 */ "Identifier", - /* 246 */ "IdentifierStatement", - /* 247 */ "IdentifierStatementGroup", - /* 248 */ "IdentifierTerm", - /* 249 */ "IdentifierToken", - /* 250 */ "If", - /* 251 */ "IfExpression", - /* 252 */ "IfExpressionList", - /* 253 */ "IfReset", - /* 254 */ "IfResetStatement", - /* 255 */ "IfResetStatementList", - /* 256 */ "IfResetStatementList0", - /* 257 */ "IfResetStatementList0List", - /* 258 */ "IfResetStatementOpt", - /* 259 */ "IfResetStatementOptList", - /* 260 */ "IfResetTerm", - /* 261 */ "IfResetToken", - /* 262 */ "IfStatement", - /* 263 */ "IfStatementList", - /* 264 */ "IfStatementList0", - /* 265 */ "IfStatementList0List", - /* 266 */ "IfStatementOpt", - /* 267 */ "IfStatementOptList", - /* 268 */ "IfTerm", - /* 269 */ "IfToken", - /* 270 */ "Import", - /* 271 */ "ImportDeclaration", - /* 272 */ "ImportDeclarationOpt", - /* 273 */ "ImportTerm", - /* 274 */ "ImportToken", - /* 275 */ "In", - /* 276 */ "InTerm", - /* 277 */ "InToken", - /* 278 */ "Include", - /* 279 */ "IncludeDeclaration", - /* 280 */ "IncludeTerm", - /* 281 */ "IncludeToken", - /* 282 */ "Initial", - /* 283 */ "InitialDeclaration", - /* 284 */ "InitialDeclarationList", - /* 285 */ "InitialTerm", - /* 286 */ "InitialToken", - /* 287 */ "Inout", - /* 288 */ "InoutTerm", - /* 289 */ "InoutToken", - /* 290 */ "Input", - /* 291 */ "InputTerm", - /* 292 */ "InputToken", - /* 293 */ "Inside", - /* 294 */ "InsideExpression", - /* 295 */ "InsideTerm", - /* 296 */ "InsideToken", - /* 297 */ "Inst", - /* 298 */ "InstDeclaration", - /* 299 */ "InstDeclarationOpt", - /* 300 */ "InstDeclarationOpt0", - /* 301 */ "InstDeclarationOpt1", - /* 302 */ "InstDeclarationOpt2", - /* 303 */ "InstParameter", - /* 304 */ "InstParameterGroup", - /* 305 */ "InstParameterGroupGroup", - /* 306 */ "InstParameterGroupList", - /* 307 */ "InstParameterItem", - /* 308 */ "InstParameterItemOpt", - /* 309 */ "InstParameterList", - /* 310 */ "InstParameterListList", - /* 311 */ "InstParameterListOpt", - /* 312 */ "InstParameterOpt", - /* 313 */ "InstPortGroup", - /* 314 */ "InstPortGroupGroup", - /* 315 */ "InstPortGroupList", - /* 316 */ "InstPortItem", - /* 317 */ "InstPortItemOpt", - /* 318 */ "InstPortList", - /* 319 */ "InstPortListList", - /* 320 */ "InstPortListOpt", - /* 321 */ "InstTerm", - /* 322 */ "InstToken", - /* 323 */ "IntegralNumber", - /* 324 */ "Interface", - /* 325 */ "InterfaceDeclaration", - /* 326 */ "InterfaceDeclarationList", - /* 327 */ "InterfaceDeclarationOpt", - /* 328 */ "InterfaceDeclarationOpt0", - /* 329 */ "InterfaceDeclarationOpt1", - /* 330 */ "InterfaceForDeclaration", - /* 331 */ "InterfaceForDeclarationOpt", - /* 332 */ "InterfaceGroup", - /* 333 */ "InterfaceGroupGroup", - /* 334 */ "InterfaceGroupGroupList", - /* 335 */ "InterfaceGroupList", - /* 336 */ "InterfaceIfDeclaration", - /* 337 */ "InterfaceIfDeclarationList", - /* 338 */ "InterfaceIfDeclarationOpt", - /* 339 */ "InterfaceItem", - /* 340 */ "InterfaceNamedBlock", - /* 341 */ "InterfaceNamedBlockList", - /* 342 */ "InterfaceOptionalNamedBlock", - /* 343 */ "InterfaceOptionalNamedBlockList", - /* 344 */ "InterfaceOptionalNamedBlockOpt", - /* 345 */ "InterfaceTerm", - /* 346 */ "InterfaceToken", - /* 347 */ "LAngle", - /* 348 */ "LAngleTerm", - /* 349 */ "LAngleToken", - /* 350 */ "LBrace", - /* 351 */ "LBraceTerm", - /* 352 */ "LBraceToken", - /* 353 */ "LBracket", - /* 354 */ "LBracketTerm", - /* 355 */ "LBracketToken", - /* 356 */ "LParen", - /* 357 */ "LParenTerm", - /* 358 */ "LParenToken", - /* 359 */ "Let", - /* 360 */ "LetDeclaration", - /* 361 */ "LetStatement", - /* 362 */ "LetTerm", - /* 363 */ "LetToken", - /* 364 */ "Local", - /* 365 */ "LocalDeclaration", - /* 366 */ "LocalDeclarationGroup", - /* 367 */ "LocalTerm", - /* 368 */ "LocalToken", - /* 369 */ "Logic", - /* 370 */ "LogicTerm", - /* 371 */ "LogicToken", - /* 372 */ "Lsb", - /* 373 */ "LsbTerm", - /* 374 */ "LsbToken", - /* 375 */ "MinusColon", - /* 376 */ "MinusColonTerm", - /* 377 */ "MinusColonToken", - /* 378 */ "MinusGT", - /* 379 */ "MinusGTTerm", - /* 380 */ "MinusGTToken", - /* 381 */ "Modport", - /* 382 */ "ModportDeclaration", - /* 383 */ "ModportGroup", - /* 384 */ "ModportGroupGroup", - /* 385 */ "ModportGroupList", - /* 386 */ "ModportItem", - /* 387 */ "ModportList", - /* 388 */ "ModportListList", - /* 389 */ "ModportListOpt", - /* 390 */ "ModportTerm", - /* 391 */ "ModportToken", - /* 392 */ "Module", - /* 393 */ "ModuleDeclaration", - /* 394 */ "ModuleDeclarationList", - /* 395 */ "ModuleDeclarationOpt", - /* 396 */ "ModuleDeclarationOpt0", - /* 397 */ "ModuleDeclarationOpt1", - /* 398 */ "ModuleDeclarationOpt2", - /* 399 */ "ModuleForDeclaration", - /* 400 */ "ModuleForDeclarationOpt", - /* 401 */ "ModuleGroup", - /* 402 */ "ModuleGroupGroup", - /* 403 */ "ModuleGroupGroupList", - /* 404 */ "ModuleGroupList", - /* 405 */ "ModuleIfDeclaration", - /* 406 */ "ModuleIfDeclarationList", - /* 407 */ "ModuleIfDeclarationOpt", - /* 408 */ "ModuleItem", - /* 409 */ "ModuleNamedBlock", - /* 410 */ "ModuleNamedBlockList", - /* 411 */ "ModuleOptionalNamedBlock", - /* 412 */ "ModuleOptionalNamedBlockList", - /* 413 */ "ModuleOptionalNamedBlockOpt", - /* 414 */ "ModuleTerm", - /* 415 */ "ModuleToken", - /* 416 */ "Msb", - /* 417 */ "MsbTerm", - /* 418 */ "MsbToken", - /* 419 */ "Number", - /* 420 */ "Operator01", - /* 421 */ "Operator01Term", - /* 422 */ "Operator01Token", - /* 423 */ "Operator02", - /* 424 */ "Operator02Term", - /* 425 */ "Operator02Token", - /* 426 */ "Operator03", - /* 427 */ "Operator03Term", - /* 428 */ "Operator03Token", - /* 429 */ "Operator04", - /* 430 */ "Operator04Term", - /* 431 */ "Operator04Token", - /* 432 */ "Operator05", - /* 433 */ "Operator05Term", - /* 434 */ "Operator05Token", - /* 435 */ "Operator06", - /* 436 */ "Operator06Term", - /* 437 */ "Operator06Token", - /* 438 */ "Operator07", - /* 439 */ "Operator07Term", - /* 440 */ "Operator07Token", - /* 441 */ "Operator08", - /* 442 */ "Operator08Term", - /* 443 */ "Operator08Token", - /* 444 */ "Operator09", - /* 445 */ "Operator09Term", - /* 446 */ "Operator09Token", - /* 447 */ "Operator10", - /* 448 */ "Operator10Term", - /* 449 */ "Operator10Token", - /* 450 */ "Operator11", - /* 451 */ "Operator11Term", - /* 452 */ "Operator11Token", - /* 453 */ "Output", - /* 454 */ "OutputTerm", - /* 455 */ "OutputToken", - /* 456 */ "Outside", - /* 457 */ "OutsideExpression", - /* 458 */ "OutsideTerm", - /* 459 */ "OutsideToken", - /* 460 */ "Package", - /* 461 */ "PackageDeclaration", - /* 462 */ "PackageDeclarationList", - /* 463 */ "PackageDeclarationOpt", - /* 464 */ "PackageDeclarationOpt0", - /* 465 */ "PackageGroup", - /* 466 */ "PackageGroupGroup", - /* 467 */ "PackageGroupGroupList", - /* 468 */ "PackageGroupList", - /* 469 */ "PackageItem", - /* 470 */ "PackageTerm", - /* 471 */ "PackageToken", - /* 472 */ "Param", - /* 473 */ "ParamTerm", - /* 474 */ "ParamToken", - /* 475 */ "PlusColon", - /* 476 */ "PlusColonTerm", - /* 477 */ "PlusColonToken", - /* 478 */ "PortDeclaration", - /* 479 */ "PortDeclarationGroup", - /* 480 */ "PortDeclarationGroupGroup", - /* 481 */ "PortDeclarationGroupList", - /* 482 */ "PortDeclarationItem", - /* 483 */ "PortDeclarationItemGroup", - /* 484 */ "PortDeclarationItemOpt", - /* 485 */ "PortDeclarationList", - /* 486 */ "PortDeclarationListList", - /* 487 */ "PortDeclarationListOpt", - /* 488 */ "PortDeclarationOpt", - /* 489 */ "Pub", - /* 490 */ "PubTerm", - /* 491 */ "PubToken", - /* 492 */ "QuoteLBrace", - /* 493 */ "QuoteLBraceTerm", - /* 494 */ "QuoteLBraceToken", - /* 495 */ "RAngle", - /* 496 */ "RAngleTerm", - /* 497 */ "RAngleToken", - /* 498 */ "RBrace", - /* 499 */ "RBraceTerm", - /* 500 */ "RBraceToken", - /* 501 */ "RBracket", - /* 502 */ "RBracketTerm", - /* 503 */ "RBracketToken", - /* 504 */ "RParen", - /* 505 */ "RParenTerm", - /* 506 */ "RParenToken", - /* 507 */ "Range", - /* 508 */ "RangeItem", - /* 509 */ "RangeList", - /* 510 */ "RangeListList", - /* 511 */ "RangeListOpt", - /* 512 */ "RangeOperator", - /* 513 */ "RangeOpt", - /* 514 */ "RealNumber", - /* 515 */ "Ref", - /* 516 */ "RefTerm", - /* 517 */ "RefToken", - /* 518 */ "Repeat", - /* 519 */ "RepeatTerm", - /* 520 */ "RepeatToken", - /* 521 */ "Reset", - /* 522 */ "ResetAsyncHigh", - /* 523 */ "ResetAsyncHighTerm", - /* 524 */ "ResetAsyncHighToken", - /* 525 */ "ResetAsyncLow", - /* 526 */ "ResetAsyncLowTerm", - /* 527 */ "ResetAsyncLowToken", - /* 528 */ "ResetSyncHigh", - /* 529 */ "ResetSyncHighTerm", - /* 530 */ "ResetSyncHighToken", - /* 531 */ "ResetSyncLow", - /* 532 */ "ResetSyncLowTerm", - /* 533 */ "ResetSyncLowToken", - /* 534 */ "ResetTerm", - /* 535 */ "ResetToken", - /* 536 */ "Return", - /* 537 */ "ReturnStatement", - /* 538 */ "ReturnTerm", - /* 539 */ "ReturnToken", - /* 540 */ "ScalarType", - /* 541 */ "ScalarTypeGroup", - /* 542 */ "ScalarTypeList", - /* 543 */ "ScopedIdentifier", - /* 544 */ "ScopedIdentifierGroup", - /* 545 */ "ScopedIdentifierList", - /* 546 */ "ScopedIdentifierOpt", - /* 547 */ "ScopedIdentifierOpt0", - /* 548 */ "Select", - /* 549 */ "SelectOperator", - /* 550 */ "SelectOpt", - /* 551 */ "Semicolon", - /* 552 */ "SemicolonTerm", - /* 553 */ "SemicolonToken", - /* 554 */ "Signed", - /* 555 */ "SignedTerm", - /* 556 */ "SignedToken", - /* 557 */ "Star", - /* 558 */ "StarTerm", - /* 559 */ "StarToken", - /* 560 */ "Start", - /* 561 */ "StartToken", - /* 562 */ "Statement", - /* 563 */ "Step", - /* 564 */ "StepTerm", - /* 565 */ "StepToken", - /* 566 */ "Strin", - /* 567 */ "StringLiteral", - /* 568 */ "StringLiteralTerm", - /* 569 */ "StringLiteralToken", - /* 570 */ "StringTerm", - /* 571 */ "StringToken", - /* 572 */ "Struct", - /* 573 */ "StructTerm", - /* 574 */ "StructToken", - /* 575 */ "StructUnion", - /* 576 */ "StructUnionDeclaration", - /* 577 */ "StructUnionDeclarationOpt", - /* 578 */ "StructUnionGroup", - /* 579 */ "StructUnionGroupGroup", - /* 580 */ "StructUnionGroupList", - /* 581 */ "StructUnionItem", - /* 582 */ "StructUnionList", - /* 583 */ "StructUnionListList", - /* 584 */ "StructUnionListOpt", - /* 585 */ "Tri", - /* 586 */ "TriTerm", - /* 587 */ "TriToken", - /* 588 */ "Type", - /* 589 */ "TypeDefDeclaration", - /* 590 */ "TypeExpression", - /* 591 */ "TypeModifier", - /* 592 */ "TypeTerm", - /* 593 */ "TypeToken", - /* 594 */ "U32", - /* 595 */ "U32Term", - /* 596 */ "U32Token", - /* 597 */ "U64", - /* 598 */ "U64Term", - /* 599 */ "U64Token", - /* 600 */ "UnaryOperator", - /* 601 */ "UnaryOperatorTerm", - /* 602 */ "UnaryOperatorToken", - /* 603 */ "Union", - /* 604 */ "UnionTerm", - /* 605 */ "UnionToken", - /* 606 */ "Var", - /* 607 */ "VarDeclaration", - /* 608 */ "VarTerm", - /* 609 */ "VarToken", - /* 610 */ "VariableType", - /* 611 */ "VariableTypeGroup", - /* 612 */ "VariableTypeOpt", - /* 613 */ "Veryl", - /* 614 */ "VerylList", - /* 615 */ "Width", - /* 616 */ "WidthList", - /* 617 */ "WithGenericArgument", - /* 618 */ "WithGenericArgumentItem", - /* 619 */ "WithGenericArgumentList", - /* 620 */ "WithGenericArgumentListList", - /* 621 */ "WithGenericArgumentListOpt", - /* 622 */ "WithGenericArgumentOpt", - /* 623 */ "WithGenericParameter", - /* 624 */ "WithGenericParameterItem", - /* 625 */ "WithGenericParameterItemOpt", - /* 626 */ "WithGenericParameterList", - /* 627 */ "WithGenericParameterListList", - /* 628 */ "WithGenericParameterListOpt", - /* 629 */ "WithParameter", - /* 630 */ "WithParameterGroup", - /* 631 */ "WithParameterGroupGroup", - /* 632 */ "WithParameterGroupList", - /* 633 */ "WithParameterItem", - /* 634 */ "WithParameterItemGroup", - /* 635 */ "WithParameterItemGroup0", - /* 636 */ "WithParameterList", - /* 637 */ "WithParameterListList", - /* 638 */ "WithParameterListOpt", - /* 639 */ "WithParameterOpt", + /* 74 */ "CaseStatement", + /* 75 */ "CaseStatementList", + /* 76 */ "CaseTerm", + /* 77 */ "CaseToken", + /* 78 */ "Clock", + /* 79 */ "ClockNegedge", + /* 80 */ "ClockNegedgeTerm", + /* 81 */ "ClockNegedgeToken", + /* 82 */ "ClockPosedge", + /* 83 */ "ClockPosedgeTerm", + /* 84 */ "ClockPosedgeToken", + /* 85 */ "ClockTerm", + /* 86 */ "ClockToken", + /* 87 */ "Colon", + /* 88 */ "ColonColon", + /* 89 */ "ColonColonLAngle", + /* 90 */ "ColonColonLAngleTerm", + /* 91 */ "ColonColonLAngleToken", + /* 92 */ "ColonColonTerm", + /* 93 */ "ColonColonToken", + /* 94 */ "ColonTerm", + /* 95 */ "ColonToken", + /* 96 */ "Comma", + /* 97 */ "CommaTerm", + /* 98 */ "CommaToken", + /* 99 */ "Comments", + /* 100 */ "CommentsOpt", + /* 101 */ "CommentsTerm", + /* 102 */ "ConcatenationItem", + /* 103 */ "ConcatenationItemOpt", + /* 104 */ "ConcatenationList", + /* 105 */ "ConcatenationListList", + /* 106 */ "ConcatenationListOpt", + /* 107 */ "Defaul", + /* 108 */ "DefaultTerm", + /* 109 */ "DefaultToken", + /* 110 */ "DescriptionGroup", + /* 111 */ "DescriptionGroupGroup", + /* 112 */ "DescriptionGroupGroupList", + /* 113 */ "DescriptionGroupList", + /* 114 */ "DescriptionItem", + /* 115 */ "Direction", + /* 116 */ "DollarIdentifier", + /* 117 */ "DollarIdentifierTerm", + /* 118 */ "DollarIdentifierToken", + /* 119 */ "Dot", + /* 120 */ "DotDot", + /* 121 */ "DotDotEqu", + /* 122 */ "DotDotEquTerm", + /* 123 */ "DotDotEquToken", + /* 124 */ "DotDotTerm", + /* 125 */ "DotDotToken", + /* 126 */ "DotTerm", + /* 127 */ "DotToken", + /* 128 */ "Else", + /* 129 */ "ElseTerm", + /* 130 */ "ElseToken", + /* 131 */ "Embed", + /* 132 */ "EmbedContent", + /* 133 */ "EmbedContentToken", + /* 134 */ "EmbedContentTokenList", + /* 135 */ "EmbedDeclaration", + /* 136 */ "EmbedItem", + /* 137 */ "EmbedItemList", + /* 138 */ "EmbedTerm", + /* 139 */ "EmbedToken", + /* 140 */ "Enum", + /* 141 */ "EnumDeclaration", + /* 142 */ "EnumGroup", + /* 143 */ "EnumGroupGroup", + /* 144 */ "EnumGroupList", + /* 145 */ "EnumItem", + /* 146 */ "EnumItemOpt", + /* 147 */ "EnumList", + /* 148 */ "EnumListList", + /* 149 */ "EnumListOpt", + /* 150 */ "EnumTerm", + /* 151 */ "EnumToken", + /* 152 */ "Equ", + /* 153 */ "EquTerm", + /* 154 */ "EquToken", + /* 155 */ "Exponent", + /* 156 */ "ExponentTerm", + /* 157 */ "ExponentToken", + /* 158 */ "Export", + /* 159 */ "ExportDeclaration", + /* 160 */ "ExportDeclarationGroup", + /* 161 */ "ExportDeclarationOpt", + /* 162 */ "ExportTerm", + /* 163 */ "ExportToken", + /* 164 */ "Expression", + /* 165 */ "Expression01", + /* 166 */ "Expression01List", + /* 167 */ "Expression02", + /* 168 */ "Expression02List", + /* 169 */ "Expression03", + /* 170 */ "Expression03List", + /* 171 */ "Expression04", + /* 172 */ "Expression04List", + /* 173 */ "Expression05", + /* 174 */ "Expression05List", + /* 175 */ "Expression06", + /* 176 */ "Expression06List", + /* 177 */ "Expression07", + /* 178 */ "Expression07List", + /* 179 */ "Expression08", + /* 180 */ "Expression08List", + /* 181 */ "Expression09", + /* 182 */ "Expression09List", + /* 183 */ "Expression09ListGroup", + /* 184 */ "Expression10", + /* 185 */ "Expression10List", + /* 186 */ "Expression11", + /* 187 */ "Expression11List", + /* 188 */ "Expression12", + /* 189 */ "Expression12List", + /* 190 */ "Expression12ListGroup", + /* 191 */ "ExpressionIdentifier", + /* 192 */ "ExpressionIdentifierList", + /* 193 */ "ExpressionIdentifierList0", + /* 194 */ "ExpressionIdentifierList0List", + /* 195 */ "ExpressionList", + /* 196 */ "F32", + /* 197 */ "F32Term", + /* 198 */ "F32Token", + /* 199 */ "F64", + /* 200 */ "F64Term", + /* 201 */ "F64Token", + /* 202 */ "Factor", + /* 203 */ "FactorGroup", + /* 204 */ "FactorOpt", + /* 205 */ "Final", + /* 206 */ "FinalDeclaration", + /* 207 */ "FinalDeclarationList", + /* 208 */ "FinalTerm", + /* 209 */ "FinalToken", + /* 210 */ "FixedPoint", + /* 211 */ "FixedPointTerm", + /* 212 */ "FixedPointToken", + /* 213 */ "FixedType", + /* 214 */ "For", + /* 215 */ "ForStatement", + /* 216 */ "ForStatementList", + /* 217 */ "ForStatementOpt", + /* 218 */ "ForTerm", + /* 219 */ "ForToken", + /* 220 */ "Function", + /* 221 */ "FunctionCall", + /* 222 */ "FunctionCallOpt", + /* 223 */ "FunctionDeclaration", + /* 224 */ "FunctionDeclarationList", + /* 225 */ "FunctionDeclarationOpt", + /* 226 */ "FunctionDeclarationOpt0", + /* 227 */ "FunctionDeclarationOpt1", + /* 228 */ "FunctionItem", + /* 229 */ "FunctionTerm", + /* 230 */ "FunctionToken", + /* 231 */ "Hash", + /* 232 */ "HashTerm", + /* 233 */ "HashToken", + /* 234 */ "HierarchicalIdentifier", + /* 235 */ "HierarchicalIdentifierList", + /* 236 */ "HierarchicalIdentifierList0", + /* 237 */ "HierarchicalIdentifierList0List", + /* 238 */ "I32", + /* 239 */ "I32Term", + /* 240 */ "I32Token", + /* 241 */ "I64", + /* 242 */ "I64Term", + /* 243 */ "I64Token", + /* 244 */ "Identifier", + /* 245 */ "IdentifierStatement", + /* 246 */ "IdentifierStatementGroup", + /* 247 */ "IdentifierTerm", + /* 248 */ "IdentifierToken", + /* 249 */ "If", + /* 250 */ "IfExpression", + /* 251 */ "IfExpressionList", + /* 252 */ "IfReset", + /* 253 */ "IfResetStatement", + /* 254 */ "IfResetStatementList", + /* 255 */ "IfResetStatementList0", + /* 256 */ "IfResetStatementList0List", + /* 257 */ "IfResetStatementOpt", + /* 258 */ "IfResetStatementOptList", + /* 259 */ "IfResetTerm", + /* 260 */ "IfResetToken", + /* 261 */ "IfStatement", + /* 262 */ "IfStatementList", + /* 263 */ "IfStatementList0", + /* 264 */ "IfStatementList0List", + /* 265 */ "IfStatementOpt", + /* 266 */ "IfStatementOptList", + /* 267 */ "IfTerm", + /* 268 */ "IfToken", + /* 269 */ "Import", + /* 270 */ "ImportDeclaration", + /* 271 */ "ImportDeclarationOpt", + /* 272 */ "ImportTerm", + /* 273 */ "ImportToken", + /* 274 */ "In", + /* 275 */ "InTerm", + /* 276 */ "InToken", + /* 277 */ "Include", + /* 278 */ "IncludeDeclaration", + /* 279 */ "IncludeTerm", + /* 280 */ "IncludeToken", + /* 281 */ "Initial", + /* 282 */ "InitialDeclaration", + /* 283 */ "InitialDeclarationList", + /* 284 */ "InitialTerm", + /* 285 */ "InitialToken", + /* 286 */ "Inout", + /* 287 */ "InoutTerm", + /* 288 */ "InoutToken", + /* 289 */ "Input", + /* 290 */ "InputTerm", + /* 291 */ "InputToken", + /* 292 */ "Inside", + /* 293 */ "InsideExpression", + /* 294 */ "InsideTerm", + /* 295 */ "InsideToken", + /* 296 */ "Inst", + /* 297 */ "InstDeclaration", + /* 298 */ "InstDeclarationOpt", + /* 299 */ "InstDeclarationOpt0", + /* 300 */ "InstDeclarationOpt1", + /* 301 */ "InstDeclarationOpt2", + /* 302 */ "InstParameter", + /* 303 */ "InstParameterGroup", + /* 304 */ "InstParameterGroupGroup", + /* 305 */ "InstParameterGroupList", + /* 306 */ "InstParameterItem", + /* 307 */ "InstParameterItemOpt", + /* 308 */ "InstParameterList", + /* 309 */ "InstParameterListList", + /* 310 */ "InstParameterListOpt", + /* 311 */ "InstParameterOpt", + /* 312 */ "InstPortGroup", + /* 313 */ "InstPortGroupGroup", + /* 314 */ "InstPortGroupList", + /* 315 */ "InstPortItem", + /* 316 */ "InstPortItemOpt", + /* 317 */ "InstPortList", + /* 318 */ "InstPortListList", + /* 319 */ "InstPortListOpt", + /* 320 */ "InstTerm", + /* 321 */ "InstToken", + /* 322 */ "IntegralNumber", + /* 323 */ "Interface", + /* 324 */ "InterfaceDeclaration", + /* 325 */ "InterfaceDeclarationList", + /* 326 */ "InterfaceDeclarationOpt", + /* 327 */ "InterfaceDeclarationOpt0", + /* 328 */ "InterfaceDeclarationOpt1", + /* 329 */ "InterfaceForDeclaration", + /* 330 */ "InterfaceForDeclarationOpt", + /* 331 */ "InterfaceGroup", + /* 332 */ "InterfaceGroupGroup", + /* 333 */ "InterfaceGroupGroupList", + /* 334 */ "InterfaceGroupList", + /* 335 */ "InterfaceIfDeclaration", + /* 336 */ "InterfaceIfDeclarationList", + /* 337 */ "InterfaceIfDeclarationOpt", + /* 338 */ "InterfaceItem", + /* 339 */ "InterfaceNamedBlock", + /* 340 */ "InterfaceNamedBlockList", + /* 341 */ "InterfaceOptionalNamedBlock", + /* 342 */ "InterfaceOptionalNamedBlockList", + /* 343 */ "InterfaceOptionalNamedBlockOpt", + /* 344 */ "InterfaceTerm", + /* 345 */ "InterfaceToken", + /* 346 */ "LAngle", + /* 347 */ "LAngleTerm", + /* 348 */ "LAngleToken", + /* 349 */ "LBrace", + /* 350 */ "LBraceTerm", + /* 351 */ "LBraceToken", + /* 352 */ "LBracket", + /* 353 */ "LBracketTerm", + /* 354 */ "LBracketToken", + /* 355 */ "LParen", + /* 356 */ "LParenTerm", + /* 357 */ "LParenToken", + /* 358 */ "Let", + /* 359 */ "LetDeclaration", + /* 360 */ "LetStatement", + /* 361 */ "LetTerm", + /* 362 */ "LetToken", + /* 363 */ "Local", + /* 364 */ "LocalDeclaration", + /* 365 */ "LocalDeclarationGroup", + /* 366 */ "LocalTerm", + /* 367 */ "LocalToken", + /* 368 */ "Logic", + /* 369 */ "LogicTerm", + /* 370 */ "LogicToken", + /* 371 */ "Lsb", + /* 372 */ "LsbTerm", + /* 373 */ "LsbToken", + /* 374 */ "MinusColon", + /* 375 */ "MinusColonTerm", + /* 376 */ "MinusColonToken", + /* 377 */ "MinusGT", + /* 378 */ "MinusGTTerm", + /* 379 */ "MinusGTToken", + /* 380 */ "Modport", + /* 381 */ "ModportDeclaration", + /* 382 */ "ModportGroup", + /* 383 */ "ModportGroupGroup", + /* 384 */ "ModportGroupList", + /* 385 */ "ModportItem", + /* 386 */ "ModportList", + /* 387 */ "ModportListList", + /* 388 */ "ModportListOpt", + /* 389 */ "ModportTerm", + /* 390 */ "ModportToken", + /* 391 */ "Module", + /* 392 */ "ModuleDeclaration", + /* 393 */ "ModuleDeclarationList", + /* 394 */ "ModuleDeclarationOpt", + /* 395 */ "ModuleDeclarationOpt0", + /* 396 */ "ModuleDeclarationOpt1", + /* 397 */ "ModuleDeclarationOpt2", + /* 398 */ "ModuleForDeclaration", + /* 399 */ "ModuleForDeclarationOpt", + /* 400 */ "ModuleGroup", + /* 401 */ "ModuleGroupGroup", + /* 402 */ "ModuleGroupGroupList", + /* 403 */ "ModuleGroupList", + /* 404 */ "ModuleIfDeclaration", + /* 405 */ "ModuleIfDeclarationList", + /* 406 */ "ModuleIfDeclarationOpt", + /* 407 */ "ModuleItem", + /* 408 */ "ModuleNamedBlock", + /* 409 */ "ModuleNamedBlockList", + /* 410 */ "ModuleOptionalNamedBlock", + /* 411 */ "ModuleOptionalNamedBlockList", + /* 412 */ "ModuleOptionalNamedBlockOpt", + /* 413 */ "ModuleTerm", + /* 414 */ "ModuleToken", + /* 415 */ "Msb", + /* 416 */ "MsbTerm", + /* 417 */ "MsbToken", + /* 418 */ "Number", + /* 419 */ "Operator01", + /* 420 */ "Operator01Term", + /* 421 */ "Operator01Token", + /* 422 */ "Operator02", + /* 423 */ "Operator02Term", + /* 424 */ "Operator02Token", + /* 425 */ "Operator03", + /* 426 */ "Operator03Term", + /* 427 */ "Operator03Token", + /* 428 */ "Operator04", + /* 429 */ "Operator04Term", + /* 430 */ "Operator04Token", + /* 431 */ "Operator05", + /* 432 */ "Operator05Term", + /* 433 */ "Operator05Token", + /* 434 */ "Operator06", + /* 435 */ "Operator06Term", + /* 436 */ "Operator06Token", + /* 437 */ "Operator07", + /* 438 */ "Operator07Term", + /* 439 */ "Operator07Token", + /* 440 */ "Operator08", + /* 441 */ "Operator08Term", + /* 442 */ "Operator08Token", + /* 443 */ "Operator09", + /* 444 */ "Operator09Term", + /* 445 */ "Operator09Token", + /* 446 */ "Operator10", + /* 447 */ "Operator10Term", + /* 448 */ "Operator10Token", + /* 449 */ "Operator11", + /* 450 */ "Operator11Term", + /* 451 */ "Operator11Token", + /* 452 */ "Output", + /* 453 */ "OutputTerm", + /* 454 */ "OutputToken", + /* 455 */ "Outside", + /* 456 */ "OutsideExpression", + /* 457 */ "OutsideTerm", + /* 458 */ "OutsideToken", + /* 459 */ "Package", + /* 460 */ "PackageDeclaration", + /* 461 */ "PackageDeclarationList", + /* 462 */ "PackageDeclarationOpt", + /* 463 */ "PackageDeclarationOpt0", + /* 464 */ "PackageGroup", + /* 465 */ "PackageGroupGroup", + /* 466 */ "PackageGroupGroupList", + /* 467 */ "PackageGroupList", + /* 468 */ "PackageItem", + /* 469 */ "PackageTerm", + /* 470 */ "PackageToken", + /* 471 */ "Param", + /* 472 */ "ParamTerm", + /* 473 */ "ParamToken", + /* 474 */ "PlusColon", + /* 475 */ "PlusColonTerm", + /* 476 */ "PlusColonToken", + /* 477 */ "PortDeclaration", + /* 478 */ "PortDeclarationGroup", + /* 479 */ "PortDeclarationGroupGroup", + /* 480 */ "PortDeclarationGroupList", + /* 481 */ "PortDeclarationItem", + /* 482 */ "PortDeclarationItemGroup", + /* 483 */ "PortDeclarationItemOpt", + /* 484 */ "PortDeclarationList", + /* 485 */ "PortDeclarationListList", + /* 486 */ "PortDeclarationListOpt", + /* 487 */ "PortDeclarationOpt", + /* 488 */ "Pub", + /* 489 */ "PubTerm", + /* 490 */ "PubToken", + /* 491 */ "QuoteLBrace", + /* 492 */ "QuoteLBraceTerm", + /* 493 */ "QuoteLBraceToken", + /* 494 */ "RAngle", + /* 495 */ "RAngleTerm", + /* 496 */ "RAngleToken", + /* 497 */ "RBrace", + /* 498 */ "RBraceTerm", + /* 499 */ "RBraceToken", + /* 500 */ "RBracket", + /* 501 */ "RBracketTerm", + /* 502 */ "RBracketToken", + /* 503 */ "RParen", + /* 504 */ "RParenTerm", + /* 505 */ "RParenToken", + /* 506 */ "Range", + /* 507 */ "RangeItem", + /* 508 */ "RangeList", + /* 509 */ "RangeListList", + /* 510 */ "RangeListOpt", + /* 511 */ "RangeOperator", + /* 512 */ "RangeOpt", + /* 513 */ "RealNumber", + /* 514 */ "Ref", + /* 515 */ "RefTerm", + /* 516 */ "RefToken", + /* 517 */ "Repeat", + /* 518 */ "RepeatTerm", + /* 519 */ "RepeatToken", + /* 520 */ "Reset", + /* 521 */ "ResetAsyncHigh", + /* 522 */ "ResetAsyncHighTerm", + /* 523 */ "ResetAsyncHighToken", + /* 524 */ "ResetAsyncLow", + /* 525 */ "ResetAsyncLowTerm", + /* 526 */ "ResetAsyncLowToken", + /* 527 */ "ResetSyncHigh", + /* 528 */ "ResetSyncHighTerm", + /* 529 */ "ResetSyncHighToken", + /* 530 */ "ResetSyncLow", + /* 531 */ "ResetSyncLowTerm", + /* 532 */ "ResetSyncLowToken", + /* 533 */ "ResetTerm", + /* 534 */ "ResetToken", + /* 535 */ "Return", + /* 536 */ "ReturnStatement", + /* 537 */ "ReturnTerm", + /* 538 */ "ReturnToken", + /* 539 */ "ScalarType", + /* 540 */ "ScalarTypeGroup", + /* 541 */ "ScalarTypeList", + /* 542 */ "ScopedIdentifier", + /* 543 */ "ScopedIdentifierGroup", + /* 544 */ "ScopedIdentifierList", + /* 545 */ "ScopedIdentifierOpt", + /* 546 */ "ScopedIdentifierOpt0", + /* 547 */ "Select", + /* 548 */ "SelectOperator", + /* 549 */ "SelectOpt", + /* 550 */ "Semicolon", + /* 551 */ "SemicolonTerm", + /* 552 */ "SemicolonToken", + /* 553 */ "Signed", + /* 554 */ "SignedTerm", + /* 555 */ "SignedToken", + /* 556 */ "Star", + /* 557 */ "StarTerm", + /* 558 */ "StarToken", + /* 559 */ "Start", + /* 560 */ "StartToken", + /* 561 */ "Statement", + /* 562 */ "Step", + /* 563 */ "StepTerm", + /* 564 */ "StepToken", + /* 565 */ "Strin", + /* 566 */ "StringLiteral", + /* 567 */ "StringLiteralTerm", + /* 568 */ "StringLiteralToken", + /* 569 */ "StringTerm", + /* 570 */ "StringToken", + /* 571 */ "Struct", + /* 572 */ "StructTerm", + /* 573 */ "StructToken", + /* 574 */ "StructUnion", + /* 575 */ "StructUnionDeclaration", + /* 576 */ "StructUnionDeclarationOpt", + /* 577 */ "StructUnionGroup", + /* 578 */ "StructUnionGroupGroup", + /* 579 */ "StructUnionGroupList", + /* 580 */ "StructUnionItem", + /* 581 */ "StructUnionList", + /* 582 */ "StructUnionListList", + /* 583 */ "StructUnionListOpt", + /* 584 */ "Tri", + /* 585 */ "TriTerm", + /* 586 */ "TriToken", + /* 587 */ "Type", + /* 588 */ "TypeDefDeclaration", + /* 589 */ "TypeExpression", + /* 590 */ "TypeModifier", + /* 591 */ "TypeTerm", + /* 592 */ "TypeToken", + /* 593 */ "U32", + /* 594 */ "U32Term", + /* 595 */ "U32Token", + /* 596 */ "U64", + /* 597 */ "U64Term", + /* 598 */ "U64Token", + /* 599 */ "UnaryOperator", + /* 600 */ "UnaryOperatorTerm", + /* 601 */ "UnaryOperatorToken", + /* 602 */ "Union", + /* 603 */ "UnionTerm", + /* 604 */ "UnionToken", + /* 605 */ "Var", + /* 606 */ "VarDeclaration", + /* 607 */ "VarTerm", + /* 608 */ "VarToken", + /* 609 */ "VariableType", + /* 610 */ "VariableTypeGroup", + /* 611 */ "VariableTypeOpt", + /* 612 */ "Veryl", + /* 613 */ "VerylList", + /* 614 */ "Width", + /* 615 */ "WidthList", + /* 616 */ "WithGenericArgument", + /* 617 */ "WithGenericArgumentItem", + /* 618 */ "WithGenericArgumentList", + /* 619 */ "WithGenericArgumentListList", + /* 620 */ "WithGenericArgumentListOpt", + /* 621 */ "WithGenericArgumentOpt", + /* 622 */ "WithGenericParameter", + /* 623 */ "WithGenericParameterItem", + /* 624 */ "WithGenericParameterItemOpt", + /* 625 */ "WithGenericParameterList", + /* 626 */ "WithGenericParameterListList", + /* 627 */ "WithGenericParameterListOpt", + /* 628 */ "WithParameter", + /* 629 */ "WithParameterGroup", + /* 630 */ "WithParameterGroupGroup", + /* 631 */ "WithParameterGroupList", + /* 632 */ "WithParameterItem", + /* 633 */ "WithParameterItemGroup", + /* 634 */ "WithParameterItemGroup0", + /* 635 */ "WithParameterList", + /* 636 */ "WithParameterListList", + /* 637 */ "WithParameterListOpt", + /* 638 */ "WithParameterOpt", ]; -pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ +pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 639] = &[ /* 0 - "AllBit" */ LookaheadDFA { prod0: 226, @@ -1163,14 +1162,14 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 3 - "AlwayfFfEventList" */ LookaheadDFA { - prod0: 594, + prod0: 591, transitions: &[], k: 0, }, /* 4 - "AlwayfFfEventListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 595), Trans(0, 45, 2, 596)], + transitions: &[Trans(0, 31, 1, 592), Trans(0, 45, 2, 593)], k: 1, }, /* 5 - "AlwaysComb" */ @@ -1181,7 +1180,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 6 - "AlwaysCombDeclaration" */ LookaheadDFA { - prod0: 599, + prod0: 596, transitions: &[], k: 0, }, @@ -1189,16 +1188,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 601), - Trans(0, 53, 1, 600), - Trans(0, 65, 1, 600), - Trans(0, 69, 1, 600), - Trans(0, 70, 1, 600), - Trans(0, 80, 1, 600), - Trans(0, 99, 1, 600), - Trans(0, 100, 1, 600), - Trans(0, 111, 1, 600), - Trans(0, 112, 1, 600), + Trans(0, 43, 2, 598), + Trans(0, 53, 1, 597), + Trans(0, 65, 1, 597), + Trans(0, 69, 1, 597), + Trans(0, 70, 1, 597), + Trans(0, 80, 1, 597), + Trans(0, 99, 1, 597), + Trans(0, 100, 1, 597), + Trans(0, 111, 1, 597), + Trans(0, 112, 1, 597), ], k: 1, }, @@ -1222,13 +1221,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 11 - "AlwaysFfClock" */ LookaheadDFA { - prod0: 597, + prod0: 594, transitions: &[], k: 0, }, /* 12 - "AlwaysFfDeclaration" */ LookaheadDFA { - prod0: 589, + prod0: 586, transitions: &[], k: 0, }, @@ -1236,28 +1235,28 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 591), - Trans(0, 53, 1, 590), - Trans(0, 65, 1, 590), - Trans(0, 69, 1, 590), - Trans(0, 70, 1, 590), - Trans(0, 80, 1, 590), - Trans(0, 99, 1, 590), - Trans(0, 100, 1, 590), - Trans(0, 111, 1, 590), - Trans(0, 112, 1, 590), + Trans(0, 43, 2, 588), + Trans(0, 53, 1, 587), + Trans(0, 65, 1, 587), + Trans(0, 69, 1, 587), + Trans(0, 70, 1, 587), + Trans(0, 80, 1, 587), + Trans(0, 99, 1, 587), + Trans(0, 100, 1, 587), + Trans(0, 111, 1, 587), + Trans(0, 112, 1, 587), ], k: 1, }, /* 14 - "AlwaysFfDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 2, 593), Trans(0, 41, 1, 592)], + transitions: &[Trans(0, 39, 2, 590), Trans(0, 41, 1, 589)], k: 1, }, /* 15 - "AlwaysFfReset" */ LookaheadDFA { - prod0: 598, + prod0: 595, transitions: &[], k: 0, }, @@ -1865,14 +1864,14 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 23 - "Array" */ LookaheadDFA { - prod0: 478, + prod0: 474, transitions: &[], k: 0, }, /* 24 - "ArrayList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 479), Trans(0, 44, 2, 480)], + transitions: &[Trans(0, 31, 1, 475), Trans(0, 44, 2, 476)], k: 1, }, /* 25 - "ArrayLiteralItem" */ @@ -2508,7 +2507,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 31 - "ArrayType" */ LookaheadDFA { - prod0: 514, + prod0: 510, transitions: &[], k: 0, }, @@ -2516,12 +2515,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 516), - Trans(0, 35, 2, 516), - Trans(0, 40, 1, 515), - Trans(0, 43, 2, 516), - Trans(0, 45, 2, 516), - Trans(0, 46, 2, 516), + Trans(0, 31, 2, 512), + Trans(0, 35, 2, 512), + Trans(0, 40, 1, 511), + Trans(0, 43, 2, 512), + Trans(0, 45, 2, 512), + Trans(0, 46, 2, 512), ], k: 1, }, @@ -2551,7 +2550,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 37 - "AssignDeclaration" */ LookaheadDFA { - prod0: 602, + prod0: 599, transitions: &[], k: 0, }, @@ -2569,14 +2568,14 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 40 - "Assignment" */ LookaheadDFA { - prod0: 529, + prod0: 525, transitions: &[], k: 0, }, /* 41 - "AssignmentGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 15, 2, 531), Trans(0, 35, 1, 530)], + transitions: &[Trans(0, 15, 2, 527), Trans(0, 35, 1, 526)], k: 1, }, /* 42 - "AssignmentOperator" */ @@ -2599,19 +2598,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 45 - "Attribute" */ LookaheadDFA { - prod0: 573, + prod0: 570, transitions: &[], k: 0, }, /* 46 - "AttributeItem" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 6, 2, 582), Trans(0, 112, 1, 581)], + transitions: &[Trans(0, 6, 2, 579), Trans(0, 112, 1, 578)], k: 1, }, /* 47 - "AttributeList" */ LookaheadDFA { - prod0: 576, + prod0: 573, transitions: &[], k: 0, }, @@ -2625,61 +2624,61 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 6, 2, -1), Trans(1, 45, 9, -1), Trans(1, 112, 2, -1), - Trans(2, 5, 3, 577), - Trans(2, 31, 3, 577), - Trans(2, 45, 3, 577), - Trans(4, 6, 3, 577), - Trans(4, 45, 8, 578), - Trans(4, 112, 3, 577), + Trans(2, 5, 3, 574), + Trans(2, 31, 3, 574), + Trans(2, 45, 3, 574), + Trans(4, 6, 3, 574), + Trans(4, 45, 8, 575), + Trans(4, 112, 3, 574), Trans(5, 5, 6, -1), Trans(5, 44, 7, -1), - Trans(6, 44, 8, 578), - Trans(7, 5, 8, 578), - Trans(7, 30, 8, 578), - Trans(7, 36, 8, 578), - Trans(7, 39, 8, 578), - Trans(7, 48, 8, 578), - Trans(7, 49, 8, 578), - Trans(7, 50, 8, 578), - Trans(7, 59, 8, 578), - Trans(7, 60, 8, 578), - Trans(7, 61, 8, 578), - Trans(7, 64, 8, 578), - Trans(7, 65, 8, 578), - Trans(7, 66, 8, 578), - Trans(7, 70, 8, 578), - Trans(7, 71, 8, 578), - Trans(7, 72, 8, 578), - Trans(7, 73, 8, 578), - Trans(7, 77, 8, 578), - Trans(7, 78, 8, 578), - Trans(7, 80, 8, 578), - Trans(7, 81, 8, 578), - Trans(7, 84, 8, 578), - Trans(7, 85, 8, 578), - Trans(7, 89, 8, 578), - Trans(7, 90, 8, 578), - Trans(7, 91, 8, 578), - Trans(7, 104, 8, 578), - Trans(7, 106, 8, 578), - Trans(7, 109, 8, 578), - Trans(7, 110, 8, 578), - Trans(7, 112, 8, 578), - Trans(9, 5, 8, 578), - Trans(9, 44, 8, 578), + Trans(6, 44, 8, 575), + Trans(7, 5, 8, 575), + Trans(7, 30, 8, 575), + Trans(7, 36, 8, 575), + Trans(7, 39, 8, 575), + Trans(7, 48, 8, 575), + Trans(7, 49, 8, 575), + Trans(7, 50, 8, 575), + Trans(7, 59, 8, 575), + Trans(7, 60, 8, 575), + Trans(7, 61, 8, 575), + Trans(7, 64, 8, 575), + Trans(7, 65, 8, 575), + Trans(7, 66, 8, 575), + Trans(7, 70, 8, 575), + Trans(7, 71, 8, 575), + Trans(7, 72, 8, 575), + Trans(7, 73, 8, 575), + Trans(7, 77, 8, 575), + Trans(7, 78, 8, 575), + Trans(7, 80, 8, 575), + Trans(7, 81, 8, 575), + Trans(7, 84, 8, 575), + Trans(7, 85, 8, 575), + Trans(7, 89, 8, 575), + Trans(7, 90, 8, 575), + Trans(7, 91, 8, 575), + Trans(7, 104, 8, 575), + Trans(7, 106, 8, 575), + Trans(7, 109, 8, 575), + Trans(7, 110, 8, 575), + Trans(7, 112, 8, 575), + Trans(9, 5, 8, 575), + Trans(9, 44, 8, 575), ], k: 3, }, /* 49 - "AttributeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 579), Trans(0, 45, 2, 580)], + transitions: &[Trans(0, 31, 1, 576), Trans(0, 45, 2, 577)], k: 1, }, /* 50 - "AttributeOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 41, 1, 574), Trans(0, 44, 2, 575)], + transitions: &[Trans(0, 41, 1, 571), Trans(0, 44, 2, 572)], k: 1, }, /* 51 - "BaseLess" */ @@ -2744,7 +2743,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 61 - "BreakStatement" */ LookaheadDFA { - prod0: 555, + prod0: 551, transitions: &[], k: 0, }, @@ -2766,19 +2765,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ transitions: &[], k: 0, }, - /* 65 - "CaseExpression" */ + /* 65 - "CaseCondition" */ LookaheadDFA { - prod0: 449, + prod0: 567, transitions: &[], k: 0, }, - /* 66 - "CaseExpressionList" */ + /* 66 - "CaseConditionList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 455), Trans(0, 31, 1, 454)], + transitions: &[Trans(0, 30, 2, 569), Trans(0, 31, 1, 568)], k: 1, }, - /* 67 - "CaseExpressionList0" */ + /* 67 - "CaseExpression" */ + LookaheadDFA { + prod0: 449, + transitions: &[], + k: 0, + }, + /* 68 - "CaseExpressionList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -2797,7 +2802,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 39, 1, 450), Trans(0, 41, 1, 450), Trans(0, 53, 1, 450), - Trans(0, 57, 2, 453), + Trans(0, 57, 2, 451), Trans(0, 70, 1, 450), Trans(0, 76, 1, 450), Trans(0, 83, 1, 450), @@ -2808,21 +2813,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 68 - "CaseExpressionList0List" */ - LookaheadDFA { - prod0: -1, - transitions: &[Trans(0, 30, 2, 452), Trans(0, 31, 1, 451)], - k: 1, - }, /* 69 - "CaseExpressionOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 456), Trans(0, 43, 2, 457)], + transitions: &[Trans(0, 31, 1, 452), Trans(0, 43, 2, 453)], k: 1, }, /* 70 - "CaseItem" */ LookaheadDFA { - prod0: 564, + prod0: 560, transitions: &[], k: 0, }, @@ -2830,254 +2829,248 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 569), - Trans(0, 7, 1, 569), - Trans(0, 8, 1, 569), - Trans(0, 9, 1, 569), - Trans(0, 10, 1, 569), - Trans(0, 11, 1, 569), - Trans(0, 18, 1, 569), - Trans(0, 24, 1, 569), - Trans(0, 25, 1, 569), - Trans(0, 26, 1, 569), - Trans(0, 27, 1, 569), - Trans(0, 38, 1, 569), - Trans(0, 39, 1, 569), - Trans(0, 41, 1, 569), - Trans(0, 53, 1, 569), - Trans(0, 57, 2, 572), - Trans(0, 70, 1, 569), - Trans(0, 76, 1, 569), - Trans(0, 83, 1, 569), - Trans(0, 86, 1, 569), - Trans(0, 88, 1, 569), - Trans(0, 111, 1, 569), - Trans(0, 112, 1, 569), - ], - k: 1, - }, - /* 72 - "CaseItemGroup0" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 39, 2, 566), + Trans(0, 6, 1, 565), + Trans(0, 7, 1, 565), + Trans(0, 8, 1, 565), + Trans(0, 9, 1, 565), + Trans(0, 10, 1, 565), + Trans(0, 11, 1, 565), + Trans(0, 18, 1, 565), + Trans(0, 24, 1, 565), + Trans(0, 25, 1, 565), + Trans(0, 26, 1, 565), + Trans(0, 27, 1, 565), + Trans(0, 38, 1, 565), + Trans(0, 39, 1, 565), + Trans(0, 41, 1, 565), Trans(0, 53, 1, 565), - Trans(0, 65, 1, 565), - Trans(0, 69, 1, 565), + Trans(0, 57, 2, 566), Trans(0, 70, 1, 565), - Trans(0, 80, 1, 565), - Trans(0, 99, 1, 565), - Trans(0, 100, 1, 565), + Trans(0, 76, 1, 565), + Trans(0, 83, 1, 565), + Trans(0, 86, 1, 565), + Trans(0, 88, 1, 565), Trans(0, 111, 1, 565), Trans(0, 112, 1, 565), ], k: 1, }, - /* 73 - "CaseItemGroup0List" */ + /* 72 - "CaseItemGroup0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 568), - Trans(0, 53, 1, 567), - Trans(0, 65, 1, 567), - Trans(0, 69, 1, 567), - Trans(0, 70, 1, 567), - Trans(0, 80, 1, 567), - Trans(0, 99, 1, 567), - Trans(0, 100, 1, 567), - Trans(0, 111, 1, 567), - Trans(0, 112, 1, 567), + Trans(0, 39, 2, 562), + Trans(0, 53, 1, 561), + Trans(0, 65, 1, 561), + Trans(0, 69, 1, 561), + Trans(0, 70, 1, 561), + Trans(0, 80, 1, 561), + Trans(0, 99, 1, 561), + Trans(0, 100, 1, 561), + Trans(0, 111, 1, 561), + Trans(0, 112, 1, 561), ], k: 1, }, - /* 74 - "CaseItemGroupList" */ + /* 73 - "CaseItemGroup0List" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 571), Trans(0, 31, 1, 570)], + transitions: &[ + Trans(0, 43, 2, 564), + Trans(0, 53, 1, 563), + Trans(0, 65, 1, 563), + Trans(0, 69, 1, 563), + Trans(0, 70, 1, 563), + Trans(0, 80, 1, 563), + Trans(0, 99, 1, 563), + Trans(0, 100, 1, 563), + Trans(0, 111, 1, 563), + Trans(0, 112, 1, 563), + ], k: 1, }, - /* 75 - "CaseStatement" */ + /* 74 - "CaseStatement" */ LookaheadDFA { - prod0: 561, + prod0: 557, transitions: &[], k: 0, }, - /* 76 - "CaseStatementList" */ + /* 75 - "CaseStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 562), - Trans(0, 7, 1, 562), - Trans(0, 8, 1, 562), - Trans(0, 9, 1, 562), - Trans(0, 10, 1, 562), - Trans(0, 11, 1, 562), - Trans(0, 18, 1, 562), - Trans(0, 24, 1, 562), - Trans(0, 25, 1, 562), - Trans(0, 26, 1, 562), - Trans(0, 27, 1, 562), - Trans(0, 38, 1, 562), - Trans(0, 39, 1, 562), - Trans(0, 41, 1, 562), - Trans(0, 43, 2, 563), - Trans(0, 53, 1, 562), - Trans(0, 57, 1, 562), - Trans(0, 70, 1, 562), - Trans(0, 76, 1, 562), - Trans(0, 83, 1, 562), - Trans(0, 86, 1, 562), - Trans(0, 88, 1, 562), - Trans(0, 111, 1, 562), - Trans(0, 112, 1, 562), + Trans(0, 6, 1, 558), + Trans(0, 7, 1, 558), + Trans(0, 8, 1, 558), + Trans(0, 9, 1, 558), + Trans(0, 10, 1, 558), + Trans(0, 11, 1, 558), + Trans(0, 18, 1, 558), + Trans(0, 24, 1, 558), + Trans(0, 25, 1, 558), + Trans(0, 26, 1, 558), + Trans(0, 27, 1, 558), + Trans(0, 38, 1, 558), + Trans(0, 39, 1, 558), + Trans(0, 41, 1, 558), + Trans(0, 43, 2, 559), + Trans(0, 53, 1, 558), + Trans(0, 57, 1, 558), + Trans(0, 70, 1, 558), + Trans(0, 76, 1, 558), + Trans(0, 83, 1, 558), + Trans(0, 86, 1, 558), + Trans(0, 88, 1, 558), + Trans(0, 111, 1, 558), + Trans(0, 112, 1, 558), ], k: 1, }, - /* 77 - "CaseTerm" */ + /* 76 - "CaseTerm" */ LookaheadDFA { prod0: 48, transitions: &[], k: 0, }, - /* 78 - "CaseToken" */ + /* 77 - "CaseToken" */ LookaheadDFA { prod0: 160, transitions: &[], k: 0, }, - /* 79 - "Clock" */ + /* 78 - "Clock" */ LookaheadDFA { prod0: 270, transitions: &[], k: 0, }, - /* 80 - "ClockNegedge" */ + /* 79 - "ClockNegedge" */ LookaheadDFA { prod0: 272, transitions: &[], k: 0, }, - /* 81 - "ClockNegedgeTerm" */ + /* 80 - "ClockNegedgeTerm" */ LookaheadDFA { prod0: 51, transitions: &[], k: 0, }, - /* 82 - "ClockNegedgeToken" */ + /* 81 - "ClockNegedgeToken" */ LookaheadDFA { prod0: 163, transitions: &[], k: 0, }, - /* 83 - "ClockPosedge" */ + /* 82 - "ClockPosedge" */ LookaheadDFA { prod0: 271, transitions: &[], k: 0, }, - /* 84 - "ClockPosedgeTerm" */ + /* 83 - "ClockPosedgeTerm" */ LookaheadDFA { prod0: 50, transitions: &[], k: 0, }, - /* 85 - "ClockPosedgeToken" */ + /* 84 - "ClockPosedgeToken" */ LookaheadDFA { prod0: 162, transitions: &[], k: 0, }, - /* 86 - "ClockTerm" */ + /* 85 - "ClockTerm" */ LookaheadDFA { prod0: 49, transitions: &[], k: 0, }, - /* 87 - "ClockToken" */ + /* 86 - "ClockToken" */ LookaheadDFA { prod0: 161, transitions: &[], k: 0, }, - /* 88 - "Colon" */ + /* 87 - "Colon" */ LookaheadDFA { prod0: 240, transitions: &[], k: 0, }, - /* 89 - "ColonColon" */ + /* 88 - "ColonColon" */ LookaheadDFA { prod0: 242, transitions: &[], k: 0, }, - /* 90 - "ColonColonLAngle" */ + /* 89 - "ColonColonLAngle" */ LookaheadDFA { prod0: 241, transitions: &[], k: 0, }, - /* 91 - "ColonColonLAngleTerm" */ + /* 90 - "ColonColonLAngleTerm" */ LookaheadDFA { prod0: 23, transitions: &[], k: 0, }, - /* 92 - "ColonColonLAngleToken" */ + /* 91 - "ColonColonLAngleToken" */ LookaheadDFA { prod0: 133, transitions: &[], k: 0, }, - /* 93 - "ColonColonTerm" */ + /* 92 - "ColonColonTerm" */ LookaheadDFA { prod0: 24, transitions: &[], k: 0, }, - /* 94 - "ColonColonToken" */ + /* 93 - "ColonColonToken" */ LookaheadDFA { prod0: 134, transitions: &[], k: 0, }, - /* 95 - "ColonTerm" */ + /* 94 - "ColonTerm" */ LookaheadDFA { prod0: 25, transitions: &[], k: 0, }, - /* 96 - "ColonToken" */ + /* 95 - "ColonToken" */ LookaheadDFA { prod0: 132, transitions: &[], k: 0, }, - /* 97 - "Comma" */ + /* 96 - "Comma" */ LookaheadDFA { prod0: 243, transitions: &[], k: 0, }, - /* 98 - "CommaTerm" */ + /* 97 - "CommaTerm" */ LookaheadDFA { prod0: 26, transitions: &[], k: 0, }, - /* 99 - "CommaToken" */ + /* 98 - "CommaToken" */ LookaheadDFA { prod0: 135, transitions: &[], k: 0, }, - /* 100 - "Comments" */ + /* 99 - "Comments" */ LookaheadDFA { prod0: 109, transitions: &[], k: 0, }, - /* 101 - "CommentsOpt" */ + /* 100 - "CommentsOpt" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -3193,19 +3186,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 102 - "CommentsTerm" */ + /* 101 - "CommentsTerm" */ LookaheadDFA { prod0: 0, transitions: &[], k: 0, }, - /* 103 - "ConcatenationItem" */ + /* 102 - "ConcatenationItem" */ LookaheadDFA { prod0: 433, transitions: &[], k: 0, }, - /* 104 - "ConcatenationItemOpt" */ + /* 103 - "ConcatenationItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -3215,13 +3208,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 105 - "ConcatenationList" */ + /* 104 - "ConcatenationList" */ LookaheadDFA { prod0: 428, transitions: &[], k: 0, }, - /* 106 - "ConcatenationListList" */ + /* 105 - "ConcatenationListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -3790,85 +3783,85 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 3, }, - /* 107 - "ConcatenationListOpt" */ + /* 106 - "ConcatenationListOpt" */ LookaheadDFA { prod0: -1, transitions: &[Trans(0, 31, 1, 431), Trans(0, 43, 2, 432)], k: 1, }, - /* 108 - "Defaul" */ + /* 107 - "Defaul" */ LookaheadDFA { prod0: 273, transitions: &[], k: 0, }, - /* 109 - "DefaultTerm" */ + /* 108 - "DefaultTerm" */ LookaheadDFA { prod0: 52, transitions: &[], k: 0, }, - /* 110 - "DefaultToken" */ + /* 109 - "DefaultToken" */ LookaheadDFA { prod0: 164, transitions: &[], k: 0, }, - /* 111 - "DescriptionGroup" */ + /* 110 - "DescriptionGroup" */ LookaheadDFA { - prod0: 900, + prod0: 897, transitions: &[], k: 0, }, - /* 112 - "DescriptionGroupGroup" */ + /* 111 - "DescriptionGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 901), - Trans(0, 59, 2, 904), - Trans(0, 71, 2, 904), - Trans(0, 72, 2, 904), - Trans(0, 78, 2, 904), - Trans(0, 85, 2, 904), - Trans(0, 89, 2, 904), - Trans(0, 91, 2, 904), + Trans(0, 39, 1, 898), + Trans(0, 59, 2, 901), + Trans(0, 71, 2, 901), + Trans(0, 72, 2, 901), + Trans(0, 78, 2, 901), + Trans(0, 85, 2, 901), + Trans(0, 89, 2, 901), + Trans(0, 91, 2, 901), ], k: 1, }, - /* 113 - "DescriptionGroupGroupList" */ + /* 112 - "DescriptionGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 902), - Trans(0, 39, 1, 902), - Trans(0, 43, 2, 903), - Trans(0, 59, 1, 902), - Trans(0, 71, 1, 902), - Trans(0, 72, 1, 902), - Trans(0, 78, 1, 902), - Trans(0, 85, 1, 902), - Trans(0, 89, 1, 902), - Trans(0, 91, 1, 902), + Trans(0, 36, 1, 899), + Trans(0, 39, 1, 899), + Trans(0, 43, 2, 900), + Trans(0, 59, 1, 899), + Trans(0, 71, 1, 899), + Trans(0, 72, 1, 899), + Trans(0, 78, 1, 899), + Trans(0, 85, 1, 899), + Trans(0, 89, 1, 899), + Trans(0, 91, 1, 899), ], k: 1, }, - /* 114 - "DescriptionGroupList" */ + /* 113 - "DescriptionGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 905), - Trans(0, 39, 2, 906), - Trans(0, 59, 2, 906), - Trans(0, 71, 2, 906), - Trans(0, 72, 2, 906), - Trans(0, 78, 2, 906), - Trans(0, 85, 2, 906), - Trans(0, 89, 2, 906), - Trans(0, 91, 2, 906), + Trans(0, 36, 1, 902), + Trans(0, 39, 2, 903), + Trans(0, 59, 2, 903), + Trans(0, 71, 2, 903), + Trans(0, 72, 2, 903), + Trans(0, 78, 2, 903), + Trans(0, 85, 2, 903), + Trans(0, 89, 2, 903), + Trans(0, 91, 2, 903), ], k: 1, }, - /* 115 - "DescriptionItem" */ + /* 114 - "DescriptionItem" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -3883,283 +3876,283 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 78, 9, -1), Trans(1, 85, 2, -1), Trans(1, 89, 14, -1), - Trans(2, 5, 3, 907), - Trans(2, 112, 3, 907), + Trans(2, 5, 3, 904), + Trans(2, 112, 3, 904), Trans(4, 5, 7, -1), Trans(4, 112, 5, -1), - Trans(5, 5, 3, 907), - Trans(5, 28, 3, 907), - Trans(5, 36, 3, 907), - Trans(5, 39, 3, 907), - Trans(5, 41, 3, 907), - Trans(6, 78, 10, 908), - Trans(6, 85, 3, 907), - Trans(6, 89, 15, 909), - Trans(7, 112, 3, 907), + Trans(5, 5, 3, 904), + Trans(5, 28, 3, 904), + Trans(5, 36, 3, 904), + Trans(5, 39, 3, 904), + Trans(5, 41, 3, 904), + Trans(6, 78, 10, 905), + Trans(6, 85, 3, 904), + Trans(6, 89, 15, 906), + Trans(7, 112, 3, 904), Trans(8, 5, 11, -1), Trans(8, 112, 12, -1), - Trans(9, 5, 10, 908), - Trans(9, 112, 10, 908), - Trans(11, 112, 10, 908), - Trans(12, 5, 10, 908), - Trans(12, 28, 10, 908), - Trans(12, 36, 10, 908), - Trans(12, 39, 10, 908), + Trans(9, 5, 10, 905), + Trans(9, 112, 10, 905), + Trans(11, 112, 10, 905), + Trans(12, 5, 10, 905), + Trans(12, 28, 10, 905), + Trans(12, 36, 10, 905), + Trans(12, 39, 10, 905), Trans(13, 5, 16, -1), Trans(13, 112, 17, -1), - Trans(14, 5, 15, 909), - Trans(14, 112, 15, 909), - Trans(16, 112, 15, 909), - Trans(17, 5, 15, 909), - Trans(17, 28, 15, 909), - Trans(17, 39, 15, 909), + Trans(14, 5, 15, 906), + Trans(14, 112, 15, 906), + Trans(16, 112, 15, 906), + Trans(17, 5, 15, 906), + Trans(17, 28, 15, 906), + Trans(17, 39, 15, 906), Trans(18, 5, 19, -1), Trans(18, 111, 20, -1), Trans(18, 112, 21, -1), - Trans(19, 111, 22, 910), - Trans(19, 112, 22, 910), - Trans(20, 5, 22, 910), - Trans(20, 29, 22, 910), - Trans(20, 46, 22, 910), - Trans(21, 5, 22, 910), - Trans(21, 28, 22, 910), - Trans(21, 29, 22, 910), - Trans(21, 46, 22, 910), + Trans(19, 111, 22, 907), + Trans(19, 112, 22, 907), + Trans(20, 5, 22, 907), + Trans(20, 29, 22, 907), + Trans(20, 46, 22, 907), + Trans(21, 5, 22, 907), + Trans(21, 28, 22, 907), + Trans(21, 29, 22, 907), + Trans(21, 46, 22, 907), Trans(23, 5, 24, -1), Trans(23, 41, 25, -1), - Trans(24, 41, 26, 911), - Trans(25, 5, 26, 911), - Trans(25, 112, 26, 911), + Trans(24, 41, 26, 908), + Trans(25, 5, 26, 908), + Trans(25, 112, 26, 908), Trans(27, 5, 28, -1), Trans(27, 41, 29, -1), - Trans(28, 41, 30, 912), - Trans(29, 5, 30, 912), - Trans(29, 112, 30, 912), + Trans(28, 41, 30, 909), + Trans(29, 5, 30, 909), + Trans(29, 112, 30, 909), ], k: 3, }, - /* 116 - "Direction" */ + /* 115 - "Direction" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 71, 6, 749), - Trans(0, 74, 3, 746), - Trans(0, 75, 1, 744), - Trans(0, 84, 5, 748), - Trans(0, 87, 2, 745), - Trans(0, 92, 4, 747), + Trans(0, 71, 6, 746), + Trans(0, 74, 3, 743), + Trans(0, 75, 1, 741), + Trans(0, 84, 5, 745), + Trans(0, 87, 2, 742), + Trans(0, 92, 4, 744), ], k: 1, }, - /* 117 - "DollarIdentifier" */ + /* 116 - "DollarIdentifier" */ LookaheadDFA { prod0: 326, transitions: &[], k: 0, }, - /* 118 - "DollarIdentifierTerm" */ + /* 117 - "DollarIdentifierTerm" */ LookaheadDFA { prod0: 106, transitions: &[], k: 0, }, - /* 119 - "DollarIdentifierToken" */ + /* 118 - "DollarIdentifierToken" */ LookaheadDFA { prod0: 218, transitions: &[], k: 0, }, - /* 120 - "Dot" */ + /* 119 - "Dot" */ LookaheadDFA { prod0: 246, transitions: &[], k: 0, }, - /* 121 - "DotDot" */ + /* 120 - "DotDot" */ LookaheadDFA { prod0: 244, transitions: &[], k: 0, }, - /* 122 - "DotDotEqu" */ + /* 121 - "DotDotEqu" */ LookaheadDFA { prod0: 245, transitions: &[], k: 0, }, - /* 123 - "DotDotEquTerm" */ + /* 122 - "DotDotEquTerm" */ LookaheadDFA { prod0: 27, transitions: &[], k: 0, }, - /* 124 - "DotDotEquToken" */ + /* 123 - "DotDotEquToken" */ LookaheadDFA { prod0: 137, transitions: &[], k: 0, }, - /* 125 - "DotDotTerm" */ + /* 124 - "DotDotTerm" */ LookaheadDFA { prod0: 28, transitions: &[], k: 0, }, - /* 126 - "DotDotToken" */ + /* 125 - "DotDotToken" */ LookaheadDFA { prod0: 136, transitions: &[], k: 0, }, - /* 127 - "DotTerm" */ + /* 126 - "DotTerm" */ LookaheadDFA { prod0: 29, transitions: &[], k: 0, }, - /* 128 - "DotToken" */ + /* 127 - "DotToken" */ LookaheadDFA { prod0: 138, transitions: &[], k: 0, }, - /* 129 - "Else" */ + /* 128 - "Else" */ LookaheadDFA { prod0: 274, transitions: &[], k: 0, }, - /* 130 - "ElseTerm" */ + /* 129 - "ElseTerm" */ LookaheadDFA { prod0: 53, transitions: &[], k: 0, }, - /* 131 - "ElseToken" */ + /* 130 - "ElseToken" */ LookaheadDFA { prod0: 165, transitions: &[], k: 0, }, - /* 132 - "Embed" */ + /* 131 - "Embed" */ LookaheadDFA { prod0: 275, transitions: &[], k: 0, }, - /* 133 - "EmbedContent" */ + /* 132 - "EmbedContent" */ LookaheadDFA { - prod0: 891, + prod0: 888, transitions: &[], k: 0, }, - /* 134 - "EmbedContentToken" */ + /* 133 - "EmbedContentToken" */ LookaheadDFA { - prod0: 892, + prod0: 889, transitions: &[], k: 0, }, - /* 135 - "EmbedContentTokenList" */ + /* 134 - "EmbedContentTokenList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 893), - Trans(0, 43, 2, 894), - Trans(0, 113, 1, 893), + Trans(0, 39, 1, 890), + Trans(0, 43, 2, 891), + Trans(0, 113, 1, 890), ], k: 1, }, - /* 136 - "EmbedDeclaration" */ + /* 135 - "EmbedDeclaration" */ LookaheadDFA { - prod0: 890, + prod0: 887, transitions: &[], k: 0, }, - /* 137 - "EmbedItem" */ + /* 136 - "EmbedItem" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 895), Trans(0, 113, 2, 898)], + transitions: &[Trans(0, 39, 1, 892), Trans(0, 113, 2, 895)], k: 1, }, - /* 138 - "EmbedItemList" */ + /* 137 - "EmbedItemList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 896), - Trans(0, 43, 2, 897), - Trans(0, 113, 1, 896), + Trans(0, 39, 1, 893), + Trans(0, 43, 2, 894), + Trans(0, 113, 1, 893), ], k: 1, }, - /* 139 - "EmbedTerm" */ + /* 138 - "EmbedTerm" */ LookaheadDFA { prod0: 54, transitions: &[], k: 0, }, - /* 140 - "EmbedToken" */ + /* 139 - "EmbedToken" */ LookaheadDFA { prod0: 166, transitions: &[], k: 0, }, - /* 141 - "Enum" */ + /* 140 - "Enum" */ LookaheadDFA { prod0: 276, transitions: &[], k: 0, }, - /* 142 - "EnumDeclaration" */ + /* 141 - "EnumDeclaration" */ LookaheadDFA { - prod0: 615, + prod0: 612, transitions: &[], k: 0, }, - /* 143 - "EnumGroup" */ + /* 142 - "EnumGroup" */ LookaheadDFA { - prod0: 621, + prod0: 618, transitions: &[], k: 0, }, - /* 144 - "EnumGroupGroup" */ + /* 143 - "EnumGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 622), Trans(0, 112, 2, 623)], + transitions: &[Trans(0, 39, 1, 619), Trans(0, 112, 2, 620)], k: 1, }, - /* 145 - "EnumGroupList" */ + /* 144 - "EnumGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 624), - Trans(0, 39, 2, 625), - Trans(0, 112, 2, 625), + Trans(0, 36, 1, 621), + Trans(0, 39, 2, 622), + Trans(0, 112, 2, 622), ], k: 1, }, - /* 146 - "EnumItem" */ + /* 145 - "EnumItem" */ LookaheadDFA { - prod0: 626, + prod0: 623, transitions: &[], k: 0, }, - /* 147 - "EnumItemOpt" */ + /* 146 - "EnumItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 628), - Trans(0, 35, 1, 627), - Trans(0, 43, 2, 628), + Trans(0, 31, 2, 625), + Trans(0, 35, 1, 624), + Trans(0, 43, 2, 625), ], k: 1, }, - /* 148 - "EnumList" */ + /* 147 - "EnumList" */ LookaheadDFA { - prod0: 616, + prod0: 613, transitions: &[], k: 0, }, - /* 149 - "EnumListList" */ + /* 148 - "EnumListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4170,20 +4163,20 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 39, 4, -1), Trans(1, 43, 20, -1), Trans(1, 112, 5, -1), - Trans(2, 5, 3, 617), - Trans(2, 40, 3, 617), - Trans(4, 5, 3, 617), - Trans(4, 36, 3, 617), - Trans(4, 39, 3, 617), - Trans(4, 112, 3, 617), - Trans(5, 5, 3, 617), - Trans(5, 31, 3, 617), - Trans(5, 35, 3, 617), - Trans(5, 43, 3, 617), - Trans(6, 36, 3, 617), - Trans(6, 39, 3, 617), - Trans(6, 43, 19, 618), - Trans(6, 112, 3, 617), + Trans(2, 5, 3, 614), + Trans(2, 40, 3, 614), + Trans(4, 5, 3, 614), + Trans(4, 36, 3, 614), + Trans(4, 39, 3, 614), + Trans(4, 112, 3, 614), + Trans(5, 5, 3, 614), + Trans(5, 31, 3, 614), + Trans(5, 35, 3, 614), + Trans(5, 43, 3, 614), + Trans(6, 36, 3, 614), + Trans(6, 39, 3, 614), + Trans(6, 43, 19, 615), + Trans(6, 112, 3, 614), Trans(7, 5, 8, -1), Trans(7, 30, 9, -1), Trans(7, 31, 10, -1), @@ -4209,266 +4202,266 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 106, 9, -1), Trans(7, 109, 9, -1), Trans(7, 110, 9, -1), - Trans(8, 30, 19, 618), - Trans(8, 31, 19, 618), - Trans(8, 36, 19, 618), - Trans(8, 39, 19, 618), - Trans(8, 43, 19, 618), - Trans(8, 48, 19, 618), - Trans(8, 49, 19, 618), - Trans(8, 50, 19, 618), - Trans(8, 60, 19, 618), - Trans(8, 61, 19, 618), - Trans(8, 64, 19, 618), - Trans(8, 65, 19, 618), - Trans(8, 66, 19, 618), - Trans(8, 70, 19, 618), - Trans(8, 71, 19, 618), - Trans(8, 73, 19, 618), - Trans(8, 77, 19, 618), - Trans(8, 80, 19, 618), - Trans(8, 81, 19, 618), - Trans(8, 84, 19, 618), - Trans(8, 104, 19, 618), - Trans(8, 106, 19, 618), - Trans(8, 109, 19, 618), - Trans(8, 110, 19, 618), - Trans(9, 5, 19, 618), - Trans(9, 112, 19, 618), - Trans(10, 5, 19, 618), - Trans(10, 36, 19, 618), - Trans(10, 39, 19, 618), - Trans(10, 43, 19, 618), - Trans(10, 112, 19, 618), - Trans(11, 5, 19, 618), - Trans(11, 40, 19, 618), - Trans(12, 5, 19, 618), - Trans(12, 30, 19, 618), - Trans(12, 36, 19, 618), - Trans(12, 39, 19, 618), - Trans(12, 43, 19, 618), - Trans(12, 48, 19, 618), - Trans(12, 49, 19, 618), - Trans(12, 50, 19, 618), - Trans(12, 60, 19, 618), - Trans(12, 61, 19, 618), - Trans(12, 64, 19, 618), - Trans(12, 65, 19, 618), - Trans(12, 66, 19, 618), - Trans(12, 70, 19, 618), - Trans(12, 71, 19, 618), - Trans(12, 73, 19, 618), - Trans(12, 77, 19, 618), - Trans(12, 80, 19, 618), - Trans(12, 81, 19, 618), - Trans(12, 84, 19, 618), - Trans(12, 104, 19, 618), - Trans(12, 106, 19, 618), - Trans(12, 109, 19, 618), - Trans(12, 110, 19, 618), - Trans(13, 0, 19, 618), - Trans(13, 5, 19, 618), - Trans(13, 30, 19, 618), - Trans(13, 31, 19, 618), - Trans(13, 36, 19, 618), - Trans(13, 39, 19, 618), - Trans(13, 43, 19, 618), - Trans(13, 48, 19, 618), - Trans(13, 49, 19, 618), - Trans(13, 50, 19, 618), - Trans(13, 58, 19, 618), - Trans(13, 59, 19, 618), - Trans(13, 60, 19, 618), - Trans(13, 61, 19, 618), - Trans(13, 64, 19, 618), - Trans(13, 65, 19, 618), - Trans(13, 66, 19, 618), - Trans(13, 70, 19, 618), - Trans(13, 71, 19, 618), - Trans(13, 72, 19, 618), - Trans(13, 73, 19, 618), - Trans(13, 77, 19, 618), - Trans(13, 78, 19, 618), - Trans(13, 80, 19, 618), - Trans(13, 81, 19, 618), - Trans(13, 84, 19, 618), - Trans(13, 85, 19, 618), - Trans(13, 89, 19, 618), - Trans(13, 91, 19, 618), - Trans(13, 104, 19, 618), - Trans(13, 106, 19, 618), - Trans(13, 109, 19, 618), - Trans(13, 110, 19, 618), - Trans(14, 5, 19, 618), - Trans(14, 39, 19, 618), - Trans(15, 5, 19, 618), - Trans(15, 39, 19, 618), - Trans(15, 41, 19, 618), - Trans(16, 5, 19, 618), - Trans(16, 47, 19, 618), - Trans(16, 111, 19, 618), - Trans(16, 112, 19, 618), - Trans(17, 5, 19, 618), - Trans(17, 6, 19, 618), - Trans(17, 7, 19, 618), - Trans(17, 8, 19, 618), - Trans(17, 9, 19, 618), - Trans(17, 10, 19, 618), - Trans(17, 11, 19, 618), - Trans(17, 18, 19, 618), - Trans(17, 24, 19, 618), - Trans(17, 25, 19, 618), - Trans(17, 26, 19, 618), - Trans(17, 27, 19, 618), - Trans(17, 38, 19, 618), - Trans(17, 39, 19, 618), - Trans(17, 41, 19, 618), - Trans(17, 53, 19, 618), - Trans(17, 70, 19, 618), - Trans(17, 76, 19, 618), - Trans(17, 83, 19, 618), - Trans(17, 86, 19, 618), - Trans(17, 88, 19, 618), - Trans(17, 111, 19, 618), - Trans(17, 112, 19, 618), - Trans(18, 5, 19, 618), - Trans(18, 111, 19, 618), - Trans(18, 112, 19, 618), - Trans(20, 5, 19, 618), - Trans(20, 30, 19, 618), - Trans(20, 31, 19, 618), - Trans(20, 36, 19, 618), - Trans(20, 39, 19, 618), - Trans(20, 43, 19, 618), - Trans(20, 48, 19, 618), - Trans(20, 49, 19, 618), - Trans(20, 50, 19, 618), - Trans(20, 60, 19, 618), - Trans(20, 61, 19, 618), - Trans(20, 64, 19, 618), - Trans(20, 65, 19, 618), - Trans(20, 66, 19, 618), - Trans(20, 70, 19, 618), - Trans(20, 71, 19, 618), - Trans(20, 73, 19, 618), - Trans(20, 77, 19, 618), - Trans(20, 80, 19, 618), - Trans(20, 81, 19, 618), - Trans(20, 84, 19, 618), - Trans(20, 104, 19, 618), - Trans(20, 106, 19, 618), - Trans(20, 109, 19, 618), - Trans(20, 110, 19, 618), + Trans(8, 30, 19, 615), + Trans(8, 31, 19, 615), + Trans(8, 36, 19, 615), + Trans(8, 39, 19, 615), + Trans(8, 43, 19, 615), + Trans(8, 48, 19, 615), + Trans(8, 49, 19, 615), + Trans(8, 50, 19, 615), + Trans(8, 60, 19, 615), + Trans(8, 61, 19, 615), + Trans(8, 64, 19, 615), + Trans(8, 65, 19, 615), + Trans(8, 66, 19, 615), + Trans(8, 70, 19, 615), + Trans(8, 71, 19, 615), + Trans(8, 73, 19, 615), + Trans(8, 77, 19, 615), + Trans(8, 80, 19, 615), + Trans(8, 81, 19, 615), + Trans(8, 84, 19, 615), + Trans(8, 104, 19, 615), + Trans(8, 106, 19, 615), + Trans(8, 109, 19, 615), + Trans(8, 110, 19, 615), + Trans(9, 5, 19, 615), + Trans(9, 112, 19, 615), + Trans(10, 5, 19, 615), + Trans(10, 36, 19, 615), + Trans(10, 39, 19, 615), + Trans(10, 43, 19, 615), + Trans(10, 112, 19, 615), + Trans(11, 5, 19, 615), + Trans(11, 40, 19, 615), + Trans(12, 5, 19, 615), + Trans(12, 30, 19, 615), + Trans(12, 36, 19, 615), + Trans(12, 39, 19, 615), + Trans(12, 43, 19, 615), + Trans(12, 48, 19, 615), + Trans(12, 49, 19, 615), + Trans(12, 50, 19, 615), + Trans(12, 60, 19, 615), + Trans(12, 61, 19, 615), + Trans(12, 64, 19, 615), + Trans(12, 65, 19, 615), + Trans(12, 66, 19, 615), + Trans(12, 70, 19, 615), + Trans(12, 71, 19, 615), + Trans(12, 73, 19, 615), + Trans(12, 77, 19, 615), + Trans(12, 80, 19, 615), + Trans(12, 81, 19, 615), + Trans(12, 84, 19, 615), + Trans(12, 104, 19, 615), + Trans(12, 106, 19, 615), + Trans(12, 109, 19, 615), + Trans(12, 110, 19, 615), + Trans(13, 0, 19, 615), + Trans(13, 5, 19, 615), + Trans(13, 30, 19, 615), + Trans(13, 31, 19, 615), + Trans(13, 36, 19, 615), + Trans(13, 39, 19, 615), + Trans(13, 43, 19, 615), + Trans(13, 48, 19, 615), + Trans(13, 49, 19, 615), + Trans(13, 50, 19, 615), + Trans(13, 58, 19, 615), + Trans(13, 59, 19, 615), + Trans(13, 60, 19, 615), + Trans(13, 61, 19, 615), + Trans(13, 64, 19, 615), + Trans(13, 65, 19, 615), + Trans(13, 66, 19, 615), + Trans(13, 70, 19, 615), + Trans(13, 71, 19, 615), + Trans(13, 72, 19, 615), + Trans(13, 73, 19, 615), + Trans(13, 77, 19, 615), + Trans(13, 78, 19, 615), + Trans(13, 80, 19, 615), + Trans(13, 81, 19, 615), + Trans(13, 84, 19, 615), + Trans(13, 85, 19, 615), + Trans(13, 89, 19, 615), + Trans(13, 91, 19, 615), + Trans(13, 104, 19, 615), + Trans(13, 106, 19, 615), + Trans(13, 109, 19, 615), + Trans(13, 110, 19, 615), + Trans(14, 5, 19, 615), + Trans(14, 39, 19, 615), + Trans(15, 5, 19, 615), + Trans(15, 39, 19, 615), + Trans(15, 41, 19, 615), + Trans(16, 5, 19, 615), + Trans(16, 47, 19, 615), + Trans(16, 111, 19, 615), + Trans(16, 112, 19, 615), + Trans(17, 5, 19, 615), + Trans(17, 6, 19, 615), + Trans(17, 7, 19, 615), + Trans(17, 8, 19, 615), + Trans(17, 9, 19, 615), + Trans(17, 10, 19, 615), + Trans(17, 11, 19, 615), + Trans(17, 18, 19, 615), + Trans(17, 24, 19, 615), + Trans(17, 25, 19, 615), + Trans(17, 26, 19, 615), + Trans(17, 27, 19, 615), + Trans(17, 38, 19, 615), + Trans(17, 39, 19, 615), + Trans(17, 41, 19, 615), + Trans(17, 53, 19, 615), + Trans(17, 70, 19, 615), + Trans(17, 76, 19, 615), + Trans(17, 83, 19, 615), + Trans(17, 86, 19, 615), + Trans(17, 88, 19, 615), + Trans(17, 111, 19, 615), + Trans(17, 112, 19, 615), + Trans(18, 5, 19, 615), + Trans(18, 111, 19, 615), + Trans(18, 112, 19, 615), + Trans(20, 5, 19, 615), + Trans(20, 30, 19, 615), + Trans(20, 31, 19, 615), + Trans(20, 36, 19, 615), + Trans(20, 39, 19, 615), + Trans(20, 43, 19, 615), + Trans(20, 48, 19, 615), + Trans(20, 49, 19, 615), + Trans(20, 50, 19, 615), + Trans(20, 60, 19, 615), + Trans(20, 61, 19, 615), + Trans(20, 64, 19, 615), + Trans(20, 65, 19, 615), + Trans(20, 66, 19, 615), + Trans(20, 70, 19, 615), + Trans(20, 71, 19, 615), + Trans(20, 73, 19, 615), + Trans(20, 77, 19, 615), + Trans(20, 80, 19, 615), + Trans(20, 81, 19, 615), + Trans(20, 84, 19, 615), + Trans(20, 104, 19, 615), + Trans(20, 106, 19, 615), + Trans(20, 109, 19, 615), + Trans(20, 110, 19, 615), ], k: 3, }, - /* 150 - "EnumListOpt" */ + /* 149 - "EnumListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 619), Trans(0, 43, 2, 620)], + transitions: &[Trans(0, 31, 1, 616), Trans(0, 43, 2, 617)], k: 1, }, - /* 151 - "EnumTerm" */ + /* 150 - "EnumTerm" */ LookaheadDFA { prod0: 55, transitions: &[], k: 0, }, - /* 152 - "EnumToken" */ + /* 151 - "EnumToken" */ LookaheadDFA { prod0: 167, transitions: &[], k: 0, }, - /* 153 - "Equ" */ + /* 152 - "Equ" */ LookaheadDFA { prod0: 247, transitions: &[], k: 0, }, - /* 154 - "EquTerm" */ + /* 153 - "EquTerm" */ LookaheadDFA { prod0: 30, transitions: &[], k: 0, }, - /* 155 - "EquToken" */ + /* 154 - "EquToken" */ LookaheadDFA { prod0: 139, transitions: &[], k: 0, }, - /* 156 - "Exponent" */ + /* 155 - "Exponent" */ LookaheadDFA { prod0: 222, transitions: &[], k: 0, }, - /* 157 - "ExponentTerm" */ + /* 156 - "ExponentTerm" */ LookaheadDFA { prod0: 2, transitions: &[], k: 0, }, - /* 158 - "ExponentToken" */ + /* 157 - "ExponentToken" */ LookaheadDFA { prod0: 114, transitions: &[], k: 0, }, - /* 159 - "Export" */ + /* 158 - "Export" */ LookaheadDFA { prod0: 277, transitions: &[], k: 0, }, - /* 160 - "ExportDeclaration" */ + /* 159 - "ExportDeclaration" */ LookaheadDFA { - prod0: 764, + prod0: 761, transitions: &[], k: 0, }, - /* 161 - "ExportDeclarationGroup" */ + /* 160 - "ExportDeclarationGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 47, 1, 765), - Trans(0, 111, 2, 766), - Trans(0, 112, 2, 766), + Trans(0, 47, 1, 762), + Trans(0, 111, 2, 763), + Trans(0, 112, 2, 763), ], k: 1, }, - /* 162 - "ExportDeclarationOpt" */ + /* 161 - "ExportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 767), Trans(0, 46, 2, 768)], + transitions: &[Trans(0, 29, 1, 764), Trans(0, 46, 2, 765)], k: 1, }, - /* 163 - "ExportTerm" */ + /* 162 - "ExportTerm" */ LookaheadDFA { prod0: 56, transitions: &[], k: 0, }, - /* 164 - "ExportToken" */ + /* 163 - "ExportToken" */ LookaheadDFA { prod0: 168, transitions: &[], k: 0, }, - /* 165 - "Expression" */ + /* 164 - "Expression" */ LookaheadDFA { prod0: 358, transitions: &[], k: 0, }, - /* 166 - "Expression01" */ + /* 165 - "Expression01" */ LookaheadDFA { prod0: 361, transitions: &[], k: 0, }, - /* 167 - "Expression01List" */ + /* 166 - "Expression01List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4491,13 +4484,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 168 - "Expression02" */ + /* 167 - "Expression02" */ LookaheadDFA { prod0: 364, transitions: &[], k: 0, }, - /* 169 - "Expression02List" */ + /* 168 - "Expression02List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4521,13 +4514,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 170 - "Expression03" */ + /* 169 - "Expression03" */ LookaheadDFA { prod0: 367, transitions: &[], k: 0, }, - /* 171 - "Expression03List" */ + /* 170 - "Expression03List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4552,13 +4545,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 172 - "Expression04" */ + /* 171 - "Expression04" */ LookaheadDFA { prod0: 370, transitions: &[], k: 0, }, - /* 173 - "Expression04List" */ + /* 172 - "Expression04List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4584,13 +4577,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 174 - "Expression05" */ + /* 173 - "Expression05" */ LookaheadDFA { prod0: 373, transitions: &[], k: 0, }, - /* 175 - "Expression05List" */ + /* 174 - "Expression05List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4617,13 +4610,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 176 - "Expression06" */ + /* 175 - "Expression06" */ LookaheadDFA { prod0: 376, transitions: &[], k: 0, }, - /* 177 - "Expression06List" */ + /* 176 - "Expression06List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4651,13 +4644,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 178 - "Expression07" */ + /* 177 - "Expression07" */ LookaheadDFA { prod0: 379, transitions: &[], k: 0, }, - /* 179 - "Expression07List" */ + /* 178 - "Expression07List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4686,13 +4679,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 180 - "Expression08" */ + /* 179 - "Expression08" */ LookaheadDFA { prod0: 382, transitions: &[], k: 0, }, - /* 181 - "Expression08List" */ + /* 180 - "Expression08List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4722,13 +4715,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 182 - "Expression09" */ + /* 181 - "Expression09" */ LookaheadDFA { prod0: 385, transitions: &[], k: 0, }, - /* 183 - "Expression09List" */ + /* 182 - "Expression09List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4760,19 +4753,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 184 - "Expression09ListGroup" */ + /* 183 - "Expression09ListGroup" */ LookaheadDFA { prod0: -1, transitions: &[Trans(0, 17, 1, 387), Trans(0, 47, 2, 388)], k: 1, }, - /* 185 - "Expression10" */ + /* 184 - "Expression10" */ LookaheadDFA { prod0: 390, transitions: &[], k: 0, }, - /* 186 - "Expression10List" */ + /* 185 - "Expression10List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4805,13 +4798,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 187 - "Expression11" */ + /* 186 - "Expression11" */ LookaheadDFA { prod0: 393, transitions: &[], k: 0, }, - /* 188 - "Expression11List" */ + /* 187 - "Expression11List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4845,13 +4838,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 189 - "Expression12" */ + /* 188 - "Expression12" */ LookaheadDFA { prod0: 396, transitions: &[], k: 0, }, - /* 190 - "Expression12List" */ + /* 189 - "Expression12List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4880,7 +4873,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 191 - "Expression12ListGroup" */ + /* 190 - "Expression12ListGroup" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4892,13 +4885,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 192 - "ExpressionIdentifier" */ + /* 191 - "ExpressionIdentifier" */ LookaheadDFA { prod0: 351, transitions: &[], k: 0, }, - /* 193 - "ExpressionIdentifierList" */ + /* 192 - "ExpressionIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4937,7 +4930,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 194 - "ExpressionIdentifierList0" */ + /* 193 - "ExpressionIdentifierList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4975,7 +4968,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 195 - "ExpressionIdentifierList0List" */ + /* 194 - "ExpressionIdentifierList0List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5014,7 +5007,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 196 - "ExpressionList" */ + /* 195 - "ExpressionList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5036,43 +5029,43 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 197 - "F32" */ + /* 196 - "F32" */ LookaheadDFA { prod0: 278, transitions: &[], k: 0, }, - /* 198 - "F32Term" */ + /* 197 - "F32Term" */ LookaheadDFA { prod0: 57, transitions: &[], k: 0, }, - /* 199 - "F32Token" */ + /* 198 - "F32Token" */ LookaheadDFA { prod0: 169, transitions: &[], k: 0, }, - /* 200 - "F64" */ + /* 199 - "F64" */ LookaheadDFA { prod0: 279, transitions: &[], k: 0, }, - /* 201 - "F64Term" */ + /* 200 - "F64Term" */ LookaheadDFA { prod0: 58, transitions: &[], k: 0, }, - /* 202 - "F64Token" */ + /* 201 - "F64Token" */ LookaheadDFA { prod0: 170, transitions: &[], k: 0, }, - /* 203 - "Factor" */ + /* 202 - "Factor" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5096,13 +5089,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 204 - "FactorGroup" */ + /* 203 - "FactorGroup" */ LookaheadDFA { prod0: -1, transitions: &[Trans(0, 83, 2, 414), Trans(0, 86, 1, 413)], k: 1, }, - /* 205 - "FactorOpt" */ + /* 204 - "FactorOpt" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5137,139 +5130,139 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 206 - "Final" */ + /* 205 - "Final" */ LookaheadDFA { prod0: 280, transitions: &[], k: 0, }, - /* 207 - "FinalDeclaration" */ + /* 206 - "FinalDeclaration" */ LookaheadDFA { - prod0: 648, + prod0: 645, transitions: &[], k: 0, }, - /* 208 - "FinalDeclarationList" */ + /* 207 - "FinalDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 650), - Trans(0, 53, 1, 649), - Trans(0, 65, 1, 649), - Trans(0, 69, 1, 649), - Trans(0, 70, 1, 649), - Trans(0, 80, 1, 649), - Trans(0, 99, 1, 649), - Trans(0, 100, 1, 649), - Trans(0, 111, 1, 649), - Trans(0, 112, 1, 649), + Trans(0, 43, 2, 647), + Trans(0, 53, 1, 646), + Trans(0, 65, 1, 646), + Trans(0, 69, 1, 646), + Trans(0, 70, 1, 646), + Trans(0, 80, 1, 646), + Trans(0, 99, 1, 646), + Trans(0, 100, 1, 646), + Trans(0, 111, 1, 646), + Trans(0, 112, 1, 646), ], k: 1, }, - /* 209 - "FinalTerm" */ + /* 208 - "FinalTerm" */ LookaheadDFA { prod0: 59, transitions: &[], k: 0, }, - /* 210 - "FinalToken" */ + /* 209 - "FinalToken" */ LookaheadDFA { prod0: 171, transitions: &[], k: 0, }, - /* 211 - "FixedPoint" */ + /* 210 - "FixedPoint" */ LookaheadDFA { prod0: 223, transitions: &[], k: 0, }, - /* 212 - "FixedPointTerm" */ + /* 211 - "FixedPointTerm" */ LookaheadDFA { prod0: 3, transitions: &[], k: 0, }, - /* 213 - "FixedPointToken" */ + /* 212 - "FixedPointToken" */ LookaheadDFA { prod0: 115, transitions: &[], k: 0, }, - /* 214 - "FixedType" */ + /* 213 - "FixedType" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 62, 5, 490), - Trans(0, 63, 6, 491), - Trans(0, 67, 3, 488), - Trans(0, 68, 4, 489), - Trans(0, 103, 7, 492), - Trans(0, 107, 1, 486), - Trans(0, 108, 2, 487), + Trans(0, 62, 5, 486), + Trans(0, 63, 6, 487), + Trans(0, 67, 3, 484), + Trans(0, 68, 4, 485), + Trans(0, 103, 7, 488), + Trans(0, 107, 1, 482), + Trans(0, 108, 2, 483), ], k: 1, }, - /* 215 - "For" */ + /* 214 - "For" */ LookaheadDFA { prod0: 281, transitions: &[], k: 0, }, - /* 216 - "ForStatement" */ + /* 215 - "ForStatement" */ LookaheadDFA { - prod0: 556, + prod0: 552, transitions: &[], k: 0, }, - /* 217 - "ForStatementList" */ + /* 216 - "ForStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 558), - Trans(0, 53, 1, 557), - Trans(0, 65, 1, 557), - Trans(0, 69, 1, 557), - Trans(0, 70, 1, 557), - Trans(0, 80, 1, 557), - Trans(0, 99, 1, 557), - Trans(0, 100, 1, 557), - Trans(0, 111, 1, 557), - Trans(0, 112, 1, 557), + Trans(0, 43, 2, 554), + Trans(0, 53, 1, 553), + Trans(0, 65, 1, 553), + Trans(0, 69, 1, 553), + Trans(0, 70, 1, 553), + Trans(0, 80, 1, 553), + Trans(0, 99, 1, 553), + Trans(0, 100, 1, 553), + Trans(0, 111, 1, 553), + Trans(0, 112, 1, 553), ], k: 1, }, - /* 218 - "ForStatementOpt" */ + /* 217 - "ForStatementOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 2, 560), Trans(0, 102, 1, 559)], + transitions: &[Trans(0, 39, 2, 556), Trans(0, 102, 1, 555)], k: 1, }, - /* 219 - "ForTerm" */ + /* 218 - "ForTerm" */ LookaheadDFA { prod0: 60, transitions: &[], k: 0, }, - /* 220 - "ForToken" */ + /* 219 - "ForToken" */ LookaheadDFA { prod0: 172, transitions: &[], k: 0, }, - /* 221 - "Function" */ + /* 220 - "Function" */ LookaheadDFA { prod0: 282, transitions: &[], k: 0, }, - /* 222 - "FunctionCall" */ + /* 221 - "FunctionCall" */ LookaheadDFA { prod0: 419, transitions: &[], k: 0, }, - /* 223 - "FunctionCallOpt" */ + /* 222 - "FunctionCallOpt" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5299,111 +5292,111 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 224 - "FunctionDeclaration" */ + /* 223 - "FunctionDeclaration" */ LookaheadDFA { - prod0: 750, + prod0: 747, transitions: &[], k: 0, }, - /* 225 - "FunctionDeclarationList" */ + /* 224 - "FunctionDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 752), - Trans(0, 53, 1, 751), - Trans(0, 65, 1, 751), - Trans(0, 69, 1, 751), - Trans(0, 70, 1, 751), - Trans(0, 80, 1, 751), - Trans(0, 99, 1, 751), - Trans(0, 100, 1, 751), - Trans(0, 110, 1, 751), - Trans(0, 111, 1, 751), - Trans(0, 112, 1, 751), + Trans(0, 43, 2, 749), + Trans(0, 53, 1, 748), + Trans(0, 65, 1, 748), + Trans(0, 69, 1, 748), + Trans(0, 70, 1, 748), + Trans(0, 80, 1, 748), + Trans(0, 99, 1, 748), + Trans(0, 100, 1, 748), + Trans(0, 110, 1, 748), + Trans(0, 111, 1, 748), + Trans(0, 112, 1, 748), ], k: 1, }, - /* 226 - "FunctionDeclarationOpt" */ + /* 225 - "FunctionDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 758), - Trans(0, 28, 1, 757), - Trans(0, 39, 2, 758), - Trans(0, 41, 2, 758), + Trans(0, 13, 2, 755), + Trans(0, 28, 1, 754), + Trans(0, 39, 2, 755), + Trans(0, 41, 2, 755), ], k: 1, }, - /* 227 - "FunctionDeclarationOpt0" */ + /* 226 - "FunctionDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 756), - Trans(0, 39, 2, 756), - Trans(0, 41, 1, 755), + Trans(0, 13, 2, 753), + Trans(0, 39, 2, 753), + Trans(0, 41, 1, 752), ], k: 1, }, - /* 228 - "FunctionDeclarationOpt1" */ + /* 227 - "FunctionDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 13, 1, 753), Trans(0, 39, 2, 754)], + transitions: &[Trans(0, 13, 1, 750), Trans(0, 39, 2, 751)], k: 1, }, - /* 229 - "FunctionItem" */ + /* 228 - "FunctionItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 2, 760), - Trans(0, 65, 2, 760), - Trans(0, 69, 2, 760), - Trans(0, 70, 2, 760), - Trans(0, 80, 2, 760), - Trans(0, 99, 2, 760), - Trans(0, 100, 2, 760), - Trans(0, 110, 1, 759), - Trans(0, 111, 2, 760), - Trans(0, 112, 2, 760), + Trans(0, 53, 2, 757), + Trans(0, 65, 2, 757), + Trans(0, 69, 2, 757), + Trans(0, 70, 2, 757), + Trans(0, 80, 2, 757), + Trans(0, 99, 2, 757), + Trans(0, 100, 2, 757), + Trans(0, 110, 1, 756), + Trans(0, 111, 2, 757), + Trans(0, 112, 2, 757), ], k: 1, }, - /* 230 - "FunctionTerm" */ + /* 229 - "FunctionTerm" */ LookaheadDFA { prod0: 61, transitions: &[], k: 0, }, - /* 231 - "FunctionToken" */ + /* 230 - "FunctionToken" */ LookaheadDFA { prod0: 173, transitions: &[], k: 0, }, - /* 232 - "Hash" */ + /* 231 - "Hash" */ LookaheadDFA { prod0: 248, transitions: &[], k: 0, }, - /* 233 - "HashTerm" */ + /* 232 - "HashTerm" */ LookaheadDFA { prod0: 31, transitions: &[], k: 0, }, - /* 234 - "HashToken" */ + /* 233 - "HashToken" */ LookaheadDFA { prod0: 140, transitions: &[], k: 0, }, - /* 235 - "HierarchicalIdentifier" */ + /* 234 - "HierarchicalIdentifier" */ LookaheadDFA { prod0: 335, transitions: &[], k: 0, }, - /* 236 - "HierarchicalIdentifierList" */ + /* 235 - "HierarchicalIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5415,7 +5408,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 237 - "HierarchicalIdentifierList0" */ + /* 236 - "HierarchicalIdentifierList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5426,7 +5419,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 238 - "HierarchicalIdentifierList0List" */ + /* 237 - "HierarchicalIdentifierList0List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5438,89 +5431,89 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 239 - "I32" */ + /* 238 - "I32" */ LookaheadDFA { prod0: 283, transitions: &[], k: 0, }, - /* 240 - "I32Term" */ + /* 239 - "I32Term" */ LookaheadDFA { prod0: 62, transitions: &[], k: 0, }, - /* 241 - "I32Token" */ + /* 240 - "I32Token" */ LookaheadDFA { prod0: 174, transitions: &[], k: 0, }, - /* 242 - "I64" */ + /* 241 - "I64" */ LookaheadDFA { prod0: 284, transitions: &[], k: 0, }, - /* 243 - "I64Term" */ + /* 242 - "I64Term" */ LookaheadDFA { prod0: 63, transitions: &[], k: 0, }, - /* 244 - "I64Token" */ + /* 243 - "I64Token" */ LookaheadDFA { prod0: 175, transitions: &[], k: 0, }, - /* 245 - "Identifier" */ + /* 244 - "Identifier" */ LookaheadDFA { prod0: 327, transitions: &[], k: 0, }, - /* 246 - "IdentifierStatement" */ + /* 245 - "IdentifierStatement" */ LookaheadDFA { - prod0: 526, + prod0: 522, transitions: &[], k: 0, }, - /* 247 - "IdentifierStatementGroup" */ + /* 246 - "IdentifierStatementGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 15, 2, 528), - Trans(0, 35, 2, 528), - Trans(0, 41, 1, 527), + Trans(0, 15, 2, 524), + Trans(0, 35, 2, 524), + Trans(0, 41, 1, 523), ], k: 1, }, - /* 248 - "IdentifierTerm" */ + /* 247 - "IdentifierTerm" */ LookaheadDFA { prod0: 107, transitions: &[], k: 0, }, - /* 249 - "IdentifierToken" */ + /* 248 - "IdentifierToken" */ LookaheadDFA { prod0: 219, transitions: &[], k: 0, }, - /* 250 - "If" */ + /* 249 - "If" */ LookaheadDFA { prod0: 285, transitions: &[], k: 0, }, - /* 251 - "IfExpression" */ + /* 250 - "IfExpression" */ LookaheadDFA { prod0: 446, transitions: &[], k: 0, }, - /* 252 - "IfExpressionList" */ + /* 251 - "IfExpressionList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5579,36 +5572,36 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 3, }, - /* 253 - "IfReset" */ + /* 252 - "IfReset" */ LookaheadDFA { prod0: 286, transitions: &[], k: 0, }, - /* 254 - "IfResetStatement" */ + /* 253 - "IfResetStatement" */ LookaheadDFA { - prod0: 543, + prod0: 539, transitions: &[], k: 0, }, - /* 255 - "IfResetStatementList" */ + /* 254 - "IfResetStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 549), - Trans(0, 53, 1, 548), - Trans(0, 65, 1, 548), - Trans(0, 69, 1, 548), - Trans(0, 70, 1, 548), - Trans(0, 80, 1, 548), - Trans(0, 99, 1, 548), - Trans(0, 100, 1, 548), - Trans(0, 111, 1, 548), - Trans(0, 112, 1, 548), + Trans(0, 43, 2, 545), + Trans(0, 53, 1, 544), + Trans(0, 65, 1, 544), + Trans(0, 69, 1, 544), + Trans(0, 70, 1, 544), + Trans(0, 80, 1, 544), + Trans(0, 99, 1, 544), + Trans(0, 100, 1, 544), + Trans(0, 111, 1, 544), + Trans(0, 112, 1, 544), ], k: 1, }, - /* 256 - "IfResetStatementList0" */ + /* 255 - "IfResetStatementList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5646,31 +5639,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 5, 4, -1), Trans(1, 39, 60, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 544), - Trans(2, 6, 3, 544), - Trans(2, 7, 3, 544), - Trans(2, 8, 3, 544), - Trans(2, 9, 3, 544), - Trans(2, 10, 3, 544), - Trans(2, 11, 3, 544), - Trans(2, 18, 3, 544), - Trans(2, 24, 3, 544), - Trans(2, 25, 3, 544), - Trans(2, 26, 3, 544), - Trans(2, 27, 3, 544), - Trans(2, 38, 3, 544), - Trans(2, 39, 3, 544), - Trans(2, 41, 3, 544), - Trans(2, 53, 3, 544), - Trans(2, 70, 3, 544), - Trans(2, 76, 3, 544), - Trans(2, 83, 3, 544), - Trans(2, 86, 3, 544), - Trans(2, 88, 3, 544), - Trans(2, 111, 3, 544), - Trans(2, 112, 3, 544), - Trans(4, 39, 36, 547), - Trans(4, 70, 3, 544), + Trans(2, 5, 3, 540), + Trans(2, 6, 3, 540), + Trans(2, 7, 3, 540), + Trans(2, 8, 3, 540), + Trans(2, 9, 3, 540), + Trans(2, 10, 3, 540), + Trans(2, 11, 3, 540), + Trans(2, 18, 3, 540), + Trans(2, 24, 3, 540), + Trans(2, 25, 3, 540), + Trans(2, 26, 3, 540), + Trans(2, 27, 3, 540), + Trans(2, 38, 3, 540), + Trans(2, 39, 3, 540), + Trans(2, 41, 3, 540), + Trans(2, 53, 3, 540), + Trans(2, 70, 3, 540), + Trans(2, 76, 3, 540), + Trans(2, 83, 3, 540), + Trans(2, 86, 3, 540), + Trans(2, 88, 3, 540), + Trans(2, 111, 3, 540), + Trans(2, 112, 3, 540), + Trans(4, 39, 36, 543), + Trans(4, 70, 3, 540), Trans(5, 5, 58, -1), Trans(5, 16, 21, -1), Trans(5, 17, 21, -1), @@ -5928,833 +5921,833 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(18, 41, 55, -1), Trans(18, 47, 21, -1), Trans(18, 51, 32, -1), - Trans(19, 6, 36, 547), - Trans(19, 7, 36, 547), - Trans(19, 8, 36, 547), - Trans(19, 9, 36, 547), - Trans(19, 10, 36, 547), - Trans(19, 11, 36, 547), - Trans(19, 18, 36, 547), - Trans(19, 24, 36, 547), - Trans(19, 25, 36, 547), - Trans(19, 26, 36, 547), - Trans(19, 27, 36, 547), - Trans(19, 30, 36, 547), - Trans(19, 36, 36, 547), - Trans(19, 38, 36, 547), - Trans(19, 39, 36, 547), - Trans(19, 41, 36, 547), - Trans(19, 43, 36, 547), - Trans(19, 48, 36, 547), - Trans(19, 49, 36, 547), - Trans(19, 50, 36, 547), - Trans(19, 53, 36, 547), - Trans(19, 57, 36, 547), - Trans(19, 58, 36, 547), - Trans(19, 60, 36, 547), - Trans(19, 61, 36, 547), - Trans(19, 64, 36, 547), - Trans(19, 65, 36, 547), - Trans(19, 66, 36, 547), - Trans(19, 69, 36, 547), - Trans(19, 70, 36, 547), - Trans(19, 71, 36, 547), - Trans(19, 73, 36, 547), - Trans(19, 76, 36, 547), - Trans(19, 77, 36, 547), - Trans(19, 80, 36, 547), - Trans(19, 81, 36, 547), - Trans(19, 83, 36, 547), - Trans(19, 84, 36, 547), - Trans(19, 86, 36, 547), - Trans(19, 88, 36, 547), - Trans(19, 99, 36, 547), - Trans(19, 100, 36, 547), - Trans(19, 104, 36, 547), - Trans(19, 106, 36, 547), - Trans(19, 109, 36, 547), - Trans(19, 110, 36, 547), - Trans(19, 111, 36, 547), - Trans(19, 112, 36, 547), - Trans(20, 5, 36, 547), - Trans(20, 16, 36, 547), - Trans(20, 17, 36, 547), - Trans(20, 18, 36, 547), - Trans(20, 19, 36, 547), - Trans(20, 20, 36, 547), - Trans(20, 21, 36, 547), - Trans(20, 22, 36, 547), - Trans(20, 23, 36, 547), - Trans(20, 24, 36, 547), - Trans(20, 25, 36, 547), - Trans(20, 26, 36, 547), - Trans(20, 30, 36, 547), - Trans(20, 31, 36, 547), - Trans(20, 47, 36, 547), - Trans(20, 51, 36, 547), - Trans(21, 5, 36, 547), - Trans(21, 6, 36, 547), - Trans(21, 7, 36, 547), - Trans(21, 8, 36, 547), - Trans(21, 9, 36, 547), - Trans(21, 10, 36, 547), - Trans(21, 11, 36, 547), - Trans(21, 18, 36, 547), - Trans(21, 24, 36, 547), - Trans(21, 25, 36, 547), - Trans(21, 26, 36, 547), - Trans(21, 27, 36, 547), - Trans(21, 38, 36, 547), - Trans(21, 39, 36, 547), - Trans(21, 41, 36, 547), - Trans(21, 53, 36, 547), - Trans(21, 70, 36, 547), - Trans(21, 76, 36, 547), - Trans(21, 83, 36, 547), - Trans(21, 86, 36, 547), - Trans(21, 88, 36, 547), - Trans(21, 111, 36, 547), - Trans(21, 112, 36, 547), - Trans(22, 5, 36, 547), - Trans(22, 112, 36, 547), - Trans(23, 5, 36, 547), - Trans(23, 40, 36, 547), - Trans(24, 5, 36, 547), - Trans(24, 6, 36, 547), - Trans(24, 7, 36, 547), - Trans(24, 8, 36, 547), - Trans(24, 9, 36, 547), - Trans(24, 10, 36, 547), - Trans(24, 11, 36, 547), - Trans(24, 18, 36, 547), - Trans(24, 24, 36, 547), - Trans(24, 25, 36, 547), - Trans(24, 26, 36, 547), - Trans(24, 27, 36, 547), - Trans(24, 38, 36, 547), - Trans(24, 39, 36, 547), - Trans(24, 41, 36, 547), - Trans(24, 53, 36, 547), - Trans(24, 57, 36, 547), - Trans(24, 70, 36, 547), - Trans(24, 76, 36, 547), - Trans(24, 83, 36, 547), - Trans(24, 86, 36, 547), - Trans(24, 88, 36, 547), - Trans(24, 111, 36, 547), - Trans(24, 112, 36, 547), - Trans(25, 5, 36, 547), - Trans(25, 6, 36, 547), - Trans(25, 7, 36, 547), - Trans(25, 8, 36, 547), - Trans(25, 9, 36, 547), - Trans(25, 10, 36, 547), - Trans(25, 11, 36, 547), - Trans(25, 18, 36, 547), - Trans(25, 24, 36, 547), - Trans(25, 25, 36, 547), - Trans(25, 26, 36, 547), - Trans(25, 27, 36, 547), - Trans(25, 30, 36, 547), - Trans(25, 36, 36, 547), - Trans(25, 38, 36, 547), - Trans(25, 39, 36, 547), - Trans(25, 41, 36, 547), - Trans(25, 43, 36, 547), - Trans(25, 48, 36, 547), - Trans(25, 49, 36, 547), - Trans(25, 50, 36, 547), - Trans(25, 53, 36, 547), - Trans(25, 60, 36, 547), - Trans(25, 61, 36, 547), - Trans(25, 64, 36, 547), - Trans(25, 65, 36, 547), - Trans(25, 66, 36, 547), - Trans(25, 70, 36, 547), - Trans(25, 71, 36, 547), - Trans(25, 73, 36, 547), - Trans(25, 76, 36, 547), - Trans(25, 77, 36, 547), - Trans(25, 80, 36, 547), - Trans(25, 81, 36, 547), - Trans(25, 83, 36, 547), - Trans(25, 84, 36, 547), - Trans(25, 86, 36, 547), - Trans(25, 88, 36, 547), - Trans(25, 104, 36, 547), - Trans(25, 106, 36, 547), - Trans(25, 109, 36, 547), - Trans(25, 110, 36, 547), - Trans(25, 111, 36, 547), - Trans(25, 112, 36, 547), - Trans(26, 0, 36, 547), - Trans(26, 5, 36, 547), - Trans(26, 6, 36, 547), - Trans(26, 7, 36, 547), - Trans(26, 8, 36, 547), - Trans(26, 9, 36, 547), - Trans(26, 10, 36, 547), - Trans(26, 11, 36, 547), - Trans(26, 18, 36, 547), - Trans(26, 24, 36, 547), - Trans(26, 25, 36, 547), - Trans(26, 26, 36, 547), - Trans(26, 27, 36, 547), - Trans(26, 30, 36, 547), - Trans(26, 36, 36, 547), - Trans(26, 38, 36, 547), - Trans(26, 39, 36, 547), - Trans(26, 41, 36, 547), - Trans(26, 43, 36, 547), - Trans(26, 48, 36, 547), - Trans(26, 49, 36, 547), - Trans(26, 50, 36, 547), - Trans(26, 53, 36, 547), - Trans(26, 57, 36, 547), - Trans(26, 58, 36, 547), - Trans(26, 59, 36, 547), - Trans(26, 60, 36, 547), - Trans(26, 61, 36, 547), - Trans(26, 64, 36, 547), - Trans(26, 65, 36, 547), - Trans(26, 66, 36, 547), - Trans(26, 69, 36, 547), - Trans(26, 70, 36, 547), - Trans(26, 71, 36, 547), - Trans(26, 72, 36, 547), - Trans(26, 73, 36, 547), - Trans(26, 76, 36, 547), - Trans(26, 77, 36, 547), - Trans(26, 78, 36, 547), - Trans(26, 80, 36, 547), - Trans(26, 81, 36, 547), - Trans(26, 83, 36, 547), - Trans(26, 84, 36, 547), - Trans(26, 85, 36, 547), - Trans(26, 86, 36, 547), - Trans(26, 88, 36, 547), - Trans(26, 89, 36, 547), - Trans(26, 91, 36, 547), - Trans(26, 99, 36, 547), - Trans(26, 100, 36, 547), - Trans(26, 104, 36, 547), - Trans(26, 106, 36, 547), - Trans(26, 109, 36, 547), - Trans(26, 110, 36, 547), - Trans(26, 111, 36, 547), - Trans(26, 112, 36, 547), - Trans(27, 5, 36, 547), - Trans(27, 39, 36, 547), - Trans(28, 5, 36, 547), - Trans(28, 39, 36, 547), - Trans(28, 41, 36, 547), - Trans(29, 5, 36, 547), - Trans(29, 30, 36, 547), - Trans(30, 5, 36, 547), - Trans(30, 39, 36, 547), - Trans(30, 70, 36, 547), - Trans(31, 5, 36, 547), - Trans(31, 47, 36, 547), - Trans(31, 111, 36, 547), - Trans(31, 112, 36, 547), - Trans(32, 5, 36, 547), - Trans(32, 111, 36, 547), - Trans(32, 112, 36, 547), - Trans(33, 5, 36, 547), - Trans(33, 46, 36, 547), - Trans(34, 5, 36, 547), - Trans(34, 15, 36, 547), - Trans(34, 16, 36, 547), - Trans(34, 17, 36, 547), - Trans(34, 18, 36, 547), - Trans(34, 19, 36, 547), - Trans(34, 20, 36, 547), - Trans(34, 21, 36, 547), - Trans(34, 22, 36, 547), - Trans(34, 23, 36, 547), - Trans(34, 24, 36, 547), - Trans(34, 25, 36, 547), - Trans(34, 26, 36, 547), - Trans(34, 29, 36, 547), - Trans(34, 30, 36, 547), - Trans(34, 31, 36, 547), - Trans(34, 34, 36, 547), - Trans(34, 35, 36, 547), - Trans(34, 40, 36, 547), - Trans(34, 41, 36, 547), - Trans(34, 47, 36, 547), - Trans(34, 51, 36, 547), - Trans(35, 5, 36, 547), - Trans(35, 15, 36, 547), - Trans(35, 16, 36, 547), - Trans(35, 17, 36, 547), - Trans(35, 18, 36, 547), - Trans(35, 19, 36, 547), - Trans(35, 20, 36, 547), - Trans(35, 21, 36, 547), - Trans(35, 22, 36, 547), - Trans(35, 23, 36, 547), - Trans(35, 24, 36, 547), - Trans(35, 25, 36, 547), - Trans(35, 26, 36, 547), - Trans(35, 28, 36, 547), - Trans(35, 29, 36, 547), - Trans(35, 30, 36, 547), - Trans(35, 31, 36, 547), - Trans(35, 34, 36, 547), - Trans(35, 35, 36, 547), - Trans(35, 40, 36, 547), - Trans(35, 41, 36, 547), - Trans(35, 47, 36, 547), - Trans(35, 51, 36, 547), - Trans(37, 6, 36, 547), - Trans(37, 7, 36, 547), - Trans(37, 8, 36, 547), - Trans(37, 9, 36, 547), - Trans(37, 10, 36, 547), - Trans(37, 11, 36, 547), - Trans(37, 18, 36, 547), - Trans(37, 24, 36, 547), - Trans(37, 25, 36, 547), - Trans(37, 26, 36, 547), - Trans(37, 27, 36, 547), - Trans(37, 38, 36, 547), - Trans(37, 39, 36, 547), - Trans(37, 41, 36, 547), - Trans(37, 53, 36, 547), - Trans(37, 70, 36, 547), - Trans(37, 76, 36, 547), - Trans(37, 83, 36, 547), - Trans(37, 86, 36, 547), - Trans(37, 88, 36, 547), - Trans(37, 111, 36, 547), - Trans(37, 112, 36, 547), - Trans(38, 5, 36, 547), - Trans(38, 16, 36, 547), - Trans(38, 17, 36, 547), - Trans(38, 18, 36, 547), - Trans(38, 19, 36, 547), - Trans(38, 20, 36, 547), - Trans(38, 21, 36, 547), - Trans(38, 22, 36, 547), - Trans(38, 23, 36, 547), - Trans(38, 24, 36, 547), - Trans(38, 25, 36, 547), - Trans(38, 26, 36, 547), - Trans(38, 29, 36, 547), - Trans(38, 30, 36, 547), - Trans(38, 31, 36, 547), - Trans(38, 34, 36, 547), - Trans(38, 40, 36, 547), - Trans(38, 41, 36, 547), - Trans(38, 47, 36, 547), - Trans(38, 51, 36, 547), - Trans(39, 5, 36, 547), - Trans(39, 16, 36, 547), - Trans(39, 17, 36, 547), - Trans(39, 18, 36, 547), - Trans(39, 19, 36, 547), - Trans(39, 20, 36, 547), - Trans(39, 21, 36, 547), - Trans(39, 22, 36, 547), - Trans(39, 23, 36, 547), - Trans(39, 24, 36, 547), - Trans(39, 25, 36, 547), - Trans(39, 26, 36, 547), - Trans(39, 28, 36, 547), - Trans(39, 29, 36, 547), - Trans(39, 30, 36, 547), - Trans(39, 31, 36, 547), - Trans(39, 34, 36, 547), - Trans(39, 40, 36, 547), - Trans(39, 41, 36, 547), - Trans(39, 47, 36, 547), - Trans(39, 51, 36, 547), - Trans(40, 6, 36, 547), - Trans(40, 7, 36, 547), - Trans(40, 8, 36, 547), - Trans(40, 9, 36, 547), - Trans(40, 10, 36, 547), - Trans(40, 11, 36, 547), - Trans(40, 18, 36, 547), - Trans(40, 24, 36, 547), - Trans(40, 25, 36, 547), - Trans(40, 26, 36, 547), - Trans(40, 27, 36, 547), - Trans(40, 38, 36, 547), - Trans(40, 39, 36, 547), - Trans(40, 41, 36, 547), - Trans(40, 53, 36, 547), - Trans(40, 57, 36, 547), - Trans(40, 70, 36, 547), - Trans(40, 76, 36, 547), - Trans(40, 83, 36, 547), - Trans(40, 86, 36, 547), - Trans(40, 88, 36, 547), - Trans(40, 111, 36, 547), - Trans(40, 112, 36, 547), - Trans(41, 5, 36, 547), - Trans(41, 16, 36, 547), - Trans(41, 17, 36, 547), - Trans(41, 18, 36, 547), - Trans(41, 19, 36, 547), - Trans(41, 20, 36, 547), - Trans(41, 21, 36, 547), - Trans(41, 22, 36, 547), - Trans(41, 23, 36, 547), - Trans(41, 24, 36, 547), - Trans(41, 25, 36, 547), - Trans(41, 26, 36, 547), - Trans(41, 31, 36, 547), - Trans(41, 43, 36, 547), - Trans(41, 47, 36, 547), - Trans(41, 51, 36, 547), - Trans(41, 93, 36, 547), - Trans(42, 5, 36, 547), - Trans(42, 16, 36, 547), - Trans(42, 17, 36, 547), - Trans(42, 18, 36, 547), - Trans(42, 19, 36, 547), - Trans(42, 20, 36, 547), - Trans(42, 21, 36, 547), - Trans(42, 22, 36, 547), - Trans(42, 23, 36, 547), - Trans(42, 24, 36, 547), - Trans(42, 25, 36, 547), - Trans(42, 26, 36, 547), - Trans(42, 29, 36, 547), - Trans(42, 31, 36, 547), - Trans(42, 34, 36, 547), - Trans(42, 40, 36, 547), - Trans(42, 41, 36, 547), - Trans(42, 43, 36, 547), - Trans(42, 47, 36, 547), - Trans(42, 51, 36, 547), - Trans(42, 93, 36, 547), - Trans(43, 5, 36, 547), - Trans(43, 16, 36, 547), - Trans(43, 17, 36, 547), - Trans(43, 18, 36, 547), - Trans(43, 19, 36, 547), - Trans(43, 20, 36, 547), - Trans(43, 21, 36, 547), - Trans(43, 22, 36, 547), - Trans(43, 23, 36, 547), - Trans(43, 24, 36, 547), - Trans(43, 25, 36, 547), - Trans(43, 26, 36, 547), - Trans(43, 28, 36, 547), - Trans(43, 29, 36, 547), - Trans(43, 31, 36, 547), - Trans(43, 34, 36, 547), - Trans(43, 40, 36, 547), - Trans(43, 41, 36, 547), - Trans(43, 43, 36, 547), - Trans(43, 47, 36, 547), - Trans(43, 51, 36, 547), - Trans(43, 93, 36, 547), - Trans(44, 5, 36, 547), - Trans(44, 16, 36, 547), - Trans(44, 17, 36, 547), - Trans(44, 18, 36, 547), - Trans(44, 19, 36, 547), - Trans(44, 20, 36, 547), - Trans(44, 21, 36, 547), - Trans(44, 22, 36, 547), - Trans(44, 23, 36, 547), - Trans(44, 24, 36, 547), - Trans(44, 25, 36, 547), - Trans(44, 26, 36, 547), - Trans(44, 45, 36, 547), - Trans(44, 47, 36, 547), - Trans(44, 51, 36, 547), - Trans(45, 5, 36, 547), - Trans(45, 16, 36, 547), - Trans(45, 17, 36, 547), - Trans(45, 18, 36, 547), - Trans(45, 19, 36, 547), - Trans(45, 20, 36, 547), - Trans(45, 21, 36, 547), - Trans(45, 22, 36, 547), - Trans(45, 23, 36, 547), - Trans(45, 24, 36, 547), - Trans(45, 25, 36, 547), - Trans(45, 26, 36, 547), - Trans(45, 29, 36, 547), - Trans(45, 34, 36, 547), - Trans(45, 40, 36, 547), - Trans(45, 41, 36, 547), - Trans(45, 45, 36, 547), - Trans(45, 47, 36, 547), - Trans(45, 51, 36, 547), - Trans(46, 5, 36, 547), - Trans(46, 16, 36, 547), - Trans(46, 17, 36, 547), - Trans(46, 18, 36, 547), - Trans(46, 19, 36, 547), - Trans(46, 20, 36, 547), - Trans(46, 21, 36, 547), - Trans(46, 22, 36, 547), - Trans(46, 23, 36, 547), - Trans(46, 24, 36, 547), - Trans(46, 25, 36, 547), - Trans(46, 26, 36, 547), - Trans(46, 28, 36, 547), - Trans(46, 29, 36, 547), - Trans(46, 34, 36, 547), - Trans(46, 40, 36, 547), - Trans(46, 41, 36, 547), - Trans(46, 45, 36, 547), - Trans(46, 47, 36, 547), - Trans(46, 51, 36, 547), - Trans(47, 5, 36, 547), - Trans(47, 16, 36, 547), - Trans(47, 17, 36, 547), - Trans(47, 18, 36, 547), - Trans(47, 19, 36, 547), - Trans(47, 20, 36, 547), - Trans(47, 21, 36, 547), - Trans(47, 22, 36, 547), - Trans(47, 23, 36, 547), - Trans(47, 24, 36, 547), - Trans(47, 25, 36, 547), - Trans(47, 26, 36, 547), - Trans(47, 39, 36, 547), - Trans(47, 47, 36, 547), - Trans(47, 51, 36, 547), - Trans(48, 5, 36, 547), - Trans(48, 16, 36, 547), - Trans(48, 17, 36, 547), - Trans(48, 18, 36, 547), - Trans(48, 19, 36, 547), - Trans(48, 20, 36, 547), - Trans(48, 21, 36, 547), - Trans(48, 22, 36, 547), - Trans(48, 23, 36, 547), - Trans(48, 24, 36, 547), - Trans(48, 25, 36, 547), - Trans(48, 26, 36, 547), - Trans(48, 29, 36, 547), - Trans(48, 34, 36, 547), - Trans(48, 39, 36, 547), - Trans(48, 40, 36, 547), - Trans(48, 41, 36, 547), - Trans(48, 47, 36, 547), - Trans(48, 51, 36, 547), - Trans(49, 5, 36, 547), - Trans(49, 16, 36, 547), - Trans(49, 17, 36, 547), - Trans(49, 18, 36, 547), - Trans(49, 19, 36, 547), - Trans(49, 20, 36, 547), - Trans(49, 21, 36, 547), - Trans(49, 22, 36, 547), - Trans(49, 23, 36, 547), - Trans(49, 24, 36, 547), - Trans(49, 25, 36, 547), - Trans(49, 26, 36, 547), - Trans(49, 28, 36, 547), - Trans(49, 29, 36, 547), - Trans(49, 34, 36, 547), - Trans(49, 39, 36, 547), - Trans(49, 40, 36, 547), - Trans(49, 41, 36, 547), - Trans(49, 47, 36, 547), - Trans(49, 51, 36, 547), - Trans(50, 5, 36, 547), - Trans(50, 16, 36, 547), - Trans(50, 17, 36, 547), - Trans(50, 18, 36, 547), - Trans(50, 19, 36, 547), - Trans(50, 20, 36, 547), - Trans(50, 21, 36, 547), - Trans(50, 22, 36, 547), - Trans(50, 23, 36, 547), - Trans(50, 24, 36, 547), - Trans(50, 25, 36, 547), - Trans(50, 26, 36, 547), - Trans(50, 46, 36, 547), - Trans(50, 47, 36, 547), - Trans(50, 51, 36, 547), - Trans(51, 5, 36, 547), - Trans(51, 16, 36, 547), - Trans(51, 17, 36, 547), - Trans(51, 18, 36, 547), - Trans(51, 19, 36, 547), - Trans(51, 20, 36, 547), - Trans(51, 21, 36, 547), - Trans(51, 22, 36, 547), - Trans(51, 23, 36, 547), - Trans(51, 24, 36, 547), - Trans(51, 25, 36, 547), - Trans(51, 26, 36, 547), - Trans(51, 29, 36, 547), - Trans(51, 34, 36, 547), - Trans(51, 40, 36, 547), - Trans(51, 41, 36, 547), - Trans(51, 46, 36, 547), - Trans(51, 47, 36, 547), - Trans(51, 51, 36, 547), - Trans(52, 5, 36, 547), - Trans(52, 16, 36, 547), - Trans(52, 17, 36, 547), - Trans(52, 18, 36, 547), - Trans(52, 19, 36, 547), - Trans(52, 20, 36, 547), - Trans(52, 21, 36, 547), - Trans(52, 22, 36, 547), - Trans(52, 23, 36, 547), - Trans(52, 24, 36, 547), - Trans(52, 25, 36, 547), - Trans(52, 26, 36, 547), - Trans(52, 28, 36, 547), - Trans(52, 29, 36, 547), - Trans(52, 34, 36, 547), - Trans(52, 40, 36, 547), - Trans(52, 41, 36, 547), - Trans(52, 46, 36, 547), - Trans(52, 47, 36, 547), - Trans(52, 51, 36, 547), - Trans(53, 15, 36, 547), - Trans(53, 16, 36, 547), - Trans(53, 17, 36, 547), - Trans(53, 18, 36, 547), - Trans(53, 19, 36, 547), - Trans(53, 20, 36, 547), - Trans(53, 21, 36, 547), - Trans(53, 22, 36, 547), - Trans(53, 23, 36, 547), - Trans(53, 24, 36, 547), - Trans(53, 25, 36, 547), - Trans(53, 26, 36, 547), - Trans(53, 29, 36, 547), - Trans(53, 30, 36, 547), - Trans(53, 31, 36, 547), - Trans(53, 34, 36, 547), - Trans(53, 35, 36, 547), - Trans(53, 40, 36, 547), - Trans(53, 41, 36, 547), - Trans(53, 47, 36, 547), - Trans(53, 51, 36, 547), - Trans(54, 5, 36, 547), - Trans(54, 39, 36, 547), - Trans(54, 53, 36, 547), - Trans(54, 65, 36, 547), - Trans(54, 69, 36, 547), - Trans(54, 70, 36, 547), - Trans(54, 80, 36, 547), - Trans(54, 99, 36, 547), - Trans(54, 100, 36, 547), - Trans(54, 111, 36, 547), - Trans(54, 112, 36, 547), - Trans(55, 5, 36, 547), - Trans(55, 6, 36, 547), - Trans(55, 7, 36, 547), - Trans(55, 8, 36, 547), - Trans(55, 9, 36, 547), - Trans(55, 10, 36, 547), - Trans(55, 11, 36, 547), - Trans(55, 18, 36, 547), - Trans(55, 24, 36, 547), - Trans(55, 25, 36, 547), - Trans(55, 26, 36, 547), - Trans(55, 27, 36, 547), - Trans(55, 38, 36, 547), - Trans(55, 39, 36, 547), - Trans(55, 41, 36, 547), - Trans(55, 45, 36, 547), - Trans(55, 53, 36, 547), - Trans(55, 70, 36, 547), - Trans(55, 76, 36, 547), - Trans(55, 83, 36, 547), - Trans(55, 86, 36, 547), - Trans(55, 88, 36, 547), - Trans(55, 111, 36, 547), - Trans(55, 112, 36, 547), - Trans(56, 15, 36, 547), - Trans(56, 16, 36, 547), - Trans(56, 17, 36, 547), - Trans(56, 18, 36, 547), - Trans(56, 19, 36, 547), - Trans(56, 20, 36, 547), - Trans(56, 21, 36, 547), - Trans(56, 22, 36, 547), - Trans(56, 23, 36, 547), - Trans(56, 24, 36, 547), - Trans(56, 25, 36, 547), - Trans(56, 26, 36, 547), - Trans(56, 28, 36, 547), - Trans(56, 29, 36, 547), - Trans(56, 30, 36, 547), - Trans(56, 31, 36, 547), - Trans(56, 34, 36, 547), - Trans(56, 35, 36, 547), - Trans(56, 40, 36, 547), - Trans(56, 41, 36, 547), - Trans(56, 47, 36, 547), - Trans(56, 51, 36, 547), - Trans(57, 5, 36, 547), - Trans(57, 7, 36, 547), - Trans(57, 8, 36, 547), - Trans(57, 9, 36, 547), - Trans(57, 10, 36, 547), - Trans(57, 11, 36, 547), - Trans(57, 42, 36, 547), - Trans(57, 111, 36, 547), - Trans(57, 112, 36, 547), - Trans(58, 16, 36, 547), - Trans(58, 17, 36, 547), - Trans(58, 18, 36, 547), - Trans(58, 19, 36, 547), - Trans(58, 20, 36, 547), - Trans(58, 21, 36, 547), - Trans(58, 22, 36, 547), - Trans(58, 23, 36, 547), - Trans(58, 24, 36, 547), - Trans(58, 25, 36, 547), - Trans(58, 26, 36, 547), - Trans(58, 30, 36, 547), - Trans(58, 31, 36, 547), - Trans(58, 47, 36, 547), - Trans(58, 51, 36, 547), - Trans(59, 30, 36, 547), - Trans(60, 5, 36, 547), - Trans(60, 43, 36, 547), - Trans(60, 53, 36, 547), - Trans(60, 65, 36, 547), - Trans(60, 69, 36, 547), - Trans(60, 70, 36, 547), - Trans(60, 80, 36, 547), - Trans(60, 99, 36, 547), - Trans(60, 100, 36, 547), - Trans(60, 111, 36, 547), - Trans(60, 112, 36, 547), - Trans(61, 39, 36, 547), - Trans(62, 46, 36, 547), - Trans(63, 5, 36, 547), - Trans(63, 43, 36, 547), - Trans(63, 53, 36, 547), - Trans(63, 65, 36, 547), - Trans(63, 69, 36, 547), - Trans(63, 70, 36, 547), - Trans(63, 80, 36, 547), - Trans(63, 99, 36, 547), - Trans(63, 100, 36, 547), - Trans(63, 110, 36, 547), - Trans(63, 111, 36, 547), - Trans(63, 112, 36, 547), - Trans(64, 112, 36, 547), + Trans(19, 6, 36, 543), + Trans(19, 7, 36, 543), + Trans(19, 8, 36, 543), + Trans(19, 9, 36, 543), + Trans(19, 10, 36, 543), + Trans(19, 11, 36, 543), + Trans(19, 18, 36, 543), + Trans(19, 24, 36, 543), + Trans(19, 25, 36, 543), + Trans(19, 26, 36, 543), + Trans(19, 27, 36, 543), + Trans(19, 30, 36, 543), + Trans(19, 36, 36, 543), + Trans(19, 38, 36, 543), + Trans(19, 39, 36, 543), + Trans(19, 41, 36, 543), + Trans(19, 43, 36, 543), + Trans(19, 48, 36, 543), + Trans(19, 49, 36, 543), + Trans(19, 50, 36, 543), + Trans(19, 53, 36, 543), + Trans(19, 57, 36, 543), + Trans(19, 58, 36, 543), + Trans(19, 60, 36, 543), + Trans(19, 61, 36, 543), + Trans(19, 64, 36, 543), + Trans(19, 65, 36, 543), + Trans(19, 66, 36, 543), + Trans(19, 69, 36, 543), + Trans(19, 70, 36, 543), + Trans(19, 71, 36, 543), + Trans(19, 73, 36, 543), + Trans(19, 76, 36, 543), + Trans(19, 77, 36, 543), + Trans(19, 80, 36, 543), + Trans(19, 81, 36, 543), + Trans(19, 83, 36, 543), + Trans(19, 84, 36, 543), + Trans(19, 86, 36, 543), + Trans(19, 88, 36, 543), + Trans(19, 99, 36, 543), + Trans(19, 100, 36, 543), + Trans(19, 104, 36, 543), + Trans(19, 106, 36, 543), + Trans(19, 109, 36, 543), + Trans(19, 110, 36, 543), + Trans(19, 111, 36, 543), + Trans(19, 112, 36, 543), + Trans(20, 5, 36, 543), + Trans(20, 16, 36, 543), + Trans(20, 17, 36, 543), + Trans(20, 18, 36, 543), + Trans(20, 19, 36, 543), + Trans(20, 20, 36, 543), + Trans(20, 21, 36, 543), + Trans(20, 22, 36, 543), + Trans(20, 23, 36, 543), + Trans(20, 24, 36, 543), + Trans(20, 25, 36, 543), + Trans(20, 26, 36, 543), + Trans(20, 30, 36, 543), + Trans(20, 31, 36, 543), + Trans(20, 47, 36, 543), + Trans(20, 51, 36, 543), + Trans(21, 5, 36, 543), + Trans(21, 6, 36, 543), + Trans(21, 7, 36, 543), + Trans(21, 8, 36, 543), + Trans(21, 9, 36, 543), + Trans(21, 10, 36, 543), + Trans(21, 11, 36, 543), + Trans(21, 18, 36, 543), + Trans(21, 24, 36, 543), + Trans(21, 25, 36, 543), + Trans(21, 26, 36, 543), + Trans(21, 27, 36, 543), + Trans(21, 38, 36, 543), + Trans(21, 39, 36, 543), + Trans(21, 41, 36, 543), + Trans(21, 53, 36, 543), + Trans(21, 70, 36, 543), + Trans(21, 76, 36, 543), + Trans(21, 83, 36, 543), + Trans(21, 86, 36, 543), + Trans(21, 88, 36, 543), + Trans(21, 111, 36, 543), + Trans(21, 112, 36, 543), + Trans(22, 5, 36, 543), + Trans(22, 112, 36, 543), + Trans(23, 5, 36, 543), + Trans(23, 40, 36, 543), + Trans(24, 5, 36, 543), + Trans(24, 6, 36, 543), + Trans(24, 7, 36, 543), + Trans(24, 8, 36, 543), + Trans(24, 9, 36, 543), + Trans(24, 10, 36, 543), + Trans(24, 11, 36, 543), + Trans(24, 18, 36, 543), + Trans(24, 24, 36, 543), + Trans(24, 25, 36, 543), + Trans(24, 26, 36, 543), + Trans(24, 27, 36, 543), + Trans(24, 38, 36, 543), + Trans(24, 39, 36, 543), + Trans(24, 41, 36, 543), + Trans(24, 53, 36, 543), + Trans(24, 57, 36, 543), + Trans(24, 70, 36, 543), + Trans(24, 76, 36, 543), + Trans(24, 83, 36, 543), + Trans(24, 86, 36, 543), + Trans(24, 88, 36, 543), + Trans(24, 111, 36, 543), + Trans(24, 112, 36, 543), + Trans(25, 5, 36, 543), + Trans(25, 6, 36, 543), + Trans(25, 7, 36, 543), + Trans(25, 8, 36, 543), + Trans(25, 9, 36, 543), + Trans(25, 10, 36, 543), + Trans(25, 11, 36, 543), + Trans(25, 18, 36, 543), + Trans(25, 24, 36, 543), + Trans(25, 25, 36, 543), + Trans(25, 26, 36, 543), + Trans(25, 27, 36, 543), + Trans(25, 30, 36, 543), + Trans(25, 36, 36, 543), + Trans(25, 38, 36, 543), + Trans(25, 39, 36, 543), + Trans(25, 41, 36, 543), + Trans(25, 43, 36, 543), + Trans(25, 48, 36, 543), + Trans(25, 49, 36, 543), + Trans(25, 50, 36, 543), + Trans(25, 53, 36, 543), + Trans(25, 60, 36, 543), + Trans(25, 61, 36, 543), + Trans(25, 64, 36, 543), + Trans(25, 65, 36, 543), + Trans(25, 66, 36, 543), + Trans(25, 70, 36, 543), + Trans(25, 71, 36, 543), + Trans(25, 73, 36, 543), + Trans(25, 76, 36, 543), + Trans(25, 77, 36, 543), + Trans(25, 80, 36, 543), + Trans(25, 81, 36, 543), + Trans(25, 83, 36, 543), + Trans(25, 84, 36, 543), + Trans(25, 86, 36, 543), + Trans(25, 88, 36, 543), + Trans(25, 104, 36, 543), + Trans(25, 106, 36, 543), + Trans(25, 109, 36, 543), + Trans(25, 110, 36, 543), + Trans(25, 111, 36, 543), + Trans(25, 112, 36, 543), + Trans(26, 0, 36, 543), + Trans(26, 5, 36, 543), + Trans(26, 6, 36, 543), + Trans(26, 7, 36, 543), + Trans(26, 8, 36, 543), + Trans(26, 9, 36, 543), + Trans(26, 10, 36, 543), + Trans(26, 11, 36, 543), + Trans(26, 18, 36, 543), + Trans(26, 24, 36, 543), + Trans(26, 25, 36, 543), + Trans(26, 26, 36, 543), + Trans(26, 27, 36, 543), + Trans(26, 30, 36, 543), + Trans(26, 36, 36, 543), + Trans(26, 38, 36, 543), + Trans(26, 39, 36, 543), + Trans(26, 41, 36, 543), + Trans(26, 43, 36, 543), + Trans(26, 48, 36, 543), + Trans(26, 49, 36, 543), + Trans(26, 50, 36, 543), + Trans(26, 53, 36, 543), + Trans(26, 57, 36, 543), + Trans(26, 58, 36, 543), + Trans(26, 59, 36, 543), + Trans(26, 60, 36, 543), + Trans(26, 61, 36, 543), + Trans(26, 64, 36, 543), + Trans(26, 65, 36, 543), + Trans(26, 66, 36, 543), + Trans(26, 69, 36, 543), + Trans(26, 70, 36, 543), + Trans(26, 71, 36, 543), + Trans(26, 72, 36, 543), + Trans(26, 73, 36, 543), + Trans(26, 76, 36, 543), + Trans(26, 77, 36, 543), + Trans(26, 78, 36, 543), + Trans(26, 80, 36, 543), + Trans(26, 81, 36, 543), + Trans(26, 83, 36, 543), + Trans(26, 84, 36, 543), + Trans(26, 85, 36, 543), + Trans(26, 86, 36, 543), + Trans(26, 88, 36, 543), + Trans(26, 89, 36, 543), + Trans(26, 91, 36, 543), + Trans(26, 99, 36, 543), + Trans(26, 100, 36, 543), + Trans(26, 104, 36, 543), + Trans(26, 106, 36, 543), + Trans(26, 109, 36, 543), + Trans(26, 110, 36, 543), + Trans(26, 111, 36, 543), + Trans(26, 112, 36, 543), + Trans(27, 5, 36, 543), + Trans(27, 39, 36, 543), + Trans(28, 5, 36, 543), + Trans(28, 39, 36, 543), + Trans(28, 41, 36, 543), + Trans(29, 5, 36, 543), + Trans(29, 30, 36, 543), + Trans(30, 5, 36, 543), + Trans(30, 39, 36, 543), + Trans(30, 70, 36, 543), + Trans(31, 5, 36, 543), + Trans(31, 47, 36, 543), + Trans(31, 111, 36, 543), + Trans(31, 112, 36, 543), + Trans(32, 5, 36, 543), + Trans(32, 111, 36, 543), + Trans(32, 112, 36, 543), + Trans(33, 5, 36, 543), + Trans(33, 46, 36, 543), + Trans(34, 5, 36, 543), + Trans(34, 15, 36, 543), + Trans(34, 16, 36, 543), + Trans(34, 17, 36, 543), + Trans(34, 18, 36, 543), + Trans(34, 19, 36, 543), + Trans(34, 20, 36, 543), + Trans(34, 21, 36, 543), + Trans(34, 22, 36, 543), + Trans(34, 23, 36, 543), + Trans(34, 24, 36, 543), + Trans(34, 25, 36, 543), + Trans(34, 26, 36, 543), + Trans(34, 29, 36, 543), + Trans(34, 30, 36, 543), + Trans(34, 31, 36, 543), + Trans(34, 34, 36, 543), + Trans(34, 35, 36, 543), + Trans(34, 40, 36, 543), + Trans(34, 41, 36, 543), + Trans(34, 47, 36, 543), + Trans(34, 51, 36, 543), + Trans(35, 5, 36, 543), + Trans(35, 15, 36, 543), + Trans(35, 16, 36, 543), + Trans(35, 17, 36, 543), + Trans(35, 18, 36, 543), + Trans(35, 19, 36, 543), + Trans(35, 20, 36, 543), + Trans(35, 21, 36, 543), + Trans(35, 22, 36, 543), + Trans(35, 23, 36, 543), + Trans(35, 24, 36, 543), + Trans(35, 25, 36, 543), + Trans(35, 26, 36, 543), + Trans(35, 28, 36, 543), + Trans(35, 29, 36, 543), + Trans(35, 30, 36, 543), + Trans(35, 31, 36, 543), + Trans(35, 34, 36, 543), + Trans(35, 35, 36, 543), + Trans(35, 40, 36, 543), + Trans(35, 41, 36, 543), + Trans(35, 47, 36, 543), + Trans(35, 51, 36, 543), + Trans(37, 6, 36, 543), + Trans(37, 7, 36, 543), + Trans(37, 8, 36, 543), + Trans(37, 9, 36, 543), + Trans(37, 10, 36, 543), + Trans(37, 11, 36, 543), + Trans(37, 18, 36, 543), + Trans(37, 24, 36, 543), + Trans(37, 25, 36, 543), + Trans(37, 26, 36, 543), + Trans(37, 27, 36, 543), + Trans(37, 38, 36, 543), + Trans(37, 39, 36, 543), + Trans(37, 41, 36, 543), + Trans(37, 53, 36, 543), + Trans(37, 70, 36, 543), + Trans(37, 76, 36, 543), + Trans(37, 83, 36, 543), + Trans(37, 86, 36, 543), + Trans(37, 88, 36, 543), + Trans(37, 111, 36, 543), + Trans(37, 112, 36, 543), + Trans(38, 5, 36, 543), + Trans(38, 16, 36, 543), + Trans(38, 17, 36, 543), + Trans(38, 18, 36, 543), + Trans(38, 19, 36, 543), + Trans(38, 20, 36, 543), + Trans(38, 21, 36, 543), + Trans(38, 22, 36, 543), + Trans(38, 23, 36, 543), + Trans(38, 24, 36, 543), + Trans(38, 25, 36, 543), + Trans(38, 26, 36, 543), + Trans(38, 29, 36, 543), + Trans(38, 30, 36, 543), + Trans(38, 31, 36, 543), + Trans(38, 34, 36, 543), + Trans(38, 40, 36, 543), + Trans(38, 41, 36, 543), + Trans(38, 47, 36, 543), + Trans(38, 51, 36, 543), + Trans(39, 5, 36, 543), + Trans(39, 16, 36, 543), + Trans(39, 17, 36, 543), + Trans(39, 18, 36, 543), + Trans(39, 19, 36, 543), + Trans(39, 20, 36, 543), + Trans(39, 21, 36, 543), + Trans(39, 22, 36, 543), + Trans(39, 23, 36, 543), + Trans(39, 24, 36, 543), + Trans(39, 25, 36, 543), + Trans(39, 26, 36, 543), + Trans(39, 28, 36, 543), + Trans(39, 29, 36, 543), + Trans(39, 30, 36, 543), + Trans(39, 31, 36, 543), + Trans(39, 34, 36, 543), + Trans(39, 40, 36, 543), + Trans(39, 41, 36, 543), + Trans(39, 47, 36, 543), + Trans(39, 51, 36, 543), + Trans(40, 6, 36, 543), + Trans(40, 7, 36, 543), + Trans(40, 8, 36, 543), + Trans(40, 9, 36, 543), + Trans(40, 10, 36, 543), + Trans(40, 11, 36, 543), + Trans(40, 18, 36, 543), + Trans(40, 24, 36, 543), + Trans(40, 25, 36, 543), + Trans(40, 26, 36, 543), + Trans(40, 27, 36, 543), + Trans(40, 38, 36, 543), + Trans(40, 39, 36, 543), + Trans(40, 41, 36, 543), + Trans(40, 53, 36, 543), + Trans(40, 57, 36, 543), + Trans(40, 70, 36, 543), + Trans(40, 76, 36, 543), + Trans(40, 83, 36, 543), + Trans(40, 86, 36, 543), + Trans(40, 88, 36, 543), + Trans(40, 111, 36, 543), + Trans(40, 112, 36, 543), + Trans(41, 5, 36, 543), + Trans(41, 16, 36, 543), + Trans(41, 17, 36, 543), + Trans(41, 18, 36, 543), + Trans(41, 19, 36, 543), + Trans(41, 20, 36, 543), + Trans(41, 21, 36, 543), + Trans(41, 22, 36, 543), + Trans(41, 23, 36, 543), + Trans(41, 24, 36, 543), + Trans(41, 25, 36, 543), + Trans(41, 26, 36, 543), + Trans(41, 31, 36, 543), + Trans(41, 43, 36, 543), + Trans(41, 47, 36, 543), + Trans(41, 51, 36, 543), + Trans(41, 93, 36, 543), + Trans(42, 5, 36, 543), + Trans(42, 16, 36, 543), + Trans(42, 17, 36, 543), + Trans(42, 18, 36, 543), + Trans(42, 19, 36, 543), + Trans(42, 20, 36, 543), + Trans(42, 21, 36, 543), + Trans(42, 22, 36, 543), + Trans(42, 23, 36, 543), + Trans(42, 24, 36, 543), + Trans(42, 25, 36, 543), + Trans(42, 26, 36, 543), + Trans(42, 29, 36, 543), + Trans(42, 31, 36, 543), + Trans(42, 34, 36, 543), + Trans(42, 40, 36, 543), + Trans(42, 41, 36, 543), + Trans(42, 43, 36, 543), + Trans(42, 47, 36, 543), + Trans(42, 51, 36, 543), + Trans(42, 93, 36, 543), + Trans(43, 5, 36, 543), + Trans(43, 16, 36, 543), + Trans(43, 17, 36, 543), + Trans(43, 18, 36, 543), + Trans(43, 19, 36, 543), + Trans(43, 20, 36, 543), + Trans(43, 21, 36, 543), + Trans(43, 22, 36, 543), + Trans(43, 23, 36, 543), + Trans(43, 24, 36, 543), + Trans(43, 25, 36, 543), + Trans(43, 26, 36, 543), + Trans(43, 28, 36, 543), + Trans(43, 29, 36, 543), + Trans(43, 31, 36, 543), + Trans(43, 34, 36, 543), + Trans(43, 40, 36, 543), + Trans(43, 41, 36, 543), + Trans(43, 43, 36, 543), + Trans(43, 47, 36, 543), + Trans(43, 51, 36, 543), + Trans(43, 93, 36, 543), + Trans(44, 5, 36, 543), + Trans(44, 16, 36, 543), + Trans(44, 17, 36, 543), + Trans(44, 18, 36, 543), + Trans(44, 19, 36, 543), + Trans(44, 20, 36, 543), + Trans(44, 21, 36, 543), + Trans(44, 22, 36, 543), + Trans(44, 23, 36, 543), + Trans(44, 24, 36, 543), + Trans(44, 25, 36, 543), + Trans(44, 26, 36, 543), + Trans(44, 45, 36, 543), + Trans(44, 47, 36, 543), + Trans(44, 51, 36, 543), + Trans(45, 5, 36, 543), + Trans(45, 16, 36, 543), + Trans(45, 17, 36, 543), + Trans(45, 18, 36, 543), + Trans(45, 19, 36, 543), + Trans(45, 20, 36, 543), + Trans(45, 21, 36, 543), + Trans(45, 22, 36, 543), + Trans(45, 23, 36, 543), + Trans(45, 24, 36, 543), + Trans(45, 25, 36, 543), + Trans(45, 26, 36, 543), + Trans(45, 29, 36, 543), + Trans(45, 34, 36, 543), + Trans(45, 40, 36, 543), + Trans(45, 41, 36, 543), + Trans(45, 45, 36, 543), + Trans(45, 47, 36, 543), + Trans(45, 51, 36, 543), + Trans(46, 5, 36, 543), + Trans(46, 16, 36, 543), + Trans(46, 17, 36, 543), + Trans(46, 18, 36, 543), + Trans(46, 19, 36, 543), + Trans(46, 20, 36, 543), + Trans(46, 21, 36, 543), + Trans(46, 22, 36, 543), + Trans(46, 23, 36, 543), + Trans(46, 24, 36, 543), + Trans(46, 25, 36, 543), + Trans(46, 26, 36, 543), + Trans(46, 28, 36, 543), + Trans(46, 29, 36, 543), + Trans(46, 34, 36, 543), + Trans(46, 40, 36, 543), + Trans(46, 41, 36, 543), + Trans(46, 45, 36, 543), + Trans(46, 47, 36, 543), + Trans(46, 51, 36, 543), + Trans(47, 5, 36, 543), + Trans(47, 16, 36, 543), + Trans(47, 17, 36, 543), + Trans(47, 18, 36, 543), + Trans(47, 19, 36, 543), + Trans(47, 20, 36, 543), + Trans(47, 21, 36, 543), + Trans(47, 22, 36, 543), + Trans(47, 23, 36, 543), + Trans(47, 24, 36, 543), + Trans(47, 25, 36, 543), + Trans(47, 26, 36, 543), + Trans(47, 39, 36, 543), + Trans(47, 47, 36, 543), + Trans(47, 51, 36, 543), + Trans(48, 5, 36, 543), + Trans(48, 16, 36, 543), + Trans(48, 17, 36, 543), + Trans(48, 18, 36, 543), + Trans(48, 19, 36, 543), + Trans(48, 20, 36, 543), + Trans(48, 21, 36, 543), + Trans(48, 22, 36, 543), + Trans(48, 23, 36, 543), + Trans(48, 24, 36, 543), + Trans(48, 25, 36, 543), + Trans(48, 26, 36, 543), + Trans(48, 29, 36, 543), + Trans(48, 34, 36, 543), + Trans(48, 39, 36, 543), + Trans(48, 40, 36, 543), + Trans(48, 41, 36, 543), + Trans(48, 47, 36, 543), + Trans(48, 51, 36, 543), + Trans(49, 5, 36, 543), + Trans(49, 16, 36, 543), + Trans(49, 17, 36, 543), + Trans(49, 18, 36, 543), + Trans(49, 19, 36, 543), + Trans(49, 20, 36, 543), + Trans(49, 21, 36, 543), + Trans(49, 22, 36, 543), + Trans(49, 23, 36, 543), + Trans(49, 24, 36, 543), + Trans(49, 25, 36, 543), + Trans(49, 26, 36, 543), + Trans(49, 28, 36, 543), + Trans(49, 29, 36, 543), + Trans(49, 34, 36, 543), + Trans(49, 39, 36, 543), + Trans(49, 40, 36, 543), + Trans(49, 41, 36, 543), + Trans(49, 47, 36, 543), + Trans(49, 51, 36, 543), + Trans(50, 5, 36, 543), + Trans(50, 16, 36, 543), + Trans(50, 17, 36, 543), + Trans(50, 18, 36, 543), + Trans(50, 19, 36, 543), + Trans(50, 20, 36, 543), + Trans(50, 21, 36, 543), + Trans(50, 22, 36, 543), + Trans(50, 23, 36, 543), + Trans(50, 24, 36, 543), + Trans(50, 25, 36, 543), + Trans(50, 26, 36, 543), + Trans(50, 46, 36, 543), + Trans(50, 47, 36, 543), + Trans(50, 51, 36, 543), + Trans(51, 5, 36, 543), + Trans(51, 16, 36, 543), + Trans(51, 17, 36, 543), + Trans(51, 18, 36, 543), + Trans(51, 19, 36, 543), + Trans(51, 20, 36, 543), + Trans(51, 21, 36, 543), + Trans(51, 22, 36, 543), + Trans(51, 23, 36, 543), + Trans(51, 24, 36, 543), + Trans(51, 25, 36, 543), + Trans(51, 26, 36, 543), + Trans(51, 29, 36, 543), + Trans(51, 34, 36, 543), + Trans(51, 40, 36, 543), + Trans(51, 41, 36, 543), + Trans(51, 46, 36, 543), + Trans(51, 47, 36, 543), + Trans(51, 51, 36, 543), + Trans(52, 5, 36, 543), + Trans(52, 16, 36, 543), + Trans(52, 17, 36, 543), + Trans(52, 18, 36, 543), + Trans(52, 19, 36, 543), + Trans(52, 20, 36, 543), + Trans(52, 21, 36, 543), + Trans(52, 22, 36, 543), + Trans(52, 23, 36, 543), + Trans(52, 24, 36, 543), + Trans(52, 25, 36, 543), + Trans(52, 26, 36, 543), + Trans(52, 28, 36, 543), + Trans(52, 29, 36, 543), + Trans(52, 34, 36, 543), + Trans(52, 40, 36, 543), + Trans(52, 41, 36, 543), + Trans(52, 46, 36, 543), + Trans(52, 47, 36, 543), + Trans(52, 51, 36, 543), + Trans(53, 15, 36, 543), + Trans(53, 16, 36, 543), + Trans(53, 17, 36, 543), + Trans(53, 18, 36, 543), + Trans(53, 19, 36, 543), + Trans(53, 20, 36, 543), + Trans(53, 21, 36, 543), + Trans(53, 22, 36, 543), + Trans(53, 23, 36, 543), + Trans(53, 24, 36, 543), + Trans(53, 25, 36, 543), + Trans(53, 26, 36, 543), + Trans(53, 29, 36, 543), + Trans(53, 30, 36, 543), + Trans(53, 31, 36, 543), + Trans(53, 34, 36, 543), + Trans(53, 35, 36, 543), + Trans(53, 40, 36, 543), + Trans(53, 41, 36, 543), + Trans(53, 47, 36, 543), + Trans(53, 51, 36, 543), + Trans(54, 5, 36, 543), + Trans(54, 39, 36, 543), + Trans(54, 53, 36, 543), + Trans(54, 65, 36, 543), + Trans(54, 69, 36, 543), + Trans(54, 70, 36, 543), + Trans(54, 80, 36, 543), + Trans(54, 99, 36, 543), + Trans(54, 100, 36, 543), + Trans(54, 111, 36, 543), + Trans(54, 112, 36, 543), + Trans(55, 5, 36, 543), + Trans(55, 6, 36, 543), + Trans(55, 7, 36, 543), + Trans(55, 8, 36, 543), + Trans(55, 9, 36, 543), + Trans(55, 10, 36, 543), + Trans(55, 11, 36, 543), + Trans(55, 18, 36, 543), + Trans(55, 24, 36, 543), + Trans(55, 25, 36, 543), + Trans(55, 26, 36, 543), + Trans(55, 27, 36, 543), + Trans(55, 38, 36, 543), + Trans(55, 39, 36, 543), + Trans(55, 41, 36, 543), + Trans(55, 45, 36, 543), + Trans(55, 53, 36, 543), + Trans(55, 70, 36, 543), + Trans(55, 76, 36, 543), + Trans(55, 83, 36, 543), + Trans(55, 86, 36, 543), + Trans(55, 88, 36, 543), + Trans(55, 111, 36, 543), + Trans(55, 112, 36, 543), + Trans(56, 15, 36, 543), + Trans(56, 16, 36, 543), + Trans(56, 17, 36, 543), + Trans(56, 18, 36, 543), + Trans(56, 19, 36, 543), + Trans(56, 20, 36, 543), + Trans(56, 21, 36, 543), + Trans(56, 22, 36, 543), + Trans(56, 23, 36, 543), + Trans(56, 24, 36, 543), + Trans(56, 25, 36, 543), + Trans(56, 26, 36, 543), + Trans(56, 28, 36, 543), + Trans(56, 29, 36, 543), + Trans(56, 30, 36, 543), + Trans(56, 31, 36, 543), + Trans(56, 34, 36, 543), + Trans(56, 35, 36, 543), + Trans(56, 40, 36, 543), + Trans(56, 41, 36, 543), + Trans(56, 47, 36, 543), + Trans(56, 51, 36, 543), + Trans(57, 5, 36, 543), + Trans(57, 7, 36, 543), + Trans(57, 8, 36, 543), + Trans(57, 9, 36, 543), + Trans(57, 10, 36, 543), + Trans(57, 11, 36, 543), + Trans(57, 42, 36, 543), + Trans(57, 111, 36, 543), + Trans(57, 112, 36, 543), + Trans(58, 16, 36, 543), + Trans(58, 17, 36, 543), + Trans(58, 18, 36, 543), + Trans(58, 19, 36, 543), + Trans(58, 20, 36, 543), + Trans(58, 21, 36, 543), + Trans(58, 22, 36, 543), + Trans(58, 23, 36, 543), + Trans(58, 24, 36, 543), + Trans(58, 25, 36, 543), + Trans(58, 26, 36, 543), + Trans(58, 30, 36, 543), + Trans(58, 31, 36, 543), + Trans(58, 47, 36, 543), + Trans(58, 51, 36, 543), + Trans(59, 30, 36, 543), + Trans(60, 5, 36, 543), + Trans(60, 43, 36, 543), + Trans(60, 53, 36, 543), + Trans(60, 65, 36, 543), + Trans(60, 69, 36, 543), + Trans(60, 70, 36, 543), + Trans(60, 80, 36, 543), + Trans(60, 99, 36, 543), + Trans(60, 100, 36, 543), + Trans(60, 111, 36, 543), + Trans(60, 112, 36, 543), + Trans(61, 39, 36, 543), + Trans(62, 46, 36, 543), + Trans(63, 5, 36, 543), + Trans(63, 43, 36, 543), + Trans(63, 53, 36, 543), + Trans(63, 65, 36, 543), + Trans(63, 69, 36, 543), + Trans(63, 70, 36, 543), + Trans(63, 80, 36, 543), + Trans(63, 99, 36, 543), + Trans(63, 100, 36, 543), + Trans(63, 110, 36, 543), + Trans(63, 111, 36, 543), + Trans(63, 112, 36, 543), + Trans(64, 112, 36, 543), ], k: 3, }, - /* 257 - "IfResetStatementList0List" */ + /* 256 - "IfResetStatementList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 546), - Trans(0, 53, 1, 545), - Trans(0, 65, 1, 545), - Trans(0, 69, 1, 545), - Trans(0, 70, 1, 545), - Trans(0, 80, 1, 545), - Trans(0, 99, 1, 545), - Trans(0, 100, 1, 545), - Trans(0, 111, 1, 545), - Trans(0, 112, 1, 545), + Trans(0, 43, 2, 542), + Trans(0, 53, 1, 541), + Trans(0, 65, 1, 541), + Trans(0, 69, 1, 541), + Trans(0, 70, 1, 541), + Trans(0, 80, 1, 541), + Trans(0, 99, 1, 541), + Trans(0, 100, 1, 541), + Trans(0, 111, 1, 541), + Trans(0, 112, 1, 541), ], k: 1, }, - /* 258 - "IfResetStatementOpt" */ + /* 257 - "IfResetStatementOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 553), - Trans(0, 7, 2, 553), - Trans(0, 8, 2, 553), - Trans(0, 9, 2, 553), - Trans(0, 10, 2, 553), - Trans(0, 11, 2, 553), - Trans(0, 18, 2, 553), - Trans(0, 24, 2, 553), - Trans(0, 25, 2, 553), - Trans(0, 26, 2, 553), - Trans(0, 27, 2, 553), - Trans(0, 38, 2, 553), - Trans(0, 39, 2, 553), - Trans(0, 41, 2, 553), - Trans(0, 43, 2, 553), - Trans(0, 53, 2, 553), - Trans(0, 57, 2, 553), - Trans(0, 58, 1, 550), - Trans(0, 65, 2, 553), - Trans(0, 69, 2, 553), - Trans(0, 70, 2, 553), - Trans(0, 76, 2, 553), - Trans(0, 80, 2, 553), - Trans(0, 83, 2, 553), - Trans(0, 86, 2, 553), - Trans(0, 88, 2, 553), - Trans(0, 99, 2, 553), - Trans(0, 100, 2, 553), - Trans(0, 110, 2, 553), - Trans(0, 111, 2, 553), - Trans(0, 112, 2, 553), + Trans(0, 6, 2, 549), + Trans(0, 7, 2, 549), + Trans(0, 8, 2, 549), + Trans(0, 9, 2, 549), + Trans(0, 10, 2, 549), + Trans(0, 11, 2, 549), + Trans(0, 18, 2, 549), + Trans(0, 24, 2, 549), + Trans(0, 25, 2, 549), + Trans(0, 26, 2, 549), + Trans(0, 27, 2, 549), + Trans(0, 38, 2, 549), + Trans(0, 39, 2, 549), + Trans(0, 41, 2, 549), + Trans(0, 43, 2, 549), + Trans(0, 53, 2, 549), + Trans(0, 57, 2, 549), + Trans(0, 58, 1, 546), + Trans(0, 65, 2, 549), + Trans(0, 69, 2, 549), + Trans(0, 70, 2, 549), + Trans(0, 76, 2, 549), + Trans(0, 80, 2, 549), + Trans(0, 83, 2, 549), + Trans(0, 86, 2, 549), + Trans(0, 88, 2, 549), + Trans(0, 99, 2, 549), + Trans(0, 100, 2, 549), + Trans(0, 110, 2, 549), + Trans(0, 111, 2, 549), + Trans(0, 112, 2, 549), ], k: 1, }, - /* 259 - "IfResetStatementOptList" */ + /* 258 - "IfResetStatementOptList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 552), - Trans(0, 53, 1, 551), - Trans(0, 65, 1, 551), - Trans(0, 69, 1, 551), - Trans(0, 70, 1, 551), - Trans(0, 80, 1, 551), - Trans(0, 99, 1, 551), - Trans(0, 100, 1, 551), - Trans(0, 111, 1, 551), - Trans(0, 112, 1, 551), + Trans(0, 43, 2, 548), + Trans(0, 53, 1, 547), + Trans(0, 65, 1, 547), + Trans(0, 69, 1, 547), + Trans(0, 70, 1, 547), + Trans(0, 80, 1, 547), + Trans(0, 99, 1, 547), + Trans(0, 100, 1, 547), + Trans(0, 111, 1, 547), + Trans(0, 112, 1, 547), ], k: 1, }, - /* 260 - "IfResetTerm" */ + /* 259 - "IfResetTerm" */ LookaheadDFA { prod0: 64, transitions: &[], k: 0, }, - /* 261 - "IfResetToken" */ + /* 260 - "IfResetToken" */ LookaheadDFA { prod0: 176, transitions: &[], k: 0, }, - /* 262 - "IfStatement" */ + /* 261 - "IfStatement" */ LookaheadDFA { - prod0: 532, + prod0: 528, transitions: &[], k: 0, }, - /* 263 - "IfStatementList" */ + /* 262 - "IfStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 538), - Trans(0, 53, 1, 537), - Trans(0, 65, 1, 537), - Trans(0, 69, 1, 537), - Trans(0, 70, 1, 537), - Trans(0, 80, 1, 537), - Trans(0, 99, 1, 537), - Trans(0, 100, 1, 537), - Trans(0, 111, 1, 537), - Trans(0, 112, 1, 537), + Trans(0, 43, 2, 534), + Trans(0, 53, 1, 533), + Trans(0, 65, 1, 533), + Trans(0, 69, 1, 533), + Trans(0, 70, 1, 533), + Trans(0, 80, 1, 533), + Trans(0, 99, 1, 533), + Trans(0, 100, 1, 533), + Trans(0, 111, 1, 533), + Trans(0, 112, 1, 533), ], k: 1, }, - /* 264 - "IfStatementList0" */ + /* 263 - "IfStatementList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -6792,31 +6785,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 5, 4, -1), Trans(1, 39, 60, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 533), - Trans(2, 6, 3, 533), - Trans(2, 7, 3, 533), - Trans(2, 8, 3, 533), - Trans(2, 9, 3, 533), - Trans(2, 10, 3, 533), - Trans(2, 11, 3, 533), - Trans(2, 18, 3, 533), - Trans(2, 24, 3, 533), - Trans(2, 25, 3, 533), - Trans(2, 26, 3, 533), - Trans(2, 27, 3, 533), - Trans(2, 38, 3, 533), - Trans(2, 39, 3, 533), - Trans(2, 41, 3, 533), - Trans(2, 53, 3, 533), - Trans(2, 70, 3, 533), - Trans(2, 76, 3, 533), - Trans(2, 83, 3, 533), - Trans(2, 86, 3, 533), - Trans(2, 88, 3, 533), - Trans(2, 111, 3, 533), - Trans(2, 112, 3, 533), - Trans(4, 39, 36, 536), - Trans(4, 70, 3, 533), + Trans(2, 5, 3, 529), + Trans(2, 6, 3, 529), + Trans(2, 7, 3, 529), + Trans(2, 8, 3, 529), + Trans(2, 9, 3, 529), + Trans(2, 10, 3, 529), + Trans(2, 11, 3, 529), + Trans(2, 18, 3, 529), + Trans(2, 24, 3, 529), + Trans(2, 25, 3, 529), + Trans(2, 26, 3, 529), + Trans(2, 27, 3, 529), + Trans(2, 38, 3, 529), + Trans(2, 39, 3, 529), + Trans(2, 41, 3, 529), + Trans(2, 53, 3, 529), + Trans(2, 70, 3, 529), + Trans(2, 76, 3, 529), + Trans(2, 83, 3, 529), + Trans(2, 86, 3, 529), + Trans(2, 88, 3, 529), + Trans(2, 111, 3, 529), + Trans(2, 112, 3, 529), + Trans(4, 39, 36, 532), + Trans(4, 70, 3, 529), Trans(5, 5, 58, -1), Trans(5, 16, 21, -1), Trans(5, 17, 21, -1), @@ -7074,1084 +7067,1084 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(18, 41, 55, -1), Trans(18, 47, 21, -1), Trans(18, 51, 32, -1), - Trans(19, 6, 36, 536), - Trans(19, 7, 36, 536), - Trans(19, 8, 36, 536), - Trans(19, 9, 36, 536), - Trans(19, 10, 36, 536), - Trans(19, 11, 36, 536), - Trans(19, 18, 36, 536), - Trans(19, 24, 36, 536), - Trans(19, 25, 36, 536), - Trans(19, 26, 36, 536), - Trans(19, 27, 36, 536), - Trans(19, 30, 36, 536), - Trans(19, 36, 36, 536), - Trans(19, 38, 36, 536), - Trans(19, 39, 36, 536), - Trans(19, 41, 36, 536), - Trans(19, 43, 36, 536), - Trans(19, 48, 36, 536), - Trans(19, 49, 36, 536), - Trans(19, 50, 36, 536), - Trans(19, 53, 36, 536), - Trans(19, 57, 36, 536), - Trans(19, 58, 36, 536), - Trans(19, 60, 36, 536), - Trans(19, 61, 36, 536), - Trans(19, 64, 36, 536), - Trans(19, 65, 36, 536), - Trans(19, 66, 36, 536), - Trans(19, 69, 36, 536), - Trans(19, 70, 36, 536), - Trans(19, 71, 36, 536), - Trans(19, 73, 36, 536), - Trans(19, 76, 36, 536), - Trans(19, 77, 36, 536), - Trans(19, 80, 36, 536), - Trans(19, 81, 36, 536), - Trans(19, 83, 36, 536), - Trans(19, 84, 36, 536), - Trans(19, 86, 36, 536), - Trans(19, 88, 36, 536), - Trans(19, 99, 36, 536), - Trans(19, 100, 36, 536), - Trans(19, 104, 36, 536), - Trans(19, 106, 36, 536), - Trans(19, 109, 36, 536), - Trans(19, 110, 36, 536), - Trans(19, 111, 36, 536), - Trans(19, 112, 36, 536), - Trans(20, 5, 36, 536), - Trans(20, 16, 36, 536), - Trans(20, 17, 36, 536), - Trans(20, 18, 36, 536), - Trans(20, 19, 36, 536), - Trans(20, 20, 36, 536), - Trans(20, 21, 36, 536), - Trans(20, 22, 36, 536), - Trans(20, 23, 36, 536), - Trans(20, 24, 36, 536), - Trans(20, 25, 36, 536), - Trans(20, 26, 36, 536), - Trans(20, 30, 36, 536), - Trans(20, 31, 36, 536), - Trans(20, 47, 36, 536), - Trans(20, 51, 36, 536), - Trans(21, 5, 36, 536), - Trans(21, 6, 36, 536), - Trans(21, 7, 36, 536), - Trans(21, 8, 36, 536), - Trans(21, 9, 36, 536), - Trans(21, 10, 36, 536), - Trans(21, 11, 36, 536), - Trans(21, 18, 36, 536), - Trans(21, 24, 36, 536), - Trans(21, 25, 36, 536), - Trans(21, 26, 36, 536), - Trans(21, 27, 36, 536), - Trans(21, 38, 36, 536), - Trans(21, 39, 36, 536), - Trans(21, 41, 36, 536), - Trans(21, 53, 36, 536), - Trans(21, 70, 36, 536), - Trans(21, 76, 36, 536), - Trans(21, 83, 36, 536), - Trans(21, 86, 36, 536), - Trans(21, 88, 36, 536), - Trans(21, 111, 36, 536), - Trans(21, 112, 36, 536), - Trans(22, 5, 36, 536), - Trans(22, 112, 36, 536), - Trans(23, 5, 36, 536), - Trans(23, 40, 36, 536), - Trans(24, 5, 36, 536), - Trans(24, 6, 36, 536), - Trans(24, 7, 36, 536), - Trans(24, 8, 36, 536), - Trans(24, 9, 36, 536), - Trans(24, 10, 36, 536), - Trans(24, 11, 36, 536), - Trans(24, 18, 36, 536), - Trans(24, 24, 36, 536), - Trans(24, 25, 36, 536), - Trans(24, 26, 36, 536), - Trans(24, 27, 36, 536), - Trans(24, 38, 36, 536), - Trans(24, 39, 36, 536), - Trans(24, 41, 36, 536), - Trans(24, 53, 36, 536), - Trans(24, 57, 36, 536), - Trans(24, 70, 36, 536), - Trans(24, 76, 36, 536), - Trans(24, 83, 36, 536), - Trans(24, 86, 36, 536), - Trans(24, 88, 36, 536), - Trans(24, 111, 36, 536), - Trans(24, 112, 36, 536), - Trans(25, 5, 36, 536), - Trans(25, 6, 36, 536), - Trans(25, 7, 36, 536), - Trans(25, 8, 36, 536), - Trans(25, 9, 36, 536), - Trans(25, 10, 36, 536), - Trans(25, 11, 36, 536), - Trans(25, 18, 36, 536), - Trans(25, 24, 36, 536), - Trans(25, 25, 36, 536), - Trans(25, 26, 36, 536), - Trans(25, 27, 36, 536), - Trans(25, 30, 36, 536), - Trans(25, 36, 36, 536), - Trans(25, 38, 36, 536), - Trans(25, 39, 36, 536), - Trans(25, 41, 36, 536), - Trans(25, 43, 36, 536), - Trans(25, 48, 36, 536), - Trans(25, 49, 36, 536), - Trans(25, 50, 36, 536), - Trans(25, 53, 36, 536), - Trans(25, 60, 36, 536), - Trans(25, 61, 36, 536), - Trans(25, 64, 36, 536), - Trans(25, 65, 36, 536), - Trans(25, 66, 36, 536), - Trans(25, 70, 36, 536), - Trans(25, 71, 36, 536), - Trans(25, 73, 36, 536), - Trans(25, 76, 36, 536), - Trans(25, 77, 36, 536), - Trans(25, 80, 36, 536), - Trans(25, 81, 36, 536), - Trans(25, 83, 36, 536), - Trans(25, 84, 36, 536), - Trans(25, 86, 36, 536), - Trans(25, 88, 36, 536), - Trans(25, 104, 36, 536), - Trans(25, 106, 36, 536), - Trans(25, 109, 36, 536), - Trans(25, 110, 36, 536), - Trans(25, 111, 36, 536), - Trans(25, 112, 36, 536), - Trans(26, 0, 36, 536), - Trans(26, 5, 36, 536), - Trans(26, 6, 36, 536), - Trans(26, 7, 36, 536), - Trans(26, 8, 36, 536), - Trans(26, 9, 36, 536), - Trans(26, 10, 36, 536), - Trans(26, 11, 36, 536), - Trans(26, 18, 36, 536), - Trans(26, 24, 36, 536), - Trans(26, 25, 36, 536), - Trans(26, 26, 36, 536), - Trans(26, 27, 36, 536), - Trans(26, 30, 36, 536), - Trans(26, 36, 36, 536), - Trans(26, 38, 36, 536), - Trans(26, 39, 36, 536), - Trans(26, 41, 36, 536), - Trans(26, 43, 36, 536), - Trans(26, 48, 36, 536), - Trans(26, 49, 36, 536), - Trans(26, 50, 36, 536), - Trans(26, 53, 36, 536), - Trans(26, 57, 36, 536), - Trans(26, 58, 36, 536), - Trans(26, 59, 36, 536), - Trans(26, 60, 36, 536), - Trans(26, 61, 36, 536), - Trans(26, 64, 36, 536), - Trans(26, 65, 36, 536), - Trans(26, 66, 36, 536), - Trans(26, 69, 36, 536), - Trans(26, 70, 36, 536), - Trans(26, 71, 36, 536), - Trans(26, 72, 36, 536), - Trans(26, 73, 36, 536), - Trans(26, 76, 36, 536), - Trans(26, 77, 36, 536), - Trans(26, 78, 36, 536), - Trans(26, 80, 36, 536), - Trans(26, 81, 36, 536), - Trans(26, 83, 36, 536), - Trans(26, 84, 36, 536), - Trans(26, 85, 36, 536), - Trans(26, 86, 36, 536), - Trans(26, 88, 36, 536), - Trans(26, 89, 36, 536), - Trans(26, 91, 36, 536), - Trans(26, 99, 36, 536), - Trans(26, 100, 36, 536), - Trans(26, 104, 36, 536), - Trans(26, 106, 36, 536), - Trans(26, 109, 36, 536), - Trans(26, 110, 36, 536), - Trans(26, 111, 36, 536), - Trans(26, 112, 36, 536), - Trans(27, 5, 36, 536), - Trans(27, 39, 36, 536), - Trans(28, 5, 36, 536), - Trans(28, 39, 36, 536), - Trans(28, 41, 36, 536), - Trans(29, 5, 36, 536), - Trans(29, 30, 36, 536), - Trans(30, 5, 36, 536), - Trans(30, 39, 36, 536), - Trans(30, 70, 36, 536), - Trans(31, 5, 36, 536), - Trans(31, 47, 36, 536), - Trans(31, 111, 36, 536), - Trans(31, 112, 36, 536), - Trans(32, 5, 36, 536), - Trans(32, 111, 36, 536), - Trans(32, 112, 36, 536), - Trans(33, 5, 36, 536), - Trans(33, 46, 36, 536), - Trans(34, 5, 36, 536), - Trans(34, 15, 36, 536), - Trans(34, 16, 36, 536), - Trans(34, 17, 36, 536), - Trans(34, 18, 36, 536), - Trans(34, 19, 36, 536), - Trans(34, 20, 36, 536), - Trans(34, 21, 36, 536), - Trans(34, 22, 36, 536), - Trans(34, 23, 36, 536), - Trans(34, 24, 36, 536), - Trans(34, 25, 36, 536), - Trans(34, 26, 36, 536), - Trans(34, 29, 36, 536), - Trans(34, 30, 36, 536), - Trans(34, 31, 36, 536), - Trans(34, 34, 36, 536), - Trans(34, 35, 36, 536), - Trans(34, 40, 36, 536), - Trans(34, 41, 36, 536), - Trans(34, 47, 36, 536), - Trans(34, 51, 36, 536), - Trans(35, 5, 36, 536), - Trans(35, 15, 36, 536), - Trans(35, 16, 36, 536), - Trans(35, 17, 36, 536), - Trans(35, 18, 36, 536), - Trans(35, 19, 36, 536), - Trans(35, 20, 36, 536), - Trans(35, 21, 36, 536), - Trans(35, 22, 36, 536), - Trans(35, 23, 36, 536), - Trans(35, 24, 36, 536), - Trans(35, 25, 36, 536), - Trans(35, 26, 36, 536), - Trans(35, 28, 36, 536), - Trans(35, 29, 36, 536), - Trans(35, 30, 36, 536), - Trans(35, 31, 36, 536), - Trans(35, 34, 36, 536), - Trans(35, 35, 36, 536), - Trans(35, 40, 36, 536), - Trans(35, 41, 36, 536), - Trans(35, 47, 36, 536), - Trans(35, 51, 36, 536), - Trans(37, 6, 36, 536), - Trans(37, 7, 36, 536), - Trans(37, 8, 36, 536), - Trans(37, 9, 36, 536), - Trans(37, 10, 36, 536), - Trans(37, 11, 36, 536), - Trans(37, 18, 36, 536), - Trans(37, 24, 36, 536), - Trans(37, 25, 36, 536), - Trans(37, 26, 36, 536), - Trans(37, 27, 36, 536), - Trans(37, 38, 36, 536), - Trans(37, 39, 36, 536), - Trans(37, 41, 36, 536), - Trans(37, 53, 36, 536), - Trans(37, 70, 36, 536), - Trans(37, 76, 36, 536), - Trans(37, 83, 36, 536), - Trans(37, 86, 36, 536), - Trans(37, 88, 36, 536), - Trans(37, 111, 36, 536), - Trans(37, 112, 36, 536), - Trans(38, 5, 36, 536), - Trans(38, 16, 36, 536), - Trans(38, 17, 36, 536), - Trans(38, 18, 36, 536), - Trans(38, 19, 36, 536), - Trans(38, 20, 36, 536), - Trans(38, 21, 36, 536), - Trans(38, 22, 36, 536), - Trans(38, 23, 36, 536), - Trans(38, 24, 36, 536), - Trans(38, 25, 36, 536), - Trans(38, 26, 36, 536), - Trans(38, 29, 36, 536), - Trans(38, 30, 36, 536), - Trans(38, 31, 36, 536), - Trans(38, 34, 36, 536), - Trans(38, 40, 36, 536), - Trans(38, 41, 36, 536), - Trans(38, 47, 36, 536), - Trans(38, 51, 36, 536), - Trans(39, 5, 36, 536), - Trans(39, 16, 36, 536), - Trans(39, 17, 36, 536), - Trans(39, 18, 36, 536), - Trans(39, 19, 36, 536), - Trans(39, 20, 36, 536), - Trans(39, 21, 36, 536), - Trans(39, 22, 36, 536), - Trans(39, 23, 36, 536), - Trans(39, 24, 36, 536), - Trans(39, 25, 36, 536), - Trans(39, 26, 36, 536), - Trans(39, 28, 36, 536), - Trans(39, 29, 36, 536), - Trans(39, 30, 36, 536), - Trans(39, 31, 36, 536), - Trans(39, 34, 36, 536), - Trans(39, 40, 36, 536), - Trans(39, 41, 36, 536), - Trans(39, 47, 36, 536), - Trans(39, 51, 36, 536), - Trans(40, 6, 36, 536), - Trans(40, 7, 36, 536), - Trans(40, 8, 36, 536), - Trans(40, 9, 36, 536), - Trans(40, 10, 36, 536), - Trans(40, 11, 36, 536), - Trans(40, 18, 36, 536), - Trans(40, 24, 36, 536), - Trans(40, 25, 36, 536), - Trans(40, 26, 36, 536), - Trans(40, 27, 36, 536), - Trans(40, 38, 36, 536), - Trans(40, 39, 36, 536), - Trans(40, 41, 36, 536), - Trans(40, 53, 36, 536), - Trans(40, 57, 36, 536), - Trans(40, 70, 36, 536), - Trans(40, 76, 36, 536), - Trans(40, 83, 36, 536), - Trans(40, 86, 36, 536), - Trans(40, 88, 36, 536), - Trans(40, 111, 36, 536), - Trans(40, 112, 36, 536), - Trans(41, 5, 36, 536), - Trans(41, 16, 36, 536), - Trans(41, 17, 36, 536), - Trans(41, 18, 36, 536), - Trans(41, 19, 36, 536), - Trans(41, 20, 36, 536), - Trans(41, 21, 36, 536), - Trans(41, 22, 36, 536), - Trans(41, 23, 36, 536), - Trans(41, 24, 36, 536), - Trans(41, 25, 36, 536), - Trans(41, 26, 36, 536), - Trans(41, 31, 36, 536), - Trans(41, 43, 36, 536), - Trans(41, 47, 36, 536), - Trans(41, 51, 36, 536), - Trans(41, 93, 36, 536), - Trans(42, 5, 36, 536), - Trans(42, 16, 36, 536), - Trans(42, 17, 36, 536), - Trans(42, 18, 36, 536), - Trans(42, 19, 36, 536), - Trans(42, 20, 36, 536), - Trans(42, 21, 36, 536), - Trans(42, 22, 36, 536), - Trans(42, 23, 36, 536), - Trans(42, 24, 36, 536), - Trans(42, 25, 36, 536), - Trans(42, 26, 36, 536), - Trans(42, 29, 36, 536), - Trans(42, 31, 36, 536), - Trans(42, 34, 36, 536), - Trans(42, 40, 36, 536), - Trans(42, 41, 36, 536), - Trans(42, 43, 36, 536), - Trans(42, 47, 36, 536), - Trans(42, 51, 36, 536), - Trans(42, 93, 36, 536), - Trans(43, 5, 36, 536), - Trans(43, 16, 36, 536), - Trans(43, 17, 36, 536), - Trans(43, 18, 36, 536), - Trans(43, 19, 36, 536), - Trans(43, 20, 36, 536), - Trans(43, 21, 36, 536), - Trans(43, 22, 36, 536), - Trans(43, 23, 36, 536), - Trans(43, 24, 36, 536), - Trans(43, 25, 36, 536), - Trans(43, 26, 36, 536), - Trans(43, 28, 36, 536), - Trans(43, 29, 36, 536), - Trans(43, 31, 36, 536), - Trans(43, 34, 36, 536), - Trans(43, 40, 36, 536), - Trans(43, 41, 36, 536), - Trans(43, 43, 36, 536), - Trans(43, 47, 36, 536), - Trans(43, 51, 36, 536), - Trans(43, 93, 36, 536), - Trans(44, 5, 36, 536), - Trans(44, 16, 36, 536), - Trans(44, 17, 36, 536), - Trans(44, 18, 36, 536), - Trans(44, 19, 36, 536), - Trans(44, 20, 36, 536), - Trans(44, 21, 36, 536), - Trans(44, 22, 36, 536), - Trans(44, 23, 36, 536), - Trans(44, 24, 36, 536), - Trans(44, 25, 36, 536), - Trans(44, 26, 36, 536), - Trans(44, 45, 36, 536), - Trans(44, 47, 36, 536), - Trans(44, 51, 36, 536), - Trans(45, 5, 36, 536), - Trans(45, 16, 36, 536), - Trans(45, 17, 36, 536), - Trans(45, 18, 36, 536), - Trans(45, 19, 36, 536), - Trans(45, 20, 36, 536), - Trans(45, 21, 36, 536), - Trans(45, 22, 36, 536), - Trans(45, 23, 36, 536), - Trans(45, 24, 36, 536), - Trans(45, 25, 36, 536), - Trans(45, 26, 36, 536), - Trans(45, 29, 36, 536), - Trans(45, 34, 36, 536), - Trans(45, 40, 36, 536), - Trans(45, 41, 36, 536), - Trans(45, 45, 36, 536), - Trans(45, 47, 36, 536), - Trans(45, 51, 36, 536), - Trans(46, 5, 36, 536), - Trans(46, 16, 36, 536), - Trans(46, 17, 36, 536), - Trans(46, 18, 36, 536), - Trans(46, 19, 36, 536), - Trans(46, 20, 36, 536), - Trans(46, 21, 36, 536), - Trans(46, 22, 36, 536), - Trans(46, 23, 36, 536), - Trans(46, 24, 36, 536), - Trans(46, 25, 36, 536), - Trans(46, 26, 36, 536), - Trans(46, 28, 36, 536), - Trans(46, 29, 36, 536), - Trans(46, 34, 36, 536), - Trans(46, 40, 36, 536), - Trans(46, 41, 36, 536), - Trans(46, 45, 36, 536), - Trans(46, 47, 36, 536), - Trans(46, 51, 36, 536), - Trans(47, 5, 36, 536), - Trans(47, 16, 36, 536), - Trans(47, 17, 36, 536), - Trans(47, 18, 36, 536), - Trans(47, 19, 36, 536), - Trans(47, 20, 36, 536), - Trans(47, 21, 36, 536), - Trans(47, 22, 36, 536), - Trans(47, 23, 36, 536), - Trans(47, 24, 36, 536), - Trans(47, 25, 36, 536), - Trans(47, 26, 36, 536), - Trans(47, 39, 36, 536), - Trans(47, 47, 36, 536), - Trans(47, 51, 36, 536), - Trans(48, 5, 36, 536), - Trans(48, 16, 36, 536), - Trans(48, 17, 36, 536), - Trans(48, 18, 36, 536), - Trans(48, 19, 36, 536), - Trans(48, 20, 36, 536), - Trans(48, 21, 36, 536), - Trans(48, 22, 36, 536), - Trans(48, 23, 36, 536), - Trans(48, 24, 36, 536), - Trans(48, 25, 36, 536), - Trans(48, 26, 36, 536), - Trans(48, 29, 36, 536), - Trans(48, 34, 36, 536), - Trans(48, 39, 36, 536), - Trans(48, 40, 36, 536), - Trans(48, 41, 36, 536), - Trans(48, 47, 36, 536), - Trans(48, 51, 36, 536), - Trans(49, 5, 36, 536), - Trans(49, 16, 36, 536), - Trans(49, 17, 36, 536), - Trans(49, 18, 36, 536), - Trans(49, 19, 36, 536), - Trans(49, 20, 36, 536), - Trans(49, 21, 36, 536), - Trans(49, 22, 36, 536), - Trans(49, 23, 36, 536), - Trans(49, 24, 36, 536), - Trans(49, 25, 36, 536), - Trans(49, 26, 36, 536), - Trans(49, 28, 36, 536), - Trans(49, 29, 36, 536), - Trans(49, 34, 36, 536), - Trans(49, 39, 36, 536), - Trans(49, 40, 36, 536), - Trans(49, 41, 36, 536), - Trans(49, 47, 36, 536), - Trans(49, 51, 36, 536), - Trans(50, 5, 36, 536), - Trans(50, 16, 36, 536), - Trans(50, 17, 36, 536), - Trans(50, 18, 36, 536), - Trans(50, 19, 36, 536), - Trans(50, 20, 36, 536), - Trans(50, 21, 36, 536), - Trans(50, 22, 36, 536), - Trans(50, 23, 36, 536), - Trans(50, 24, 36, 536), - Trans(50, 25, 36, 536), - Trans(50, 26, 36, 536), - Trans(50, 46, 36, 536), - Trans(50, 47, 36, 536), - Trans(50, 51, 36, 536), - Trans(51, 5, 36, 536), - Trans(51, 16, 36, 536), - Trans(51, 17, 36, 536), - Trans(51, 18, 36, 536), - Trans(51, 19, 36, 536), - Trans(51, 20, 36, 536), - Trans(51, 21, 36, 536), - Trans(51, 22, 36, 536), - Trans(51, 23, 36, 536), - Trans(51, 24, 36, 536), - Trans(51, 25, 36, 536), - Trans(51, 26, 36, 536), - Trans(51, 29, 36, 536), - Trans(51, 34, 36, 536), - Trans(51, 40, 36, 536), - Trans(51, 41, 36, 536), - Trans(51, 46, 36, 536), - Trans(51, 47, 36, 536), - Trans(51, 51, 36, 536), - Trans(52, 5, 36, 536), - Trans(52, 16, 36, 536), - Trans(52, 17, 36, 536), - Trans(52, 18, 36, 536), - Trans(52, 19, 36, 536), - Trans(52, 20, 36, 536), - Trans(52, 21, 36, 536), - Trans(52, 22, 36, 536), - Trans(52, 23, 36, 536), - Trans(52, 24, 36, 536), - Trans(52, 25, 36, 536), - Trans(52, 26, 36, 536), - Trans(52, 28, 36, 536), - Trans(52, 29, 36, 536), - Trans(52, 34, 36, 536), - Trans(52, 40, 36, 536), - Trans(52, 41, 36, 536), - Trans(52, 46, 36, 536), - Trans(52, 47, 36, 536), - Trans(52, 51, 36, 536), - Trans(53, 15, 36, 536), - Trans(53, 16, 36, 536), - Trans(53, 17, 36, 536), - Trans(53, 18, 36, 536), - Trans(53, 19, 36, 536), - Trans(53, 20, 36, 536), - Trans(53, 21, 36, 536), - Trans(53, 22, 36, 536), - Trans(53, 23, 36, 536), - Trans(53, 24, 36, 536), - Trans(53, 25, 36, 536), - Trans(53, 26, 36, 536), - Trans(53, 29, 36, 536), - Trans(53, 30, 36, 536), - Trans(53, 31, 36, 536), - Trans(53, 34, 36, 536), - Trans(53, 35, 36, 536), - Trans(53, 40, 36, 536), - Trans(53, 41, 36, 536), - Trans(53, 47, 36, 536), - Trans(53, 51, 36, 536), - Trans(54, 5, 36, 536), - Trans(54, 39, 36, 536), - Trans(54, 53, 36, 536), - Trans(54, 65, 36, 536), - Trans(54, 69, 36, 536), - Trans(54, 70, 36, 536), - Trans(54, 80, 36, 536), - Trans(54, 99, 36, 536), - Trans(54, 100, 36, 536), - Trans(54, 111, 36, 536), - Trans(54, 112, 36, 536), - Trans(55, 5, 36, 536), - Trans(55, 6, 36, 536), - Trans(55, 7, 36, 536), - Trans(55, 8, 36, 536), - Trans(55, 9, 36, 536), - Trans(55, 10, 36, 536), - Trans(55, 11, 36, 536), - Trans(55, 18, 36, 536), - Trans(55, 24, 36, 536), - Trans(55, 25, 36, 536), - Trans(55, 26, 36, 536), - Trans(55, 27, 36, 536), - Trans(55, 38, 36, 536), - Trans(55, 39, 36, 536), - Trans(55, 41, 36, 536), - Trans(55, 45, 36, 536), - Trans(55, 53, 36, 536), - Trans(55, 70, 36, 536), - Trans(55, 76, 36, 536), - Trans(55, 83, 36, 536), - Trans(55, 86, 36, 536), - Trans(55, 88, 36, 536), - Trans(55, 111, 36, 536), - Trans(55, 112, 36, 536), - Trans(56, 15, 36, 536), - Trans(56, 16, 36, 536), - Trans(56, 17, 36, 536), - Trans(56, 18, 36, 536), - Trans(56, 19, 36, 536), - Trans(56, 20, 36, 536), - Trans(56, 21, 36, 536), - Trans(56, 22, 36, 536), - Trans(56, 23, 36, 536), - Trans(56, 24, 36, 536), - Trans(56, 25, 36, 536), - Trans(56, 26, 36, 536), - Trans(56, 28, 36, 536), - Trans(56, 29, 36, 536), - Trans(56, 30, 36, 536), - Trans(56, 31, 36, 536), - Trans(56, 34, 36, 536), - Trans(56, 35, 36, 536), - Trans(56, 40, 36, 536), - Trans(56, 41, 36, 536), - Trans(56, 47, 36, 536), - Trans(56, 51, 36, 536), - Trans(57, 5, 36, 536), - Trans(57, 7, 36, 536), - Trans(57, 8, 36, 536), - Trans(57, 9, 36, 536), - Trans(57, 10, 36, 536), - Trans(57, 11, 36, 536), - Trans(57, 42, 36, 536), - Trans(57, 111, 36, 536), - Trans(57, 112, 36, 536), - Trans(58, 16, 36, 536), - Trans(58, 17, 36, 536), - Trans(58, 18, 36, 536), - Trans(58, 19, 36, 536), - Trans(58, 20, 36, 536), - Trans(58, 21, 36, 536), - Trans(58, 22, 36, 536), - Trans(58, 23, 36, 536), - Trans(58, 24, 36, 536), - Trans(58, 25, 36, 536), - Trans(58, 26, 36, 536), - Trans(58, 30, 36, 536), - Trans(58, 31, 36, 536), - Trans(58, 47, 36, 536), - Trans(58, 51, 36, 536), - Trans(59, 30, 36, 536), - Trans(60, 5, 36, 536), - Trans(60, 43, 36, 536), - Trans(60, 53, 36, 536), - Trans(60, 65, 36, 536), - Trans(60, 69, 36, 536), - Trans(60, 70, 36, 536), - Trans(60, 80, 36, 536), - Trans(60, 99, 36, 536), - Trans(60, 100, 36, 536), - Trans(60, 111, 36, 536), - Trans(60, 112, 36, 536), - Trans(61, 39, 36, 536), - Trans(62, 46, 36, 536), - Trans(63, 5, 36, 536), - Trans(63, 43, 36, 536), - Trans(63, 53, 36, 536), - Trans(63, 65, 36, 536), - Trans(63, 69, 36, 536), - Trans(63, 70, 36, 536), - Trans(63, 80, 36, 536), - Trans(63, 99, 36, 536), - Trans(63, 100, 36, 536), - Trans(63, 110, 36, 536), - Trans(63, 111, 36, 536), - Trans(63, 112, 36, 536), - Trans(64, 112, 36, 536), + Trans(19, 6, 36, 532), + Trans(19, 7, 36, 532), + Trans(19, 8, 36, 532), + Trans(19, 9, 36, 532), + Trans(19, 10, 36, 532), + Trans(19, 11, 36, 532), + Trans(19, 18, 36, 532), + Trans(19, 24, 36, 532), + Trans(19, 25, 36, 532), + Trans(19, 26, 36, 532), + Trans(19, 27, 36, 532), + Trans(19, 30, 36, 532), + Trans(19, 36, 36, 532), + Trans(19, 38, 36, 532), + Trans(19, 39, 36, 532), + Trans(19, 41, 36, 532), + Trans(19, 43, 36, 532), + Trans(19, 48, 36, 532), + Trans(19, 49, 36, 532), + Trans(19, 50, 36, 532), + Trans(19, 53, 36, 532), + Trans(19, 57, 36, 532), + Trans(19, 58, 36, 532), + Trans(19, 60, 36, 532), + Trans(19, 61, 36, 532), + Trans(19, 64, 36, 532), + Trans(19, 65, 36, 532), + Trans(19, 66, 36, 532), + Trans(19, 69, 36, 532), + Trans(19, 70, 36, 532), + Trans(19, 71, 36, 532), + Trans(19, 73, 36, 532), + Trans(19, 76, 36, 532), + Trans(19, 77, 36, 532), + Trans(19, 80, 36, 532), + Trans(19, 81, 36, 532), + Trans(19, 83, 36, 532), + Trans(19, 84, 36, 532), + Trans(19, 86, 36, 532), + Trans(19, 88, 36, 532), + Trans(19, 99, 36, 532), + Trans(19, 100, 36, 532), + Trans(19, 104, 36, 532), + Trans(19, 106, 36, 532), + Trans(19, 109, 36, 532), + Trans(19, 110, 36, 532), + Trans(19, 111, 36, 532), + Trans(19, 112, 36, 532), + Trans(20, 5, 36, 532), + Trans(20, 16, 36, 532), + Trans(20, 17, 36, 532), + Trans(20, 18, 36, 532), + Trans(20, 19, 36, 532), + Trans(20, 20, 36, 532), + Trans(20, 21, 36, 532), + Trans(20, 22, 36, 532), + Trans(20, 23, 36, 532), + Trans(20, 24, 36, 532), + Trans(20, 25, 36, 532), + Trans(20, 26, 36, 532), + Trans(20, 30, 36, 532), + Trans(20, 31, 36, 532), + Trans(20, 47, 36, 532), + Trans(20, 51, 36, 532), + Trans(21, 5, 36, 532), + Trans(21, 6, 36, 532), + Trans(21, 7, 36, 532), + Trans(21, 8, 36, 532), + Trans(21, 9, 36, 532), + Trans(21, 10, 36, 532), + Trans(21, 11, 36, 532), + Trans(21, 18, 36, 532), + Trans(21, 24, 36, 532), + Trans(21, 25, 36, 532), + Trans(21, 26, 36, 532), + Trans(21, 27, 36, 532), + Trans(21, 38, 36, 532), + Trans(21, 39, 36, 532), + Trans(21, 41, 36, 532), + Trans(21, 53, 36, 532), + Trans(21, 70, 36, 532), + Trans(21, 76, 36, 532), + Trans(21, 83, 36, 532), + Trans(21, 86, 36, 532), + Trans(21, 88, 36, 532), + Trans(21, 111, 36, 532), + Trans(21, 112, 36, 532), + Trans(22, 5, 36, 532), + Trans(22, 112, 36, 532), + Trans(23, 5, 36, 532), + Trans(23, 40, 36, 532), + Trans(24, 5, 36, 532), + Trans(24, 6, 36, 532), + Trans(24, 7, 36, 532), + Trans(24, 8, 36, 532), + Trans(24, 9, 36, 532), + Trans(24, 10, 36, 532), + Trans(24, 11, 36, 532), + Trans(24, 18, 36, 532), + Trans(24, 24, 36, 532), + Trans(24, 25, 36, 532), + Trans(24, 26, 36, 532), + Trans(24, 27, 36, 532), + Trans(24, 38, 36, 532), + Trans(24, 39, 36, 532), + Trans(24, 41, 36, 532), + Trans(24, 53, 36, 532), + Trans(24, 57, 36, 532), + Trans(24, 70, 36, 532), + Trans(24, 76, 36, 532), + Trans(24, 83, 36, 532), + Trans(24, 86, 36, 532), + Trans(24, 88, 36, 532), + Trans(24, 111, 36, 532), + Trans(24, 112, 36, 532), + Trans(25, 5, 36, 532), + Trans(25, 6, 36, 532), + Trans(25, 7, 36, 532), + Trans(25, 8, 36, 532), + Trans(25, 9, 36, 532), + Trans(25, 10, 36, 532), + Trans(25, 11, 36, 532), + Trans(25, 18, 36, 532), + Trans(25, 24, 36, 532), + Trans(25, 25, 36, 532), + Trans(25, 26, 36, 532), + Trans(25, 27, 36, 532), + Trans(25, 30, 36, 532), + Trans(25, 36, 36, 532), + Trans(25, 38, 36, 532), + Trans(25, 39, 36, 532), + Trans(25, 41, 36, 532), + Trans(25, 43, 36, 532), + Trans(25, 48, 36, 532), + Trans(25, 49, 36, 532), + Trans(25, 50, 36, 532), + Trans(25, 53, 36, 532), + Trans(25, 60, 36, 532), + Trans(25, 61, 36, 532), + Trans(25, 64, 36, 532), + Trans(25, 65, 36, 532), + Trans(25, 66, 36, 532), + Trans(25, 70, 36, 532), + Trans(25, 71, 36, 532), + Trans(25, 73, 36, 532), + Trans(25, 76, 36, 532), + Trans(25, 77, 36, 532), + Trans(25, 80, 36, 532), + Trans(25, 81, 36, 532), + Trans(25, 83, 36, 532), + Trans(25, 84, 36, 532), + Trans(25, 86, 36, 532), + Trans(25, 88, 36, 532), + Trans(25, 104, 36, 532), + Trans(25, 106, 36, 532), + Trans(25, 109, 36, 532), + Trans(25, 110, 36, 532), + Trans(25, 111, 36, 532), + Trans(25, 112, 36, 532), + Trans(26, 0, 36, 532), + Trans(26, 5, 36, 532), + Trans(26, 6, 36, 532), + Trans(26, 7, 36, 532), + Trans(26, 8, 36, 532), + Trans(26, 9, 36, 532), + Trans(26, 10, 36, 532), + Trans(26, 11, 36, 532), + Trans(26, 18, 36, 532), + Trans(26, 24, 36, 532), + Trans(26, 25, 36, 532), + Trans(26, 26, 36, 532), + Trans(26, 27, 36, 532), + Trans(26, 30, 36, 532), + Trans(26, 36, 36, 532), + Trans(26, 38, 36, 532), + Trans(26, 39, 36, 532), + Trans(26, 41, 36, 532), + Trans(26, 43, 36, 532), + Trans(26, 48, 36, 532), + Trans(26, 49, 36, 532), + Trans(26, 50, 36, 532), + Trans(26, 53, 36, 532), + Trans(26, 57, 36, 532), + Trans(26, 58, 36, 532), + Trans(26, 59, 36, 532), + Trans(26, 60, 36, 532), + Trans(26, 61, 36, 532), + Trans(26, 64, 36, 532), + Trans(26, 65, 36, 532), + Trans(26, 66, 36, 532), + Trans(26, 69, 36, 532), + Trans(26, 70, 36, 532), + Trans(26, 71, 36, 532), + Trans(26, 72, 36, 532), + Trans(26, 73, 36, 532), + Trans(26, 76, 36, 532), + Trans(26, 77, 36, 532), + Trans(26, 78, 36, 532), + Trans(26, 80, 36, 532), + Trans(26, 81, 36, 532), + Trans(26, 83, 36, 532), + Trans(26, 84, 36, 532), + Trans(26, 85, 36, 532), + Trans(26, 86, 36, 532), + Trans(26, 88, 36, 532), + Trans(26, 89, 36, 532), + Trans(26, 91, 36, 532), + Trans(26, 99, 36, 532), + Trans(26, 100, 36, 532), + Trans(26, 104, 36, 532), + Trans(26, 106, 36, 532), + Trans(26, 109, 36, 532), + Trans(26, 110, 36, 532), + Trans(26, 111, 36, 532), + Trans(26, 112, 36, 532), + Trans(27, 5, 36, 532), + Trans(27, 39, 36, 532), + Trans(28, 5, 36, 532), + Trans(28, 39, 36, 532), + Trans(28, 41, 36, 532), + Trans(29, 5, 36, 532), + Trans(29, 30, 36, 532), + Trans(30, 5, 36, 532), + Trans(30, 39, 36, 532), + Trans(30, 70, 36, 532), + Trans(31, 5, 36, 532), + Trans(31, 47, 36, 532), + Trans(31, 111, 36, 532), + Trans(31, 112, 36, 532), + Trans(32, 5, 36, 532), + Trans(32, 111, 36, 532), + Trans(32, 112, 36, 532), + Trans(33, 5, 36, 532), + Trans(33, 46, 36, 532), + Trans(34, 5, 36, 532), + Trans(34, 15, 36, 532), + Trans(34, 16, 36, 532), + Trans(34, 17, 36, 532), + Trans(34, 18, 36, 532), + Trans(34, 19, 36, 532), + Trans(34, 20, 36, 532), + Trans(34, 21, 36, 532), + Trans(34, 22, 36, 532), + Trans(34, 23, 36, 532), + Trans(34, 24, 36, 532), + Trans(34, 25, 36, 532), + Trans(34, 26, 36, 532), + Trans(34, 29, 36, 532), + Trans(34, 30, 36, 532), + Trans(34, 31, 36, 532), + Trans(34, 34, 36, 532), + Trans(34, 35, 36, 532), + Trans(34, 40, 36, 532), + Trans(34, 41, 36, 532), + Trans(34, 47, 36, 532), + Trans(34, 51, 36, 532), + Trans(35, 5, 36, 532), + Trans(35, 15, 36, 532), + Trans(35, 16, 36, 532), + Trans(35, 17, 36, 532), + Trans(35, 18, 36, 532), + Trans(35, 19, 36, 532), + Trans(35, 20, 36, 532), + Trans(35, 21, 36, 532), + Trans(35, 22, 36, 532), + Trans(35, 23, 36, 532), + Trans(35, 24, 36, 532), + Trans(35, 25, 36, 532), + Trans(35, 26, 36, 532), + Trans(35, 28, 36, 532), + Trans(35, 29, 36, 532), + Trans(35, 30, 36, 532), + Trans(35, 31, 36, 532), + Trans(35, 34, 36, 532), + Trans(35, 35, 36, 532), + Trans(35, 40, 36, 532), + Trans(35, 41, 36, 532), + Trans(35, 47, 36, 532), + Trans(35, 51, 36, 532), + Trans(37, 6, 36, 532), + Trans(37, 7, 36, 532), + Trans(37, 8, 36, 532), + Trans(37, 9, 36, 532), + Trans(37, 10, 36, 532), + Trans(37, 11, 36, 532), + Trans(37, 18, 36, 532), + Trans(37, 24, 36, 532), + Trans(37, 25, 36, 532), + Trans(37, 26, 36, 532), + Trans(37, 27, 36, 532), + Trans(37, 38, 36, 532), + Trans(37, 39, 36, 532), + Trans(37, 41, 36, 532), + Trans(37, 53, 36, 532), + Trans(37, 70, 36, 532), + Trans(37, 76, 36, 532), + Trans(37, 83, 36, 532), + Trans(37, 86, 36, 532), + Trans(37, 88, 36, 532), + Trans(37, 111, 36, 532), + Trans(37, 112, 36, 532), + Trans(38, 5, 36, 532), + Trans(38, 16, 36, 532), + Trans(38, 17, 36, 532), + Trans(38, 18, 36, 532), + Trans(38, 19, 36, 532), + Trans(38, 20, 36, 532), + Trans(38, 21, 36, 532), + Trans(38, 22, 36, 532), + Trans(38, 23, 36, 532), + Trans(38, 24, 36, 532), + Trans(38, 25, 36, 532), + Trans(38, 26, 36, 532), + Trans(38, 29, 36, 532), + Trans(38, 30, 36, 532), + Trans(38, 31, 36, 532), + Trans(38, 34, 36, 532), + Trans(38, 40, 36, 532), + Trans(38, 41, 36, 532), + Trans(38, 47, 36, 532), + Trans(38, 51, 36, 532), + Trans(39, 5, 36, 532), + Trans(39, 16, 36, 532), + Trans(39, 17, 36, 532), + Trans(39, 18, 36, 532), + Trans(39, 19, 36, 532), + Trans(39, 20, 36, 532), + Trans(39, 21, 36, 532), + Trans(39, 22, 36, 532), + Trans(39, 23, 36, 532), + Trans(39, 24, 36, 532), + Trans(39, 25, 36, 532), + Trans(39, 26, 36, 532), + Trans(39, 28, 36, 532), + Trans(39, 29, 36, 532), + Trans(39, 30, 36, 532), + Trans(39, 31, 36, 532), + Trans(39, 34, 36, 532), + Trans(39, 40, 36, 532), + Trans(39, 41, 36, 532), + Trans(39, 47, 36, 532), + Trans(39, 51, 36, 532), + Trans(40, 6, 36, 532), + Trans(40, 7, 36, 532), + Trans(40, 8, 36, 532), + Trans(40, 9, 36, 532), + Trans(40, 10, 36, 532), + Trans(40, 11, 36, 532), + Trans(40, 18, 36, 532), + Trans(40, 24, 36, 532), + Trans(40, 25, 36, 532), + Trans(40, 26, 36, 532), + Trans(40, 27, 36, 532), + Trans(40, 38, 36, 532), + Trans(40, 39, 36, 532), + Trans(40, 41, 36, 532), + Trans(40, 53, 36, 532), + Trans(40, 57, 36, 532), + Trans(40, 70, 36, 532), + Trans(40, 76, 36, 532), + Trans(40, 83, 36, 532), + Trans(40, 86, 36, 532), + Trans(40, 88, 36, 532), + Trans(40, 111, 36, 532), + Trans(40, 112, 36, 532), + Trans(41, 5, 36, 532), + Trans(41, 16, 36, 532), + Trans(41, 17, 36, 532), + Trans(41, 18, 36, 532), + Trans(41, 19, 36, 532), + Trans(41, 20, 36, 532), + Trans(41, 21, 36, 532), + Trans(41, 22, 36, 532), + Trans(41, 23, 36, 532), + Trans(41, 24, 36, 532), + Trans(41, 25, 36, 532), + Trans(41, 26, 36, 532), + Trans(41, 31, 36, 532), + Trans(41, 43, 36, 532), + Trans(41, 47, 36, 532), + Trans(41, 51, 36, 532), + Trans(41, 93, 36, 532), + Trans(42, 5, 36, 532), + Trans(42, 16, 36, 532), + Trans(42, 17, 36, 532), + Trans(42, 18, 36, 532), + Trans(42, 19, 36, 532), + Trans(42, 20, 36, 532), + Trans(42, 21, 36, 532), + Trans(42, 22, 36, 532), + Trans(42, 23, 36, 532), + Trans(42, 24, 36, 532), + Trans(42, 25, 36, 532), + Trans(42, 26, 36, 532), + Trans(42, 29, 36, 532), + Trans(42, 31, 36, 532), + Trans(42, 34, 36, 532), + Trans(42, 40, 36, 532), + Trans(42, 41, 36, 532), + Trans(42, 43, 36, 532), + Trans(42, 47, 36, 532), + Trans(42, 51, 36, 532), + Trans(42, 93, 36, 532), + Trans(43, 5, 36, 532), + Trans(43, 16, 36, 532), + Trans(43, 17, 36, 532), + Trans(43, 18, 36, 532), + Trans(43, 19, 36, 532), + Trans(43, 20, 36, 532), + Trans(43, 21, 36, 532), + Trans(43, 22, 36, 532), + Trans(43, 23, 36, 532), + Trans(43, 24, 36, 532), + Trans(43, 25, 36, 532), + Trans(43, 26, 36, 532), + Trans(43, 28, 36, 532), + Trans(43, 29, 36, 532), + Trans(43, 31, 36, 532), + Trans(43, 34, 36, 532), + Trans(43, 40, 36, 532), + Trans(43, 41, 36, 532), + Trans(43, 43, 36, 532), + Trans(43, 47, 36, 532), + Trans(43, 51, 36, 532), + Trans(43, 93, 36, 532), + Trans(44, 5, 36, 532), + Trans(44, 16, 36, 532), + Trans(44, 17, 36, 532), + Trans(44, 18, 36, 532), + Trans(44, 19, 36, 532), + Trans(44, 20, 36, 532), + Trans(44, 21, 36, 532), + Trans(44, 22, 36, 532), + Trans(44, 23, 36, 532), + Trans(44, 24, 36, 532), + Trans(44, 25, 36, 532), + Trans(44, 26, 36, 532), + Trans(44, 45, 36, 532), + Trans(44, 47, 36, 532), + Trans(44, 51, 36, 532), + Trans(45, 5, 36, 532), + Trans(45, 16, 36, 532), + Trans(45, 17, 36, 532), + Trans(45, 18, 36, 532), + Trans(45, 19, 36, 532), + Trans(45, 20, 36, 532), + Trans(45, 21, 36, 532), + Trans(45, 22, 36, 532), + Trans(45, 23, 36, 532), + Trans(45, 24, 36, 532), + Trans(45, 25, 36, 532), + Trans(45, 26, 36, 532), + Trans(45, 29, 36, 532), + Trans(45, 34, 36, 532), + Trans(45, 40, 36, 532), + Trans(45, 41, 36, 532), + Trans(45, 45, 36, 532), + Trans(45, 47, 36, 532), + Trans(45, 51, 36, 532), + Trans(46, 5, 36, 532), + Trans(46, 16, 36, 532), + Trans(46, 17, 36, 532), + Trans(46, 18, 36, 532), + Trans(46, 19, 36, 532), + Trans(46, 20, 36, 532), + Trans(46, 21, 36, 532), + Trans(46, 22, 36, 532), + Trans(46, 23, 36, 532), + Trans(46, 24, 36, 532), + Trans(46, 25, 36, 532), + Trans(46, 26, 36, 532), + Trans(46, 28, 36, 532), + Trans(46, 29, 36, 532), + Trans(46, 34, 36, 532), + Trans(46, 40, 36, 532), + Trans(46, 41, 36, 532), + Trans(46, 45, 36, 532), + Trans(46, 47, 36, 532), + Trans(46, 51, 36, 532), + Trans(47, 5, 36, 532), + Trans(47, 16, 36, 532), + Trans(47, 17, 36, 532), + Trans(47, 18, 36, 532), + Trans(47, 19, 36, 532), + Trans(47, 20, 36, 532), + Trans(47, 21, 36, 532), + Trans(47, 22, 36, 532), + Trans(47, 23, 36, 532), + Trans(47, 24, 36, 532), + Trans(47, 25, 36, 532), + Trans(47, 26, 36, 532), + Trans(47, 39, 36, 532), + Trans(47, 47, 36, 532), + Trans(47, 51, 36, 532), + Trans(48, 5, 36, 532), + Trans(48, 16, 36, 532), + Trans(48, 17, 36, 532), + Trans(48, 18, 36, 532), + Trans(48, 19, 36, 532), + Trans(48, 20, 36, 532), + Trans(48, 21, 36, 532), + Trans(48, 22, 36, 532), + Trans(48, 23, 36, 532), + Trans(48, 24, 36, 532), + Trans(48, 25, 36, 532), + Trans(48, 26, 36, 532), + Trans(48, 29, 36, 532), + Trans(48, 34, 36, 532), + Trans(48, 39, 36, 532), + Trans(48, 40, 36, 532), + Trans(48, 41, 36, 532), + Trans(48, 47, 36, 532), + Trans(48, 51, 36, 532), + Trans(49, 5, 36, 532), + Trans(49, 16, 36, 532), + Trans(49, 17, 36, 532), + Trans(49, 18, 36, 532), + Trans(49, 19, 36, 532), + Trans(49, 20, 36, 532), + Trans(49, 21, 36, 532), + Trans(49, 22, 36, 532), + Trans(49, 23, 36, 532), + Trans(49, 24, 36, 532), + Trans(49, 25, 36, 532), + Trans(49, 26, 36, 532), + Trans(49, 28, 36, 532), + Trans(49, 29, 36, 532), + Trans(49, 34, 36, 532), + Trans(49, 39, 36, 532), + Trans(49, 40, 36, 532), + Trans(49, 41, 36, 532), + Trans(49, 47, 36, 532), + Trans(49, 51, 36, 532), + Trans(50, 5, 36, 532), + Trans(50, 16, 36, 532), + Trans(50, 17, 36, 532), + Trans(50, 18, 36, 532), + Trans(50, 19, 36, 532), + Trans(50, 20, 36, 532), + Trans(50, 21, 36, 532), + Trans(50, 22, 36, 532), + Trans(50, 23, 36, 532), + Trans(50, 24, 36, 532), + Trans(50, 25, 36, 532), + Trans(50, 26, 36, 532), + Trans(50, 46, 36, 532), + Trans(50, 47, 36, 532), + Trans(50, 51, 36, 532), + Trans(51, 5, 36, 532), + Trans(51, 16, 36, 532), + Trans(51, 17, 36, 532), + Trans(51, 18, 36, 532), + Trans(51, 19, 36, 532), + Trans(51, 20, 36, 532), + Trans(51, 21, 36, 532), + Trans(51, 22, 36, 532), + Trans(51, 23, 36, 532), + Trans(51, 24, 36, 532), + Trans(51, 25, 36, 532), + Trans(51, 26, 36, 532), + Trans(51, 29, 36, 532), + Trans(51, 34, 36, 532), + Trans(51, 40, 36, 532), + Trans(51, 41, 36, 532), + Trans(51, 46, 36, 532), + Trans(51, 47, 36, 532), + Trans(51, 51, 36, 532), + Trans(52, 5, 36, 532), + Trans(52, 16, 36, 532), + Trans(52, 17, 36, 532), + Trans(52, 18, 36, 532), + Trans(52, 19, 36, 532), + Trans(52, 20, 36, 532), + Trans(52, 21, 36, 532), + Trans(52, 22, 36, 532), + Trans(52, 23, 36, 532), + Trans(52, 24, 36, 532), + Trans(52, 25, 36, 532), + Trans(52, 26, 36, 532), + Trans(52, 28, 36, 532), + Trans(52, 29, 36, 532), + Trans(52, 34, 36, 532), + Trans(52, 40, 36, 532), + Trans(52, 41, 36, 532), + Trans(52, 46, 36, 532), + Trans(52, 47, 36, 532), + Trans(52, 51, 36, 532), + Trans(53, 15, 36, 532), + Trans(53, 16, 36, 532), + Trans(53, 17, 36, 532), + Trans(53, 18, 36, 532), + Trans(53, 19, 36, 532), + Trans(53, 20, 36, 532), + Trans(53, 21, 36, 532), + Trans(53, 22, 36, 532), + Trans(53, 23, 36, 532), + Trans(53, 24, 36, 532), + Trans(53, 25, 36, 532), + Trans(53, 26, 36, 532), + Trans(53, 29, 36, 532), + Trans(53, 30, 36, 532), + Trans(53, 31, 36, 532), + Trans(53, 34, 36, 532), + Trans(53, 35, 36, 532), + Trans(53, 40, 36, 532), + Trans(53, 41, 36, 532), + Trans(53, 47, 36, 532), + Trans(53, 51, 36, 532), + Trans(54, 5, 36, 532), + Trans(54, 39, 36, 532), + Trans(54, 53, 36, 532), + Trans(54, 65, 36, 532), + Trans(54, 69, 36, 532), + Trans(54, 70, 36, 532), + Trans(54, 80, 36, 532), + Trans(54, 99, 36, 532), + Trans(54, 100, 36, 532), + Trans(54, 111, 36, 532), + Trans(54, 112, 36, 532), + Trans(55, 5, 36, 532), + Trans(55, 6, 36, 532), + Trans(55, 7, 36, 532), + Trans(55, 8, 36, 532), + Trans(55, 9, 36, 532), + Trans(55, 10, 36, 532), + Trans(55, 11, 36, 532), + Trans(55, 18, 36, 532), + Trans(55, 24, 36, 532), + Trans(55, 25, 36, 532), + Trans(55, 26, 36, 532), + Trans(55, 27, 36, 532), + Trans(55, 38, 36, 532), + Trans(55, 39, 36, 532), + Trans(55, 41, 36, 532), + Trans(55, 45, 36, 532), + Trans(55, 53, 36, 532), + Trans(55, 70, 36, 532), + Trans(55, 76, 36, 532), + Trans(55, 83, 36, 532), + Trans(55, 86, 36, 532), + Trans(55, 88, 36, 532), + Trans(55, 111, 36, 532), + Trans(55, 112, 36, 532), + Trans(56, 15, 36, 532), + Trans(56, 16, 36, 532), + Trans(56, 17, 36, 532), + Trans(56, 18, 36, 532), + Trans(56, 19, 36, 532), + Trans(56, 20, 36, 532), + Trans(56, 21, 36, 532), + Trans(56, 22, 36, 532), + Trans(56, 23, 36, 532), + Trans(56, 24, 36, 532), + Trans(56, 25, 36, 532), + Trans(56, 26, 36, 532), + Trans(56, 28, 36, 532), + Trans(56, 29, 36, 532), + Trans(56, 30, 36, 532), + Trans(56, 31, 36, 532), + Trans(56, 34, 36, 532), + Trans(56, 35, 36, 532), + Trans(56, 40, 36, 532), + Trans(56, 41, 36, 532), + Trans(56, 47, 36, 532), + Trans(56, 51, 36, 532), + Trans(57, 5, 36, 532), + Trans(57, 7, 36, 532), + Trans(57, 8, 36, 532), + Trans(57, 9, 36, 532), + Trans(57, 10, 36, 532), + Trans(57, 11, 36, 532), + Trans(57, 42, 36, 532), + Trans(57, 111, 36, 532), + Trans(57, 112, 36, 532), + Trans(58, 16, 36, 532), + Trans(58, 17, 36, 532), + Trans(58, 18, 36, 532), + Trans(58, 19, 36, 532), + Trans(58, 20, 36, 532), + Trans(58, 21, 36, 532), + Trans(58, 22, 36, 532), + Trans(58, 23, 36, 532), + Trans(58, 24, 36, 532), + Trans(58, 25, 36, 532), + Trans(58, 26, 36, 532), + Trans(58, 30, 36, 532), + Trans(58, 31, 36, 532), + Trans(58, 47, 36, 532), + Trans(58, 51, 36, 532), + Trans(59, 30, 36, 532), + Trans(60, 5, 36, 532), + Trans(60, 43, 36, 532), + Trans(60, 53, 36, 532), + Trans(60, 65, 36, 532), + Trans(60, 69, 36, 532), + Trans(60, 70, 36, 532), + Trans(60, 80, 36, 532), + Trans(60, 99, 36, 532), + Trans(60, 100, 36, 532), + Trans(60, 111, 36, 532), + Trans(60, 112, 36, 532), + Trans(61, 39, 36, 532), + Trans(62, 46, 36, 532), + Trans(63, 5, 36, 532), + Trans(63, 43, 36, 532), + Trans(63, 53, 36, 532), + Trans(63, 65, 36, 532), + Trans(63, 69, 36, 532), + Trans(63, 70, 36, 532), + Trans(63, 80, 36, 532), + Trans(63, 99, 36, 532), + Trans(63, 100, 36, 532), + Trans(63, 110, 36, 532), + Trans(63, 111, 36, 532), + Trans(63, 112, 36, 532), + Trans(64, 112, 36, 532), ], k: 3, }, - /* 265 - "IfStatementList0List" */ + /* 264 - "IfStatementList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 535), - Trans(0, 53, 1, 534), - Trans(0, 65, 1, 534), - Trans(0, 69, 1, 534), - Trans(0, 70, 1, 534), - Trans(0, 80, 1, 534), - Trans(0, 99, 1, 534), - Trans(0, 100, 1, 534), - Trans(0, 111, 1, 534), - Trans(0, 112, 1, 534), + Trans(0, 43, 2, 531), + Trans(0, 53, 1, 530), + Trans(0, 65, 1, 530), + Trans(0, 69, 1, 530), + Trans(0, 70, 1, 530), + Trans(0, 80, 1, 530), + Trans(0, 99, 1, 530), + Trans(0, 100, 1, 530), + Trans(0, 111, 1, 530), + Trans(0, 112, 1, 530), ], k: 1, }, - /* 266 - "IfStatementOpt" */ + /* 265 - "IfStatementOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 542), - Trans(0, 7, 2, 542), - Trans(0, 8, 2, 542), - Trans(0, 9, 2, 542), - Trans(0, 10, 2, 542), - Trans(0, 11, 2, 542), - Trans(0, 18, 2, 542), - Trans(0, 24, 2, 542), - Trans(0, 25, 2, 542), - Trans(0, 26, 2, 542), - Trans(0, 27, 2, 542), - Trans(0, 38, 2, 542), - Trans(0, 39, 2, 542), - Trans(0, 41, 2, 542), - Trans(0, 43, 2, 542), - Trans(0, 53, 2, 542), - Trans(0, 57, 2, 542), - Trans(0, 58, 1, 539), - Trans(0, 65, 2, 542), - Trans(0, 69, 2, 542), - Trans(0, 70, 2, 542), - Trans(0, 76, 2, 542), - Trans(0, 80, 2, 542), - Trans(0, 83, 2, 542), - Trans(0, 86, 2, 542), - Trans(0, 88, 2, 542), - Trans(0, 99, 2, 542), - Trans(0, 100, 2, 542), - Trans(0, 110, 2, 542), - Trans(0, 111, 2, 542), - Trans(0, 112, 2, 542), + Trans(0, 6, 2, 538), + Trans(0, 7, 2, 538), + Trans(0, 8, 2, 538), + Trans(0, 9, 2, 538), + Trans(0, 10, 2, 538), + Trans(0, 11, 2, 538), + Trans(0, 18, 2, 538), + Trans(0, 24, 2, 538), + Trans(0, 25, 2, 538), + Trans(0, 26, 2, 538), + Trans(0, 27, 2, 538), + Trans(0, 38, 2, 538), + Trans(0, 39, 2, 538), + Trans(0, 41, 2, 538), + Trans(0, 43, 2, 538), + Trans(0, 53, 2, 538), + Trans(0, 57, 2, 538), + Trans(0, 58, 1, 535), + Trans(0, 65, 2, 538), + Trans(0, 69, 2, 538), + Trans(0, 70, 2, 538), + Trans(0, 76, 2, 538), + Trans(0, 80, 2, 538), + Trans(0, 83, 2, 538), + Trans(0, 86, 2, 538), + Trans(0, 88, 2, 538), + Trans(0, 99, 2, 538), + Trans(0, 100, 2, 538), + Trans(0, 110, 2, 538), + Trans(0, 111, 2, 538), + Trans(0, 112, 2, 538), ], k: 1, }, - /* 267 - "IfStatementOptList" */ + /* 266 - "IfStatementOptList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 541), - Trans(0, 53, 1, 540), - Trans(0, 65, 1, 540), - Trans(0, 69, 1, 540), - Trans(0, 70, 1, 540), - Trans(0, 80, 1, 540), - Trans(0, 99, 1, 540), - Trans(0, 100, 1, 540), - Trans(0, 111, 1, 540), - Trans(0, 112, 1, 540), + Trans(0, 43, 2, 537), + Trans(0, 53, 1, 536), + Trans(0, 65, 1, 536), + Trans(0, 69, 1, 536), + Trans(0, 70, 1, 536), + Trans(0, 80, 1, 536), + Trans(0, 99, 1, 536), + Trans(0, 100, 1, 536), + Trans(0, 111, 1, 536), + Trans(0, 112, 1, 536), ], k: 1, }, - /* 268 - "IfTerm" */ + /* 267 - "IfTerm" */ LookaheadDFA { prod0: 65, transitions: &[], k: 0, }, - /* 269 - "IfToken" */ + /* 268 - "IfToken" */ LookaheadDFA { prod0: 177, transitions: &[], k: 0, }, - /* 270 - "Import" */ + /* 269 - "Import" */ LookaheadDFA { prod0: 287, transitions: &[], k: 0, }, - /* 271 - "ImportDeclaration" */ + /* 270 - "ImportDeclaration" */ LookaheadDFA { - prod0: 761, + prod0: 758, transitions: &[], k: 0, }, - /* 272 - "ImportDeclarationOpt" */ + /* 271 - "ImportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 762), Trans(0, 46, 2, 763)], + transitions: &[Trans(0, 29, 1, 759), Trans(0, 46, 2, 760)], k: 1, }, - /* 273 - "ImportTerm" */ + /* 272 - "ImportTerm" */ LookaheadDFA { prod0: 66, transitions: &[], k: 0, }, - /* 274 - "ImportToken" */ + /* 273 - "ImportToken" */ LookaheadDFA { prod0: 178, transitions: &[], k: 0, }, - /* 275 - "In" */ + /* 274 - "In" */ LookaheadDFA { prod0: 288, transitions: &[], k: 0, }, - /* 276 - "InTerm" */ + /* 275 - "InTerm" */ LookaheadDFA { prod0: 74, transitions: &[], k: 0, }, - /* 277 - "InToken" */ + /* 276 - "InToken" */ LookaheadDFA { prod0: 186, transitions: &[], k: 0, }, - /* 278 - "Include" */ + /* 277 - "Include" */ LookaheadDFA { prod0: 289, transitions: &[], k: 0, }, - /* 279 - "IncludeDeclaration" */ + /* 278 - "IncludeDeclaration" */ LookaheadDFA { - prod0: 899, + prod0: 896, transitions: &[], k: 0, }, - /* 280 - "IncludeTerm" */ + /* 279 - "IncludeTerm" */ LookaheadDFA { prod0: 67, transitions: &[], k: 0, }, - /* 281 - "IncludeToken" */ + /* 280 - "IncludeToken" */ LookaheadDFA { prod0: 179, transitions: &[], k: 0, }, - /* 282 - "Initial" */ + /* 281 - "Initial" */ LookaheadDFA { prod0: 290, transitions: &[], k: 0, }, - /* 283 - "InitialDeclaration" */ + /* 282 - "InitialDeclaration" */ LookaheadDFA { - prod0: 645, + prod0: 642, transitions: &[], k: 0, }, - /* 284 - "InitialDeclarationList" */ + /* 283 - "InitialDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 647), - Trans(0, 53, 1, 646), - Trans(0, 65, 1, 646), - Trans(0, 69, 1, 646), - Trans(0, 70, 1, 646), - Trans(0, 80, 1, 646), - Trans(0, 99, 1, 646), - Trans(0, 100, 1, 646), - Trans(0, 111, 1, 646), - Trans(0, 112, 1, 646), + Trans(0, 43, 2, 644), + Trans(0, 53, 1, 643), + Trans(0, 65, 1, 643), + Trans(0, 69, 1, 643), + Trans(0, 70, 1, 643), + Trans(0, 80, 1, 643), + Trans(0, 99, 1, 643), + Trans(0, 100, 1, 643), + Trans(0, 111, 1, 643), + Trans(0, 112, 1, 643), ], k: 1, }, - /* 285 - "InitialTerm" */ + /* 284 - "InitialTerm" */ LookaheadDFA { prod0: 68, transitions: &[], k: 0, }, - /* 286 - "InitialToken" */ + /* 285 - "InitialToken" */ LookaheadDFA { prod0: 180, transitions: &[], k: 0, }, - /* 287 - "Inout" */ + /* 286 - "Inout" */ LookaheadDFA { prod0: 291, transitions: &[], k: 0, }, - /* 288 - "InoutTerm" */ + /* 287 - "InoutTerm" */ LookaheadDFA { prod0: 69, transitions: &[], k: 0, }, - /* 289 - "InoutToken" */ + /* 288 - "InoutToken" */ LookaheadDFA { prod0: 181, transitions: &[], k: 0, }, - /* 290 - "Input" */ + /* 289 - "Input" */ LookaheadDFA { prod0: 292, transitions: &[], k: 0, }, - /* 291 - "InputTerm" */ + /* 290 - "InputTerm" */ LookaheadDFA { prod0: 70, transitions: &[], k: 0, }, - /* 292 - "InputToken" */ + /* 291 - "InputToken" */ LookaheadDFA { prod0: 182, transitions: &[], k: 0, }, - /* 293 - "Inside" */ + /* 292 - "Inside" */ LookaheadDFA { prod0: 293, transitions: &[], k: 0, }, - /* 294 - "InsideExpression" */ + /* 293 - "InsideExpression" */ LookaheadDFA { - prod0: 460, + prod0: 456, transitions: &[], k: 0, }, - /* 295 - "InsideTerm" */ + /* 294 - "InsideTerm" */ LookaheadDFA { prod0: 71, transitions: &[], k: 0, }, - /* 296 - "InsideToken" */ + /* 295 - "InsideToken" */ LookaheadDFA { prod0: 183, transitions: &[], k: 0, }, - /* 297 - "Inst" */ + /* 296 - "Inst" */ LookaheadDFA { prod0: 294, transitions: &[], k: 0, }, - /* 298 - "InstDeclaration" */ + /* 297 - "InstDeclaration" */ LookaheadDFA { - prod0: 651, + prod0: 648, transitions: &[], k: 0, }, - /* 299 - "InstDeclarationOpt" */ + /* 298 - "InstDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 2, 659), - Trans(0, 40, 1, 658), - Trans(0, 41, 2, 659), - Trans(0, 46, 2, 659), + Trans(0, 36, 2, 656), + Trans(0, 40, 1, 655), + Trans(0, 41, 2, 656), + Trans(0, 46, 2, 656), ], k: 1, }, - /* 300 - "InstDeclarationOpt0" */ + /* 299 - "InstDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 656), - Trans(0, 41, 2, 657), - Trans(0, 46, 2, 657), + Trans(0, 36, 1, 653), + Trans(0, 41, 2, 654), + Trans(0, 46, 2, 654), ], k: 1, }, - /* 301 - "InstDeclarationOpt1" */ + /* 300 - "InstDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 41, 1, 652), Trans(0, 46, 2, 655)], + transitions: &[Trans(0, 41, 1, 649), Trans(0, 46, 2, 652)], k: 1, }, - /* 302 - "InstDeclarationOpt2" */ + /* 301 - "InstDeclarationOpt2" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 653), - Trans(0, 39, 1, 653), - Trans(0, 45, 2, 654), - Trans(0, 112, 1, 653), + Trans(0, 36, 1, 650), + Trans(0, 39, 1, 650), + Trans(0, 45, 2, 651), + Trans(0, 112, 1, 650), ], k: 1, }, - /* 303 - "InstParameter" */ + /* 302 - "InstParameter" */ LookaheadDFA { - prod0: 660, + prod0: 657, transitions: &[], k: 0, }, - /* 304 - "InstParameterGroup" */ + /* 303 - "InstParameterGroup" */ LookaheadDFA { - prod0: 668, + prod0: 665, transitions: &[], k: 0, }, - /* 305 - "InstParameterGroupGroup" */ + /* 304 - "InstParameterGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 669), Trans(0, 112, 2, 670)], + transitions: &[Trans(0, 39, 1, 666), Trans(0, 112, 2, 667)], k: 1, }, - /* 306 - "InstParameterGroupList" */ + /* 305 - "InstParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 671), - Trans(0, 39, 2, 672), - Trans(0, 112, 2, 672), + Trans(0, 36, 1, 668), + Trans(0, 39, 2, 669), + Trans(0, 112, 2, 669), ], k: 1, }, - /* 307 - "InstParameterItem" */ + /* 306 - "InstParameterItem" */ LookaheadDFA { - prod0: 673, + prod0: 670, transitions: &[], k: 0, }, - /* 308 - "InstParameterItemOpt" */ + /* 307 - "InstParameterItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 674), - Trans(0, 31, 2, 675), - Trans(0, 43, 2, 675), - Trans(0, 45, 2, 675), + Trans(0, 30, 1, 671), + Trans(0, 31, 2, 672), + Trans(0, 43, 2, 672), + Trans(0, 45, 2, 672), ], k: 1, }, - /* 309 - "InstParameterList" */ + /* 308 - "InstParameterList" */ LookaheadDFA { - prod0: 663, + prod0: 660, transitions: &[], k: 0, }, - /* 310 - "InstParameterListList" */ + /* 309 - "InstParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8164,22 +8157,22 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 43, 11, -1), Trans(1, 45, 12, -1), Trans(1, 112, 5, -1), - Trans(2, 5, 3, 664), - Trans(2, 40, 3, 664), - Trans(4, 5, 3, 664), - Trans(4, 36, 3, 664), - Trans(4, 39, 3, 664), - Trans(4, 112, 3, 664), - Trans(5, 5, 3, 664), - Trans(5, 30, 3, 664), - Trans(5, 31, 3, 664), - Trans(5, 43, 3, 664), - Trans(5, 45, 3, 664), - Trans(6, 36, 3, 664), - Trans(6, 39, 3, 664), - Trans(6, 43, 13, 665), - Trans(6, 45, 13, 665), - Trans(6, 112, 3, 664), + Trans(2, 5, 3, 661), + Trans(2, 40, 3, 661), + Trans(4, 5, 3, 661), + Trans(4, 36, 3, 661), + Trans(4, 39, 3, 661), + Trans(4, 112, 3, 661), + Trans(5, 5, 3, 661), + Trans(5, 30, 3, 661), + Trans(5, 31, 3, 661), + Trans(5, 43, 3, 661), + Trans(5, 45, 3, 661), + Trans(6, 36, 3, 661), + Trans(6, 39, 3, 661), + Trans(6, 43, 13, 662), + Trans(6, 45, 13, 662), + Trans(6, 112, 3, 661), Trans(7, 5, 9, -1), Trans(7, 31, 10, -1), Trans(7, 43, 11, -1), @@ -8187,121 +8180,121 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 5, 14, -1), Trans(8, 41, 15, -1), Trans(8, 46, 16, -1), - Trans(9, 31, 13, 665), - Trans(9, 43, 13, 665), - Trans(9, 45, 13, 665), - Trans(10, 5, 13, 665), - Trans(10, 36, 13, 665), - Trans(10, 39, 13, 665), - Trans(10, 43, 13, 665), - Trans(10, 45, 13, 665), - Trans(10, 112, 13, 665), - Trans(11, 5, 13, 665), - Trans(11, 31, 13, 665), - Trans(11, 43, 13, 665), - Trans(11, 45, 13, 665), - Trans(12, 5, 13, 665), - Trans(12, 41, 13, 665), - Trans(12, 46, 13, 665), - Trans(14, 41, 13, 665), - Trans(14, 46, 13, 665), - Trans(15, 5, 13, 665), - Trans(15, 36, 13, 665), - Trans(15, 39, 13, 665), - Trans(15, 45, 13, 665), - Trans(15, 112, 13, 665), - Trans(16, 5, 13, 665), - Trans(16, 30, 13, 665), - Trans(16, 36, 13, 665), - Trans(16, 39, 13, 665), - Trans(16, 43, 13, 665), - Trans(16, 48, 13, 665), - Trans(16, 49, 13, 665), - Trans(16, 50, 13, 665), - Trans(16, 60, 13, 665), - Trans(16, 64, 13, 665), - Trans(16, 65, 13, 665), - Trans(16, 66, 13, 665), - Trans(16, 70, 13, 665), - Trans(16, 71, 13, 665), - Trans(16, 73, 13, 665), - Trans(16, 77, 13, 665), - Trans(16, 80, 13, 665), - Trans(16, 81, 13, 665), - Trans(16, 104, 13, 665), - Trans(16, 106, 13, 665), - Trans(16, 109, 13, 665), - Trans(16, 110, 13, 665), + Trans(9, 31, 13, 662), + Trans(9, 43, 13, 662), + Trans(9, 45, 13, 662), + Trans(10, 5, 13, 662), + Trans(10, 36, 13, 662), + Trans(10, 39, 13, 662), + Trans(10, 43, 13, 662), + Trans(10, 45, 13, 662), + Trans(10, 112, 13, 662), + Trans(11, 5, 13, 662), + Trans(11, 31, 13, 662), + Trans(11, 43, 13, 662), + Trans(11, 45, 13, 662), + Trans(12, 5, 13, 662), + Trans(12, 41, 13, 662), + Trans(12, 46, 13, 662), + Trans(14, 41, 13, 662), + Trans(14, 46, 13, 662), + Trans(15, 5, 13, 662), + Trans(15, 36, 13, 662), + Trans(15, 39, 13, 662), + Trans(15, 45, 13, 662), + Trans(15, 112, 13, 662), + Trans(16, 5, 13, 662), + Trans(16, 30, 13, 662), + Trans(16, 36, 13, 662), + Trans(16, 39, 13, 662), + Trans(16, 43, 13, 662), + Trans(16, 48, 13, 662), + Trans(16, 49, 13, 662), + Trans(16, 50, 13, 662), + Trans(16, 60, 13, 662), + Trans(16, 64, 13, 662), + Trans(16, 65, 13, 662), + Trans(16, 66, 13, 662), + Trans(16, 70, 13, 662), + Trans(16, 71, 13, 662), + Trans(16, 73, 13, 662), + Trans(16, 77, 13, 662), + Trans(16, 80, 13, 662), + Trans(16, 81, 13, 662), + Trans(16, 104, 13, 662), + Trans(16, 106, 13, 662), + Trans(16, 109, 13, 662), + Trans(16, 110, 13, 662), ], k: 3, }, - /* 311 - "InstParameterListOpt" */ + /* 310 - "InstParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 666), - Trans(0, 43, 2, 667), - Trans(0, 45, 2, 667), + Trans(0, 31, 1, 663), + Trans(0, 43, 2, 664), + Trans(0, 45, 2, 664), ], k: 1, }, - /* 312 - "InstParameterOpt" */ + /* 311 - "InstParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 661), - Trans(0, 39, 1, 661), - Trans(0, 45, 2, 662), - Trans(0, 112, 1, 661), + Trans(0, 36, 1, 658), + Trans(0, 39, 1, 658), + Trans(0, 45, 2, 659), + Trans(0, 112, 1, 658), ], k: 1, }, - /* 313 - "InstPortGroup" */ + /* 312 - "InstPortGroup" */ LookaheadDFA { - prod0: 681, + prod0: 678, transitions: &[], k: 0, }, - /* 314 - "InstPortGroupGroup" */ + /* 313 - "InstPortGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 682), Trans(0, 112, 2, 683)], + transitions: &[Trans(0, 39, 1, 679), Trans(0, 112, 2, 680)], k: 1, }, - /* 315 - "InstPortGroupList" */ + /* 314 - "InstPortGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 684), - Trans(0, 39, 2, 685), - Trans(0, 112, 2, 685), + Trans(0, 36, 1, 681), + Trans(0, 39, 2, 682), + Trans(0, 112, 2, 682), ], k: 1, }, - /* 316 - "InstPortItem" */ + /* 315 - "InstPortItem" */ LookaheadDFA { - prod0: 686, + prod0: 683, transitions: &[], k: 0, }, - /* 317 - "InstPortItemOpt" */ + /* 316 - "InstPortItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 687), - Trans(0, 31, 2, 688), - Trans(0, 43, 2, 688), - Trans(0, 45, 2, 688), + Trans(0, 30, 1, 684), + Trans(0, 31, 2, 685), + Trans(0, 43, 2, 685), + Trans(0, 45, 2, 685), ], k: 1, }, - /* 318 - "InstPortList" */ + /* 317 - "InstPortList" */ LookaheadDFA { - prod0: 676, + prod0: 673, transitions: &[], k: 0, }, - /* 319 - "InstPortListList" */ + /* 318 - "InstPortListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8314,92 +8307,92 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 43, 11, -1), Trans(1, 45, 12, -1), Trans(1, 112, 5, -1), - Trans(2, 5, 3, 677), - Trans(2, 40, 3, 677), - Trans(4, 5, 3, 677), - Trans(4, 36, 3, 677), - Trans(4, 39, 3, 677), - Trans(4, 112, 3, 677), - Trans(5, 5, 3, 677), - Trans(5, 30, 3, 677), - Trans(5, 31, 3, 677), - Trans(5, 43, 3, 677), - Trans(5, 45, 3, 677), - Trans(6, 36, 3, 677), - Trans(6, 39, 3, 677), - Trans(6, 43, 13, 678), - Trans(6, 45, 13, 678), - Trans(6, 112, 3, 677), + Trans(2, 5, 3, 674), + Trans(2, 40, 3, 674), + Trans(4, 5, 3, 674), + Trans(4, 36, 3, 674), + Trans(4, 39, 3, 674), + Trans(4, 112, 3, 674), + Trans(5, 5, 3, 674), + Trans(5, 30, 3, 674), + Trans(5, 31, 3, 674), + Trans(5, 43, 3, 674), + Trans(5, 45, 3, 674), + Trans(6, 36, 3, 674), + Trans(6, 39, 3, 674), + Trans(6, 43, 13, 675), + Trans(6, 45, 13, 675), + Trans(6, 112, 3, 674), Trans(7, 5, 9, -1), Trans(7, 31, 10, -1), Trans(7, 43, 11, -1), Trans(7, 45, 12, -1), Trans(8, 5, 14, -1), Trans(8, 46, 15, -1), - Trans(9, 31, 13, 678), - Trans(9, 43, 13, 678), - Trans(9, 45, 13, 678), - Trans(10, 5, 13, 678), - Trans(10, 36, 13, 678), - Trans(10, 39, 13, 678), - Trans(10, 43, 13, 678), - Trans(10, 45, 13, 678), - Trans(10, 112, 13, 678), - Trans(11, 5, 13, 678), - Trans(11, 31, 13, 678), - Trans(11, 43, 13, 678), - Trans(11, 45, 13, 678), - Trans(12, 5, 13, 678), - Trans(12, 46, 13, 678), - Trans(14, 46, 13, 678), - Trans(15, 5, 13, 678), - Trans(15, 30, 13, 678), - Trans(15, 36, 13, 678), - Trans(15, 39, 13, 678), - Trans(15, 43, 13, 678), - Trans(15, 48, 13, 678), - Trans(15, 49, 13, 678), - Trans(15, 50, 13, 678), - Trans(15, 60, 13, 678), - Trans(15, 64, 13, 678), - Trans(15, 65, 13, 678), - Trans(15, 66, 13, 678), - Trans(15, 70, 13, 678), - Trans(15, 71, 13, 678), - Trans(15, 73, 13, 678), - Trans(15, 77, 13, 678), - Trans(15, 80, 13, 678), - Trans(15, 81, 13, 678), - Trans(15, 104, 13, 678), - Trans(15, 106, 13, 678), - Trans(15, 109, 13, 678), - Trans(15, 110, 13, 678), + Trans(9, 31, 13, 675), + Trans(9, 43, 13, 675), + Trans(9, 45, 13, 675), + Trans(10, 5, 13, 675), + Trans(10, 36, 13, 675), + Trans(10, 39, 13, 675), + Trans(10, 43, 13, 675), + Trans(10, 45, 13, 675), + Trans(10, 112, 13, 675), + Trans(11, 5, 13, 675), + Trans(11, 31, 13, 675), + Trans(11, 43, 13, 675), + Trans(11, 45, 13, 675), + Trans(12, 5, 13, 675), + Trans(12, 46, 13, 675), + Trans(14, 46, 13, 675), + Trans(15, 5, 13, 675), + Trans(15, 30, 13, 675), + Trans(15, 36, 13, 675), + Trans(15, 39, 13, 675), + Trans(15, 43, 13, 675), + Trans(15, 48, 13, 675), + Trans(15, 49, 13, 675), + Trans(15, 50, 13, 675), + Trans(15, 60, 13, 675), + Trans(15, 64, 13, 675), + Trans(15, 65, 13, 675), + Trans(15, 66, 13, 675), + Trans(15, 70, 13, 675), + Trans(15, 71, 13, 675), + Trans(15, 73, 13, 675), + Trans(15, 77, 13, 675), + Trans(15, 80, 13, 675), + Trans(15, 81, 13, 675), + Trans(15, 104, 13, 675), + Trans(15, 106, 13, 675), + Trans(15, 109, 13, 675), + Trans(15, 110, 13, 675), ], k: 3, }, - /* 320 - "InstPortListOpt" */ + /* 319 - "InstPortListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 679), - Trans(0, 43, 2, 680), - Trans(0, 45, 2, 680), + Trans(0, 31, 1, 676), + Trans(0, 43, 2, 677), + Trans(0, 45, 2, 677), ], k: 1, }, - /* 321 - "InstTerm" */ + /* 320 - "InstTerm" */ LookaheadDFA { prod0: 72, transitions: &[], k: 0, }, - /* 322 - "InstToken" */ + /* 321 - "InstToken" */ LookaheadDFA { prod0: 184, transitions: &[], k: 0, }, - /* 323 - "IntegralNumber" */ + /* 322 - "IntegralNumber" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8409,162 +8402,162 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 324 - "Interface" */ + /* 323 - "Interface" */ LookaheadDFA { prod0: 295, transitions: &[], k: 0, }, - /* 325 - "InterfaceDeclaration" */ + /* 324 - "InterfaceDeclaration" */ LookaheadDFA { - prod0: 820, + prod0: 817, transitions: &[], k: 0, }, - /* 326 - "InterfaceDeclarationList" */ + /* 325 - "InterfaceDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 821), - Trans(0, 36, 1, 821), - Trans(0, 39, 1, 821), - Trans(0, 43, 2, 822), - Trans(0, 60, 1, 821), - Trans(0, 64, 1, 821), - Trans(0, 65, 1, 821), - Trans(0, 66, 1, 821), - Trans(0, 70, 1, 821), - Trans(0, 71, 1, 821), - Trans(0, 73, 1, 821), - Trans(0, 80, 1, 821), - Trans(0, 81, 1, 821), - Trans(0, 84, 1, 821), - Trans(0, 104, 1, 821), - Trans(0, 106, 1, 821), - Trans(0, 109, 1, 821), - Trans(0, 110, 1, 821), + Trans(0, 30, 1, 818), + Trans(0, 36, 1, 818), + Trans(0, 39, 1, 818), + Trans(0, 43, 2, 819), + Trans(0, 60, 1, 818), + Trans(0, 64, 1, 818), + Trans(0, 65, 1, 818), + Trans(0, 66, 1, 818), + Trans(0, 70, 1, 818), + Trans(0, 71, 1, 818), + Trans(0, 73, 1, 818), + Trans(0, 80, 1, 818), + Trans(0, 81, 1, 818), + Trans(0, 84, 1, 818), + Trans(0, 104, 1, 818), + Trans(0, 106, 1, 818), + Trans(0, 109, 1, 818), + Trans(0, 110, 1, 818), ], k: 1, }, - /* 327 - "InterfaceDeclarationOpt" */ + /* 326 - "InterfaceDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 78, 2, 828), Trans(0, 91, 1, 827)], + transitions: &[Trans(0, 78, 2, 825), Trans(0, 91, 1, 824)], k: 1, }, - /* 328 - "InterfaceDeclarationOpt0" */ + /* 327 - "InterfaceDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 28, 1, 825), - Trans(0, 36, 2, 826), - Trans(0, 39, 2, 826), + Trans(0, 28, 1, 822), + Trans(0, 36, 2, 823), + Trans(0, 39, 2, 823), ], k: 1, }, - /* 329 - "InterfaceDeclarationOpt1" */ + /* 328 - "InterfaceDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 36, 1, 823), Trans(0, 39, 2, 824)], + transitions: &[Trans(0, 36, 1, 820), Trans(0, 39, 2, 821)], k: 1, }, - /* 330 - "InterfaceForDeclaration" */ + /* 329 - "InterfaceForDeclaration" */ LookaheadDFA { - prod0: 834, + prod0: 831, transitions: &[], k: 0, }, - /* 331 - "InterfaceForDeclarationOpt" */ + /* 330 - "InterfaceForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 836), Trans(0, 102, 1, 835)], + transitions: &[Trans(0, 30, 2, 833), Trans(0, 102, 1, 832)], k: 1, }, - /* 332 - "InterfaceGroup" */ + /* 331 - "InterfaceGroup" */ LookaheadDFA { - prod0: 845, + prod0: 842, transitions: &[], k: 0, }, - /* 333 - "InterfaceGroupGroup" */ + /* 332 - "InterfaceGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 849), - Trans(0, 39, 1, 846), - Trans(0, 60, 2, 849), - Trans(0, 64, 2, 849), - Trans(0, 65, 2, 849), - Trans(0, 66, 2, 849), - Trans(0, 70, 2, 849), - Trans(0, 71, 2, 849), - Trans(0, 73, 2, 849), - Trans(0, 80, 2, 849), - Trans(0, 81, 2, 849), - Trans(0, 84, 2, 849), - Trans(0, 104, 2, 849), - Trans(0, 106, 2, 849), - Trans(0, 109, 2, 849), - Trans(0, 110, 2, 849), + Trans(0, 30, 2, 846), + Trans(0, 39, 1, 843), + Trans(0, 60, 2, 846), + Trans(0, 64, 2, 846), + Trans(0, 65, 2, 846), + Trans(0, 66, 2, 846), + Trans(0, 70, 2, 846), + Trans(0, 71, 2, 846), + Trans(0, 73, 2, 846), + Trans(0, 80, 2, 846), + Trans(0, 81, 2, 846), + Trans(0, 84, 2, 846), + Trans(0, 104, 2, 846), + Trans(0, 106, 2, 846), + Trans(0, 109, 2, 846), + Trans(0, 110, 2, 846), ], k: 1, }, - /* 334 - "InterfaceGroupGroupList" */ + /* 333 - "InterfaceGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 847), - Trans(0, 36, 1, 847), - Trans(0, 39, 1, 847), - Trans(0, 43, 2, 848), - Trans(0, 60, 1, 847), - Trans(0, 64, 1, 847), - Trans(0, 65, 1, 847), - Trans(0, 66, 1, 847), - Trans(0, 70, 1, 847), - Trans(0, 71, 1, 847), - Trans(0, 73, 1, 847), - Trans(0, 80, 1, 847), - Trans(0, 81, 1, 847), - Trans(0, 84, 1, 847), - Trans(0, 104, 1, 847), - Trans(0, 106, 1, 847), - Trans(0, 109, 1, 847), - Trans(0, 110, 1, 847), + Trans(0, 30, 1, 844), + Trans(0, 36, 1, 844), + Trans(0, 39, 1, 844), + Trans(0, 43, 2, 845), + Trans(0, 60, 1, 844), + Trans(0, 64, 1, 844), + Trans(0, 65, 1, 844), + Trans(0, 66, 1, 844), + Trans(0, 70, 1, 844), + Trans(0, 71, 1, 844), + Trans(0, 73, 1, 844), + Trans(0, 80, 1, 844), + Trans(0, 81, 1, 844), + Trans(0, 84, 1, 844), + Trans(0, 104, 1, 844), + Trans(0, 106, 1, 844), + Trans(0, 109, 1, 844), + Trans(0, 110, 1, 844), ], k: 1, }, - /* 335 - "InterfaceGroupList" */ + /* 334 - "InterfaceGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 851), - Trans(0, 36, 1, 850), - Trans(0, 39, 2, 851), - Trans(0, 60, 2, 851), - Trans(0, 64, 2, 851), - Trans(0, 65, 2, 851), - Trans(0, 66, 2, 851), - Trans(0, 70, 2, 851), - Trans(0, 71, 2, 851), - Trans(0, 73, 2, 851), - Trans(0, 80, 2, 851), - Trans(0, 81, 2, 851), - Trans(0, 84, 2, 851), - Trans(0, 104, 2, 851), - Trans(0, 106, 2, 851), - Trans(0, 109, 2, 851), - Trans(0, 110, 2, 851), + Trans(0, 30, 2, 848), + Trans(0, 36, 1, 847), + Trans(0, 39, 2, 848), + Trans(0, 60, 2, 848), + Trans(0, 64, 2, 848), + Trans(0, 65, 2, 848), + Trans(0, 66, 2, 848), + Trans(0, 70, 2, 848), + Trans(0, 71, 2, 848), + Trans(0, 73, 2, 848), + Trans(0, 80, 2, 848), + Trans(0, 81, 2, 848), + Trans(0, 84, 2, 848), + Trans(0, 104, 2, 848), + Trans(0, 106, 2, 848), + Trans(0, 109, 2, 848), + Trans(0, 110, 2, 848), ], k: 1, }, - /* 336 - "InterfaceIfDeclaration" */ + /* 335 - "InterfaceIfDeclaration" */ LookaheadDFA { - prod0: 829, + prod0: 826, transitions: &[], k: 0, }, - /* 337 - "InterfaceIfDeclarationList" */ + /* 336 - "InterfaceIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8591,32 +8584,32 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 30, 19, -1), Trans(1, 39, 35, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 830), - Trans(2, 6, 3, 830), - Trans(2, 7, 3, 830), - Trans(2, 8, 3, 830), - Trans(2, 9, 3, 830), - Trans(2, 10, 3, 830), - Trans(2, 11, 3, 830), - Trans(2, 18, 3, 830), - Trans(2, 24, 3, 830), - Trans(2, 25, 3, 830), - Trans(2, 26, 3, 830), - Trans(2, 27, 3, 830), - Trans(2, 38, 3, 830), - Trans(2, 39, 3, 830), - Trans(2, 41, 3, 830), - Trans(2, 53, 3, 830), - Trans(2, 70, 3, 830), - Trans(2, 76, 3, 830), - Trans(2, 83, 3, 830), - Trans(2, 86, 3, 830), - Trans(2, 88, 3, 830), - Trans(2, 111, 3, 830), - Trans(2, 112, 3, 830), - Trans(4, 30, 17, 831), - Trans(4, 39, 17, 831), - Trans(4, 70, 3, 830), + Trans(2, 5, 3, 827), + Trans(2, 6, 3, 827), + Trans(2, 7, 3, 827), + Trans(2, 8, 3, 827), + Trans(2, 9, 3, 827), + Trans(2, 10, 3, 827), + Trans(2, 11, 3, 827), + Trans(2, 18, 3, 827), + Trans(2, 24, 3, 827), + Trans(2, 25, 3, 827), + Trans(2, 26, 3, 827), + Trans(2, 27, 3, 827), + Trans(2, 38, 3, 827), + Trans(2, 39, 3, 827), + Trans(2, 41, 3, 827), + Trans(2, 53, 3, 827), + Trans(2, 70, 3, 827), + Trans(2, 76, 3, 827), + Trans(2, 83, 3, 827), + Trans(2, 86, 3, 827), + Trans(2, 88, 3, 827), + Trans(2, 111, 3, 827), + Trans(2, 112, 3, 827), + Trans(4, 30, 17, 828), + Trans(4, 39, 17, 828), + Trans(4, 70, 3, 827), Trans(5, 5, 42, -1), Trans(5, 112, 25, -1), Trans(6, 5, 38, -1), @@ -8640,7 +8633,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 106, 19, -1), Trans(7, 109, 19, -1), Trans(7, 110, 19, -1), - Trans(8, 0, 17, 831), + Trans(8, 0, 17, 828), Trans(8, 5, 18, -1), Trans(8, 30, 19, -1), Trans(8, 36, 20, -1), @@ -8705,358 +8698,389 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(15, 112, 46, -1), Trans(16, 5, 42, -1), Trans(16, 112, 47, -1), - Trans(18, 0, 17, 831), - Trans(18, 30, 17, 831), - Trans(18, 36, 17, 831), - Trans(18, 39, 17, 831), - Trans(18, 43, 17, 831), - Trans(18, 58, 17, 831), - Trans(18, 59, 17, 831), - Trans(18, 60, 17, 831), - Trans(18, 64, 17, 831), - Trans(18, 65, 17, 831), - Trans(18, 66, 17, 831), - Trans(18, 70, 17, 831), - Trans(18, 71, 17, 831), - Trans(18, 72, 17, 831), - Trans(18, 73, 17, 831), - Trans(18, 78, 17, 831), - Trans(18, 80, 17, 831), - Trans(18, 81, 17, 831), - Trans(18, 84, 17, 831), - Trans(18, 85, 17, 831), - Trans(18, 89, 17, 831), - Trans(18, 91, 17, 831), - Trans(18, 104, 17, 831), - Trans(18, 106, 17, 831), - Trans(18, 109, 17, 831), - Trans(18, 110, 17, 831), - Trans(19, 5, 17, 831), - Trans(19, 112, 17, 831), - Trans(20, 5, 17, 831), - Trans(20, 40, 17, 831), - Trans(21, 5, 17, 831), - Trans(21, 30, 17, 831), - Trans(21, 36, 17, 831), - Trans(21, 39, 17, 831), - Trans(21, 43, 17, 831), - Trans(21, 59, 17, 831), - Trans(21, 60, 17, 831), - Trans(21, 64, 17, 831), - Trans(21, 65, 17, 831), - Trans(21, 66, 17, 831), - Trans(21, 70, 17, 831), - Trans(21, 71, 17, 831), - Trans(21, 72, 17, 831), - Trans(21, 73, 17, 831), - Trans(21, 78, 17, 831), - Trans(21, 80, 17, 831), - Trans(21, 81, 17, 831), - Trans(21, 84, 17, 831), - Trans(21, 85, 17, 831), - Trans(21, 89, 17, 831), - Trans(21, 91, 17, 831), - Trans(21, 104, 17, 831), - Trans(21, 106, 17, 831), - Trans(21, 109, 17, 831), - Trans(21, 110, 17, 831), - Trans(22, 0, 17, 831), - Trans(22, 5, 17, 831), - Trans(22, 30, 17, 831), - Trans(22, 36, 17, 831), - Trans(22, 39, 17, 831), - Trans(22, 43, 17, 831), - Trans(22, 58, 17, 831), - Trans(22, 59, 17, 831), - Trans(22, 60, 17, 831), - Trans(22, 64, 17, 831), - Trans(22, 65, 17, 831), - Trans(22, 66, 17, 831), - Trans(22, 70, 17, 831), - Trans(22, 71, 17, 831), - Trans(22, 72, 17, 831), - Trans(22, 73, 17, 831), - Trans(22, 78, 17, 831), - Trans(22, 80, 17, 831), - Trans(22, 81, 17, 831), - Trans(22, 84, 17, 831), - Trans(22, 85, 17, 831), - Trans(22, 89, 17, 831), - Trans(22, 91, 17, 831), - Trans(22, 104, 17, 831), - Trans(22, 106, 17, 831), - Trans(22, 109, 17, 831), - Trans(22, 110, 17, 831), - Trans(23, 5, 17, 831), - Trans(23, 30, 17, 831), - Trans(23, 39, 17, 831), - Trans(23, 70, 17, 831), - Trans(24, 5, 17, 831), - Trans(24, 41, 17, 831), - Trans(25, 5, 17, 831), - Trans(25, 39, 17, 831), - Trans(26, 5, 17, 831), - Trans(26, 6, 17, 831), - Trans(26, 7, 17, 831), - Trans(26, 8, 17, 831), - Trans(26, 9, 17, 831), - Trans(26, 10, 17, 831), - Trans(26, 11, 17, 831), - Trans(26, 18, 17, 831), - Trans(26, 24, 17, 831), - Trans(26, 25, 17, 831), - Trans(26, 26, 17, 831), - Trans(26, 27, 17, 831), - Trans(26, 38, 17, 831), - Trans(26, 39, 17, 831), - Trans(26, 41, 17, 831), - Trans(26, 53, 17, 831), - Trans(26, 70, 17, 831), - Trans(26, 76, 17, 831), - Trans(26, 83, 17, 831), - Trans(26, 86, 17, 831), - Trans(26, 88, 17, 831), - Trans(26, 111, 17, 831), - Trans(26, 112, 17, 831), - Trans(27, 5, 17, 831), - Trans(27, 111, 17, 831), - Trans(27, 112, 17, 831), - Trans(28, 5, 17, 831), - Trans(28, 78, 17, 831), - Trans(28, 85, 17, 831), - Trans(28, 89, 17, 831), - Trans(29, 6, 17, 831), - Trans(29, 7, 17, 831), - Trans(29, 8, 17, 831), - Trans(29, 9, 17, 831), - Trans(29, 10, 17, 831), - Trans(29, 11, 17, 831), - Trans(29, 18, 17, 831), - Trans(29, 24, 17, 831), - Trans(29, 25, 17, 831), - Trans(29, 26, 17, 831), - Trans(29, 27, 17, 831), - Trans(29, 38, 17, 831), - Trans(29, 39, 17, 831), - Trans(29, 41, 17, 831), - Trans(29, 53, 17, 831), - Trans(29, 70, 17, 831), - Trans(29, 76, 17, 831), - Trans(29, 83, 17, 831), - Trans(29, 86, 17, 831), - Trans(29, 88, 17, 831), - Trans(29, 111, 17, 831), - Trans(29, 112, 17, 831), - Trans(30, 5, 17, 831), - Trans(30, 16, 17, 831), - Trans(30, 17, 17, 831), - Trans(30, 18, 17, 831), - Trans(30, 19, 17, 831), - Trans(30, 20, 17, 831), - Trans(30, 21, 17, 831), - Trans(30, 22, 17, 831), - Trans(30, 23, 17, 831), - Trans(30, 24, 17, 831), - Trans(30, 25, 17, 831), - Trans(30, 26, 17, 831), - Trans(30, 30, 17, 831), - Trans(30, 47, 17, 831), - Trans(30, 51, 17, 831), - Trans(31, 5, 17, 831), - Trans(31, 6, 17, 831), - Trans(31, 7, 17, 831), - Trans(31, 8, 17, 831), - Trans(31, 9, 17, 831), - Trans(31, 10, 17, 831), - Trans(31, 11, 17, 831), - Trans(31, 18, 17, 831), - Trans(31, 24, 17, 831), - Trans(31, 25, 17, 831), - Trans(31, 26, 17, 831), - Trans(31, 27, 17, 831), - Trans(31, 38, 17, 831), - Trans(31, 39, 17, 831), - Trans(31, 41, 17, 831), - Trans(31, 53, 17, 831), - Trans(31, 57, 17, 831), - Trans(31, 70, 17, 831), - Trans(31, 76, 17, 831), - Trans(31, 83, 17, 831), - Trans(31, 86, 17, 831), - Trans(31, 88, 17, 831), - Trans(31, 111, 17, 831), - Trans(31, 112, 17, 831), - Trans(32, 5, 17, 831), - Trans(32, 16, 17, 831), - Trans(32, 17, 17, 831), - Trans(32, 18, 17, 831), - Trans(32, 19, 17, 831), - Trans(32, 20, 17, 831), - Trans(32, 21, 17, 831), - Trans(32, 22, 17, 831), - Trans(32, 23, 17, 831), - Trans(32, 24, 17, 831), - Trans(32, 25, 17, 831), - Trans(32, 26, 17, 831), - Trans(32, 29, 17, 831), - Trans(32, 30, 17, 831), - Trans(32, 34, 17, 831), - Trans(32, 40, 17, 831), - Trans(32, 41, 17, 831), - Trans(32, 47, 17, 831), - Trans(32, 51, 17, 831), - Trans(33, 5, 17, 831), - Trans(33, 16, 17, 831), - Trans(33, 17, 17, 831), - Trans(33, 18, 17, 831), - Trans(33, 19, 17, 831), - Trans(33, 20, 17, 831), - Trans(33, 21, 17, 831), - Trans(33, 22, 17, 831), - Trans(33, 23, 17, 831), - Trans(33, 24, 17, 831), - Trans(33, 25, 17, 831), - Trans(33, 26, 17, 831), - Trans(33, 28, 17, 831), - Trans(33, 29, 17, 831), - Trans(33, 30, 17, 831), - Trans(33, 34, 17, 831), - Trans(33, 40, 17, 831), - Trans(33, 41, 17, 831), - Trans(33, 47, 17, 831), - Trans(33, 51, 17, 831), - Trans(34, 30, 17, 831), - Trans(34, 36, 17, 831), - Trans(34, 39, 17, 831), - Trans(34, 43, 17, 831), - Trans(34, 60, 17, 831), - Trans(34, 64, 17, 831), - Trans(34, 65, 17, 831), - Trans(34, 66, 17, 831), - Trans(34, 70, 17, 831), - Trans(34, 71, 17, 831), - Trans(34, 73, 17, 831), - Trans(34, 80, 17, 831), - Trans(34, 81, 17, 831), - Trans(34, 84, 17, 831), - Trans(34, 104, 17, 831), - Trans(34, 106, 17, 831), - Trans(34, 109, 17, 831), - Trans(34, 110, 17, 831), - Trans(35, 5, 17, 831), - Trans(35, 30, 17, 831), - Trans(35, 36, 17, 831), - Trans(35, 39, 17, 831), - Trans(35, 43, 17, 831), - Trans(35, 60, 17, 831), - Trans(35, 64, 17, 831), - Trans(35, 65, 17, 831), - Trans(35, 66, 17, 831), - Trans(35, 70, 17, 831), - Trans(35, 71, 17, 831), - Trans(35, 73, 17, 831), - Trans(35, 80, 17, 831), - Trans(35, 81, 17, 831), - Trans(35, 84, 17, 831), - Trans(35, 104, 17, 831), - Trans(35, 106, 17, 831), - Trans(35, 109, 17, 831), - Trans(35, 110, 17, 831), - Trans(36, 39, 17, 831), - Trans(37, 5, 17, 831), - Trans(37, 43, 17, 831), - Trans(37, 53, 17, 831), - Trans(37, 65, 17, 831), - Trans(37, 69, 17, 831), - Trans(37, 70, 17, 831), - Trans(37, 80, 17, 831), - Trans(37, 99, 17, 831), - Trans(37, 100, 17, 831), - Trans(37, 111, 17, 831), - Trans(37, 112, 17, 831), - Trans(38, 40, 17, 831), - Trans(39, 111, 17, 831), - Trans(39, 112, 17, 831), - Trans(40, 5, 17, 831), - Trans(40, 29, 17, 831), - Trans(40, 46, 17, 831), - Trans(41, 5, 17, 831), - Trans(41, 28, 17, 831), - Trans(41, 29, 17, 831), - Trans(41, 46, 17, 831), - Trans(42, 112, 17, 831), - Trans(43, 5, 17, 831), - Trans(43, 30, 17, 831), - Trans(44, 5, 17, 831), - Trans(44, 79, 17, 831), - Trans(45, 5, 17, 831), - Trans(45, 13, 17, 831), - Trans(45, 28, 17, 831), - Trans(45, 39, 17, 831), - Trans(45, 41, 17, 831), - Trans(46, 5, 17, 831), - Trans(46, 28, 17, 831), - Trans(46, 39, 17, 831), - Trans(47, 5, 17, 831), - Trans(47, 35, 17, 831), + Trans(18, 0, 17, 828), + Trans(18, 30, 17, 828), + Trans(18, 36, 17, 828), + Trans(18, 39, 17, 828), + Trans(18, 43, 17, 828), + Trans(18, 58, 17, 828), + Trans(18, 59, 17, 828), + Trans(18, 60, 17, 828), + Trans(18, 64, 17, 828), + Trans(18, 65, 17, 828), + Trans(18, 66, 17, 828), + Trans(18, 70, 17, 828), + Trans(18, 71, 17, 828), + Trans(18, 72, 17, 828), + Trans(18, 73, 17, 828), + Trans(18, 78, 17, 828), + Trans(18, 80, 17, 828), + Trans(18, 81, 17, 828), + Trans(18, 84, 17, 828), + Trans(18, 85, 17, 828), + Trans(18, 89, 17, 828), + Trans(18, 91, 17, 828), + Trans(18, 104, 17, 828), + Trans(18, 106, 17, 828), + Trans(18, 109, 17, 828), + Trans(18, 110, 17, 828), + Trans(19, 5, 17, 828), + Trans(19, 112, 17, 828), + Trans(20, 5, 17, 828), + Trans(20, 40, 17, 828), + Trans(21, 5, 17, 828), + Trans(21, 30, 17, 828), + Trans(21, 36, 17, 828), + Trans(21, 39, 17, 828), + Trans(21, 43, 17, 828), + Trans(21, 59, 17, 828), + Trans(21, 60, 17, 828), + Trans(21, 64, 17, 828), + Trans(21, 65, 17, 828), + Trans(21, 66, 17, 828), + Trans(21, 70, 17, 828), + Trans(21, 71, 17, 828), + Trans(21, 72, 17, 828), + Trans(21, 73, 17, 828), + Trans(21, 78, 17, 828), + Trans(21, 80, 17, 828), + Trans(21, 81, 17, 828), + Trans(21, 84, 17, 828), + Trans(21, 85, 17, 828), + Trans(21, 89, 17, 828), + Trans(21, 91, 17, 828), + Trans(21, 104, 17, 828), + Trans(21, 106, 17, 828), + Trans(21, 109, 17, 828), + Trans(21, 110, 17, 828), + Trans(22, 0, 17, 828), + Trans(22, 5, 17, 828), + Trans(22, 30, 17, 828), + Trans(22, 36, 17, 828), + Trans(22, 39, 17, 828), + Trans(22, 43, 17, 828), + Trans(22, 58, 17, 828), + Trans(22, 59, 17, 828), + Trans(22, 60, 17, 828), + Trans(22, 64, 17, 828), + Trans(22, 65, 17, 828), + Trans(22, 66, 17, 828), + Trans(22, 70, 17, 828), + Trans(22, 71, 17, 828), + Trans(22, 72, 17, 828), + Trans(22, 73, 17, 828), + Trans(22, 78, 17, 828), + Trans(22, 80, 17, 828), + Trans(22, 81, 17, 828), + Trans(22, 84, 17, 828), + Trans(22, 85, 17, 828), + Trans(22, 89, 17, 828), + Trans(22, 91, 17, 828), + Trans(22, 104, 17, 828), + Trans(22, 106, 17, 828), + Trans(22, 109, 17, 828), + Trans(22, 110, 17, 828), + Trans(23, 5, 17, 828), + Trans(23, 30, 17, 828), + Trans(23, 39, 17, 828), + Trans(23, 70, 17, 828), + Trans(24, 5, 17, 828), + Trans(24, 41, 17, 828), + Trans(25, 5, 17, 828), + Trans(25, 39, 17, 828), + Trans(26, 5, 17, 828), + Trans(26, 6, 17, 828), + Trans(26, 7, 17, 828), + Trans(26, 8, 17, 828), + Trans(26, 9, 17, 828), + Trans(26, 10, 17, 828), + Trans(26, 11, 17, 828), + Trans(26, 18, 17, 828), + Trans(26, 24, 17, 828), + Trans(26, 25, 17, 828), + Trans(26, 26, 17, 828), + Trans(26, 27, 17, 828), + Trans(26, 38, 17, 828), + Trans(26, 39, 17, 828), + Trans(26, 41, 17, 828), + Trans(26, 53, 17, 828), + Trans(26, 70, 17, 828), + Trans(26, 76, 17, 828), + Trans(26, 83, 17, 828), + Trans(26, 86, 17, 828), + Trans(26, 88, 17, 828), + Trans(26, 111, 17, 828), + Trans(26, 112, 17, 828), + Trans(27, 5, 17, 828), + Trans(27, 111, 17, 828), + Trans(27, 112, 17, 828), + Trans(28, 5, 17, 828), + Trans(28, 78, 17, 828), + Trans(28, 85, 17, 828), + Trans(28, 89, 17, 828), + Trans(29, 6, 17, 828), + Trans(29, 7, 17, 828), + Trans(29, 8, 17, 828), + Trans(29, 9, 17, 828), + Trans(29, 10, 17, 828), + Trans(29, 11, 17, 828), + Trans(29, 18, 17, 828), + Trans(29, 24, 17, 828), + Trans(29, 25, 17, 828), + Trans(29, 26, 17, 828), + Trans(29, 27, 17, 828), + Trans(29, 38, 17, 828), + Trans(29, 39, 17, 828), + Trans(29, 41, 17, 828), + Trans(29, 53, 17, 828), + Trans(29, 70, 17, 828), + Trans(29, 76, 17, 828), + Trans(29, 83, 17, 828), + Trans(29, 86, 17, 828), + Trans(29, 88, 17, 828), + Trans(29, 111, 17, 828), + Trans(29, 112, 17, 828), + Trans(30, 5, 17, 828), + Trans(30, 16, 17, 828), + Trans(30, 17, 17, 828), + Trans(30, 18, 17, 828), + Trans(30, 19, 17, 828), + Trans(30, 20, 17, 828), + Trans(30, 21, 17, 828), + Trans(30, 22, 17, 828), + Trans(30, 23, 17, 828), + Trans(30, 24, 17, 828), + Trans(30, 25, 17, 828), + Trans(30, 26, 17, 828), + Trans(30, 30, 17, 828), + Trans(30, 47, 17, 828), + Trans(30, 51, 17, 828), + Trans(31, 5, 17, 828), + Trans(31, 6, 17, 828), + Trans(31, 7, 17, 828), + Trans(31, 8, 17, 828), + Trans(31, 9, 17, 828), + Trans(31, 10, 17, 828), + Trans(31, 11, 17, 828), + Trans(31, 18, 17, 828), + Trans(31, 24, 17, 828), + Trans(31, 25, 17, 828), + Trans(31, 26, 17, 828), + Trans(31, 27, 17, 828), + Trans(31, 38, 17, 828), + Trans(31, 39, 17, 828), + Trans(31, 41, 17, 828), + Trans(31, 53, 17, 828), + Trans(31, 57, 17, 828), + Trans(31, 70, 17, 828), + Trans(31, 76, 17, 828), + Trans(31, 83, 17, 828), + Trans(31, 86, 17, 828), + Trans(31, 88, 17, 828), + Trans(31, 111, 17, 828), + Trans(31, 112, 17, 828), + Trans(32, 5, 17, 828), + Trans(32, 16, 17, 828), + Trans(32, 17, 17, 828), + Trans(32, 18, 17, 828), + Trans(32, 19, 17, 828), + Trans(32, 20, 17, 828), + Trans(32, 21, 17, 828), + Trans(32, 22, 17, 828), + Trans(32, 23, 17, 828), + Trans(32, 24, 17, 828), + Trans(32, 25, 17, 828), + Trans(32, 26, 17, 828), + Trans(32, 29, 17, 828), + Trans(32, 30, 17, 828), + Trans(32, 34, 17, 828), + Trans(32, 40, 17, 828), + Trans(32, 41, 17, 828), + Trans(32, 47, 17, 828), + Trans(32, 51, 17, 828), + Trans(33, 5, 17, 828), + Trans(33, 16, 17, 828), + Trans(33, 17, 17, 828), + Trans(33, 18, 17, 828), + Trans(33, 19, 17, 828), + Trans(33, 20, 17, 828), + Trans(33, 21, 17, 828), + Trans(33, 22, 17, 828), + Trans(33, 23, 17, 828), + Trans(33, 24, 17, 828), + Trans(33, 25, 17, 828), + Trans(33, 26, 17, 828), + Trans(33, 28, 17, 828), + Trans(33, 29, 17, 828), + Trans(33, 30, 17, 828), + Trans(33, 34, 17, 828), + Trans(33, 40, 17, 828), + Trans(33, 41, 17, 828), + Trans(33, 47, 17, 828), + Trans(33, 51, 17, 828), + Trans(34, 30, 17, 828), + Trans(34, 36, 17, 828), + Trans(34, 39, 17, 828), + Trans(34, 43, 17, 828), + Trans(34, 60, 17, 828), + Trans(34, 64, 17, 828), + Trans(34, 65, 17, 828), + Trans(34, 66, 17, 828), + Trans(34, 70, 17, 828), + Trans(34, 71, 17, 828), + Trans(34, 73, 17, 828), + Trans(34, 80, 17, 828), + Trans(34, 81, 17, 828), + Trans(34, 84, 17, 828), + Trans(34, 104, 17, 828), + Trans(34, 106, 17, 828), + Trans(34, 109, 17, 828), + Trans(34, 110, 17, 828), + Trans(35, 5, 17, 828), + Trans(35, 30, 17, 828), + Trans(35, 36, 17, 828), + Trans(35, 39, 17, 828), + Trans(35, 43, 17, 828), + Trans(35, 60, 17, 828), + Trans(35, 64, 17, 828), + Trans(35, 65, 17, 828), + Trans(35, 66, 17, 828), + Trans(35, 70, 17, 828), + Trans(35, 71, 17, 828), + Trans(35, 73, 17, 828), + Trans(35, 80, 17, 828), + Trans(35, 81, 17, 828), + Trans(35, 84, 17, 828), + Trans(35, 104, 17, 828), + Trans(35, 106, 17, 828), + Trans(35, 109, 17, 828), + Trans(35, 110, 17, 828), + Trans(36, 39, 17, 828), + Trans(37, 5, 17, 828), + Trans(37, 43, 17, 828), + Trans(37, 53, 17, 828), + Trans(37, 65, 17, 828), + Trans(37, 69, 17, 828), + Trans(37, 70, 17, 828), + Trans(37, 80, 17, 828), + Trans(37, 99, 17, 828), + Trans(37, 100, 17, 828), + Trans(37, 111, 17, 828), + Trans(37, 112, 17, 828), + Trans(38, 40, 17, 828), + Trans(39, 111, 17, 828), + Trans(39, 112, 17, 828), + Trans(40, 5, 17, 828), + Trans(40, 29, 17, 828), + Trans(40, 46, 17, 828), + Trans(41, 5, 17, 828), + Trans(41, 28, 17, 828), + Trans(41, 29, 17, 828), + Trans(41, 46, 17, 828), + Trans(42, 112, 17, 828), + Trans(43, 5, 17, 828), + Trans(43, 30, 17, 828), + Trans(44, 5, 17, 828), + Trans(44, 79, 17, 828), + Trans(45, 5, 17, 828), + Trans(45, 13, 17, 828), + Trans(45, 28, 17, 828), + Trans(45, 39, 17, 828), + Trans(45, 41, 17, 828), + Trans(46, 5, 17, 828), + Trans(46, 28, 17, 828), + Trans(46, 39, 17, 828), + Trans(47, 5, 17, 828), + Trans(47, 35, 17, 828), ], k: 3, }, - /* 338 - "InterfaceIfDeclarationOpt" */ + /* 337 - "InterfaceIfDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 833), - Trans(0, 36, 2, 833), - Trans(0, 39, 2, 833), - Trans(0, 43, 2, 833), - Trans(0, 58, 1, 832), - Trans(0, 60, 2, 833), - Trans(0, 64, 2, 833), - Trans(0, 65, 2, 833), - Trans(0, 66, 2, 833), - Trans(0, 70, 2, 833), - Trans(0, 71, 2, 833), - Trans(0, 73, 2, 833), - Trans(0, 80, 2, 833), - Trans(0, 81, 2, 833), - Trans(0, 84, 2, 833), - Trans(0, 104, 2, 833), - Trans(0, 106, 2, 833), - Trans(0, 109, 2, 833), - Trans(0, 110, 2, 833), + Trans(0, 30, 2, 830), + Trans(0, 36, 2, 830), + Trans(0, 39, 2, 830), + Trans(0, 43, 2, 830), + Trans(0, 58, 1, 829), + Trans(0, 60, 2, 830), + Trans(0, 64, 2, 830), + Trans(0, 65, 2, 830), + Trans(0, 66, 2, 830), + Trans(0, 70, 2, 830), + Trans(0, 71, 2, 830), + Trans(0, 73, 2, 830), + Trans(0, 80, 2, 830), + Trans(0, 81, 2, 830), + Trans(0, 84, 2, 830), + Trans(0, 104, 2, 830), + Trans(0, 106, 2, 830), + Trans(0, 109, 2, 830), + Trans(0, 110, 2, 830), ], k: 1, }, - /* 339 - "InterfaceItem" */ + /* 338 - "InterfaceItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 10, 861), - Trans(0, 60, 8, 859), - Trans(0, 64, 14, 865), - Trans(0, 65, 6, 857), - Trans(0, 66, 11, 862), - Trans(0, 70, 5, 856), - Trans(0, 71, 12, 863), - Trans(0, 73, 13, 864), - Trans(0, 80, 1, 852), - Trans(0, 81, 3, 854), - Trans(0, 84, 4, 855), - Trans(0, 104, 9, 860), - Trans(0, 106, 7, 858), - Trans(0, 109, 9, 860), - Trans(0, 110, 2, 853), + Trans(0, 30, 10, 858), + Trans(0, 60, 8, 856), + Trans(0, 64, 14, 862), + Trans(0, 65, 6, 854), + Trans(0, 66, 11, 859), + Trans(0, 70, 5, 853), + Trans(0, 71, 12, 860), + Trans(0, 73, 13, 861), + Trans(0, 80, 1, 849), + Trans(0, 81, 3, 851), + Trans(0, 84, 4, 852), + Trans(0, 104, 9, 857), + Trans(0, 106, 7, 855), + Trans(0, 109, 9, 857), + Trans(0, 110, 2, 850), ], k: 1, }, - /* 340 - "InterfaceNamedBlock" */ + /* 339 - "InterfaceNamedBlock" */ + LookaheadDFA { + prod0: 834, + transitions: &[], + k: 0, + }, + /* 340 - "InterfaceNamedBlockList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 30, 1, 835), + Trans(0, 36, 1, 835), + Trans(0, 39, 1, 835), + Trans(0, 43, 2, 836), + Trans(0, 60, 1, 835), + Trans(0, 64, 1, 835), + Trans(0, 65, 1, 835), + Trans(0, 66, 1, 835), + Trans(0, 70, 1, 835), + Trans(0, 71, 1, 835), + Trans(0, 73, 1, 835), + Trans(0, 80, 1, 835), + Trans(0, 81, 1, 835), + Trans(0, 84, 1, 835), + Trans(0, 104, 1, 835), + Trans(0, 106, 1, 835), + Trans(0, 109, 1, 835), + Trans(0, 110, 1, 835), + ], + k: 1, + }, + /* 341 - "InterfaceOptionalNamedBlock" */ LookaheadDFA { prod0: 837, transitions: &[], k: 0, }, - /* 341 - "InterfaceNamedBlockList" */ + /* 342 - "InterfaceOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -9081,329 +9105,298 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 342 - "InterfaceOptionalNamedBlock" */ - LookaheadDFA { - prod0: 840, - transitions: &[], - k: 0, - }, - /* 343 - "InterfaceOptionalNamedBlockList" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 30, 1, 841), - Trans(0, 36, 1, 841), - Trans(0, 39, 1, 841), - Trans(0, 43, 2, 842), - Trans(0, 60, 1, 841), - Trans(0, 64, 1, 841), - Trans(0, 65, 1, 841), - Trans(0, 66, 1, 841), - Trans(0, 70, 1, 841), - Trans(0, 71, 1, 841), - Trans(0, 73, 1, 841), - Trans(0, 80, 1, 841), - Trans(0, 81, 1, 841), - Trans(0, 84, 1, 841), - Trans(0, 104, 1, 841), - Trans(0, 106, 1, 841), - Trans(0, 109, 1, 841), - Trans(0, 110, 1, 841), - ], - k: 1, - }, - /* 344 - "InterfaceOptionalNamedBlockOpt" */ + /* 343 - "InterfaceOptionalNamedBlockOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 843), Trans(0, 39, 2, 844)], + transitions: &[Trans(0, 30, 1, 840), Trans(0, 39, 2, 841)], k: 1, }, - /* 345 - "InterfaceTerm" */ + /* 344 - "InterfaceTerm" */ LookaheadDFA { prod0: 73, transitions: &[], k: 0, }, - /* 346 - "InterfaceToken" */ + /* 345 - "InterfaceToken" */ LookaheadDFA { prod0: 185, transitions: &[], k: 0, }, - /* 347 - "LAngle" */ + /* 346 - "LAngle" */ LookaheadDFA { prod0: 250, transitions: &[], k: 0, }, - /* 348 - "LAngleTerm" */ + /* 347 - "LAngleTerm" */ LookaheadDFA { prod0: 32, transitions: &[], k: 0, }, - /* 349 - "LAngleToken" */ + /* 348 - "LAngleToken" */ LookaheadDFA { prod0: 142, transitions: &[], k: 0, }, - /* 350 - "LBrace" */ + /* 349 - "LBrace" */ LookaheadDFA { prod0: 251, transitions: &[], k: 0, }, - /* 351 - "LBraceTerm" */ + /* 350 - "LBraceTerm" */ LookaheadDFA { prod0: 34, transitions: &[], k: 0, }, - /* 352 - "LBraceToken" */ + /* 351 - "LBraceToken" */ LookaheadDFA { prod0: 143, transitions: &[], k: 0, }, - /* 353 - "LBracket" */ + /* 352 - "LBracket" */ LookaheadDFA { prod0: 252, transitions: &[], k: 0, }, - /* 354 - "LBracketTerm" */ + /* 353 - "LBracketTerm" */ LookaheadDFA { prod0: 35, transitions: &[], k: 0, }, - /* 355 - "LBracketToken" */ + /* 354 - "LBracketToken" */ LookaheadDFA { prod0: 144, transitions: &[], k: 0, }, - /* 356 - "LParen" */ + /* 355 - "LParen" */ LookaheadDFA { prod0: 253, transitions: &[], k: 0, }, - /* 357 - "LParenTerm" */ + /* 356 - "LParenTerm" */ LookaheadDFA { prod0: 36, transitions: &[], k: 0, }, - /* 358 - "LParenToken" */ + /* 357 - "LParenToken" */ LookaheadDFA { prod0: 145, transitions: &[], k: 0, }, - /* 359 - "Let" */ + /* 358 - "Let" */ LookaheadDFA { prod0: 296, transitions: &[], k: 0, }, - /* 360 - "LetDeclaration" */ + /* 359 - "LetDeclaration" */ LookaheadDFA { - prod0: 583, + prod0: 580, transitions: &[], k: 0, }, - /* 361 - "LetStatement" */ + /* 360 - "LetStatement" */ LookaheadDFA { - prod0: 525, + prod0: 521, transitions: &[], k: 0, }, - /* 362 - "LetTerm" */ + /* 361 - "LetTerm" */ LookaheadDFA { prod0: 75, transitions: &[], k: 0, }, - /* 363 - "LetToken" */ + /* 362 - "LetToken" */ LookaheadDFA { prod0: 187, transitions: &[], k: 0, }, - /* 364 - "Local" */ + /* 363 - "Local" */ LookaheadDFA { prod0: 297, transitions: &[], k: 0, }, - /* 365 - "LocalDeclaration" */ + /* 364 - "LocalDeclaration" */ LookaheadDFA { - prod0: 585, + prod0: 582, transitions: &[], k: 0, }, - /* 366 - "LocalDeclarationGroup" */ + /* 365 - "LocalDeclarationGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 586), - Trans(0, 54, 1, 586), - Trans(0, 55, 1, 586), - Trans(0, 56, 1, 586), - Trans(0, 62, 1, 586), - Trans(0, 63, 1, 586), - Trans(0, 67, 1, 586), - Trans(0, 68, 1, 586), - Trans(0, 82, 1, 586), - Trans(0, 94, 1, 586), - Trans(0, 95, 1, 586), - Trans(0, 96, 1, 586), - Trans(0, 97, 1, 586), - Trans(0, 98, 1, 586), - Trans(0, 101, 1, 586), - Trans(0, 103, 1, 586), - Trans(0, 105, 1, 586), - Trans(0, 106, 2, 587), - Trans(0, 107, 1, 586), - Trans(0, 108, 1, 586), - Trans(0, 111, 1, 586), - Trans(0, 112, 1, 586), + Trans(0, 52, 1, 583), + Trans(0, 54, 1, 583), + Trans(0, 55, 1, 583), + Trans(0, 56, 1, 583), + Trans(0, 62, 1, 583), + Trans(0, 63, 1, 583), + Trans(0, 67, 1, 583), + Trans(0, 68, 1, 583), + Trans(0, 82, 1, 583), + Trans(0, 94, 1, 583), + Trans(0, 95, 1, 583), + Trans(0, 96, 1, 583), + Trans(0, 97, 1, 583), + Trans(0, 98, 1, 583), + Trans(0, 101, 1, 583), + Trans(0, 103, 1, 583), + Trans(0, 105, 1, 583), + Trans(0, 106, 2, 584), + Trans(0, 107, 1, 583), + Trans(0, 108, 1, 583), + Trans(0, 111, 1, 583), + Trans(0, 112, 1, 583), ], k: 1, }, - /* 367 - "LocalTerm" */ + /* 366 - "LocalTerm" */ LookaheadDFA { prod0: 76, transitions: &[], k: 0, }, - /* 368 - "LocalToken" */ + /* 367 - "LocalToken" */ LookaheadDFA { prod0: 188, transitions: &[], k: 0, }, - /* 369 - "Logic" */ + /* 368 - "Logic" */ LookaheadDFA { prod0: 298, transitions: &[], k: 0, }, - /* 370 - "LogicTerm" */ + /* 369 - "LogicTerm" */ LookaheadDFA { prod0: 77, transitions: &[], k: 0, }, - /* 371 - "LogicToken" */ + /* 370 - "LogicToken" */ LookaheadDFA { prod0: 189, transitions: &[], k: 0, }, - /* 372 - "Lsb" */ + /* 371 - "Lsb" */ LookaheadDFA { prod0: 299, transitions: &[], k: 0, }, - /* 373 - "LsbTerm" */ + /* 372 - "LsbTerm" */ LookaheadDFA { prod0: 78, transitions: &[], k: 0, }, - /* 374 - "LsbToken" */ + /* 373 - "LsbToken" */ LookaheadDFA { prod0: 190, transitions: &[], k: 0, }, - /* 375 - "MinusColon" */ + /* 374 - "MinusColon" */ LookaheadDFA { prod0: 254, transitions: &[], k: 0, }, - /* 376 - "MinusColonTerm" */ + /* 375 - "MinusColonTerm" */ LookaheadDFA { prod0: 7, transitions: &[], k: 0, }, - /* 377 - "MinusColonToken" */ + /* 376 - "MinusColonToken" */ LookaheadDFA { prod0: 146, transitions: &[], k: 0, }, - /* 378 - "MinusGT" */ + /* 377 - "MinusGT" */ LookaheadDFA { prod0: 255, transitions: &[], k: 0, }, - /* 379 - "MinusGTTerm" */ + /* 378 - "MinusGTTerm" */ LookaheadDFA { prod0: 8, transitions: &[], k: 0, }, - /* 380 - "MinusGTToken" */ + /* 379 - "MinusGTToken" */ LookaheadDFA { prod0: 147, transitions: &[], k: 0, }, - /* 381 - "Modport" */ + /* 380 - "Modport" */ LookaheadDFA { prod0: 300, transitions: &[], k: 0, }, - /* 382 - "ModportDeclaration" */ + /* 381 - "ModportDeclaration" */ LookaheadDFA { - prod0: 603, + prod0: 600, transitions: &[], k: 0, }, - /* 383 - "ModportGroup" */ + /* 382 - "ModportGroup" */ LookaheadDFA { - prod0: 609, + prod0: 606, transitions: &[], k: 0, }, - /* 384 - "ModportGroupGroup" */ + /* 383 - "ModportGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 610), Trans(0, 112, 2, 611)], + transitions: &[Trans(0, 39, 1, 607), Trans(0, 112, 2, 608)], k: 1, }, - /* 385 - "ModportGroupList" */ + /* 384 - "ModportGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 612), - Trans(0, 39, 2, 613), - Trans(0, 112, 2, 613), + Trans(0, 36, 1, 609), + Trans(0, 39, 2, 610), + Trans(0, 112, 2, 610), ], k: 1, }, - /* 386 - "ModportItem" */ + /* 385 - "ModportItem" */ LookaheadDFA { - prod0: 614, + prod0: 611, transitions: &[], k: 0, }, - /* 387 - "ModportList" */ + /* 386 - "ModportList" */ LookaheadDFA { - prod0: 604, + prod0: 601, transitions: &[], k: 0, }, - /* 388 - "ModportListList" */ + /* 387 - "ModportListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -9414,18 +9407,18 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 39, 4, -1), Trans(1, 43, 18, -1), Trans(1, 112, 5, -1), - Trans(2, 5, 3, 605), - Trans(2, 40, 3, 605), - Trans(4, 5, 3, 605), - Trans(4, 36, 3, 605), - Trans(4, 39, 3, 605), - Trans(4, 112, 3, 605), - Trans(5, 5, 3, 605), - Trans(5, 30, 3, 605), - Trans(6, 36, 3, 605), - Trans(6, 39, 3, 605), - Trans(6, 43, 17, 606), - Trans(6, 112, 3, 605), + Trans(2, 5, 3, 602), + Trans(2, 40, 3, 602), + Trans(4, 5, 3, 602), + Trans(4, 36, 3, 602), + Trans(4, 39, 3, 602), + Trans(4, 112, 3, 602), + Trans(5, 5, 3, 602), + Trans(5, 30, 3, 602), + Trans(6, 36, 3, 602), + Trans(6, 39, 3, 602), + Trans(6, 43, 17, 603), + Trans(6, 112, 3, 602), Trans(7, 5, 8, -1), Trans(7, 30, 9, -1), Trans(7, 31, 10, -1), @@ -9446,329 +9439,329 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 106, 9, -1), Trans(7, 109, 9, -1), Trans(7, 110, 9, -1), - Trans(8, 30, 17, 606), - Trans(8, 31, 17, 606), - Trans(8, 36, 17, 606), - Trans(8, 39, 17, 606), - Trans(8, 43, 17, 606), - Trans(8, 60, 17, 606), - Trans(8, 64, 17, 606), - Trans(8, 65, 17, 606), - Trans(8, 66, 17, 606), - Trans(8, 70, 17, 606), - Trans(8, 71, 17, 606), - Trans(8, 73, 17, 606), - Trans(8, 80, 17, 606), - Trans(8, 81, 17, 606), - Trans(8, 84, 17, 606), - Trans(8, 104, 17, 606), - Trans(8, 106, 17, 606), - Trans(8, 109, 17, 606), - Trans(8, 110, 17, 606), - Trans(9, 5, 17, 606), - Trans(9, 112, 17, 606), - Trans(10, 5, 17, 606), - Trans(10, 36, 17, 606), - Trans(10, 39, 17, 606), - Trans(10, 43, 17, 606), - Trans(10, 112, 17, 606), - Trans(11, 5, 17, 606), - Trans(11, 40, 17, 606), - Trans(12, 5, 17, 606), - Trans(12, 30, 17, 606), - Trans(12, 36, 17, 606), - Trans(12, 39, 17, 606), - Trans(12, 43, 17, 606), - Trans(12, 60, 17, 606), - Trans(12, 64, 17, 606), - Trans(12, 65, 17, 606), - Trans(12, 66, 17, 606), - Trans(12, 70, 17, 606), - Trans(12, 71, 17, 606), - Trans(12, 73, 17, 606), - Trans(12, 80, 17, 606), - Trans(12, 81, 17, 606), - Trans(12, 84, 17, 606), - Trans(12, 104, 17, 606), - Trans(12, 106, 17, 606), - Trans(12, 109, 17, 606), - Trans(12, 110, 17, 606), - Trans(13, 0, 17, 606), - Trans(13, 5, 17, 606), - Trans(13, 30, 17, 606), - Trans(13, 31, 17, 606), - Trans(13, 36, 17, 606), - Trans(13, 39, 17, 606), - Trans(13, 43, 17, 606), - Trans(13, 58, 17, 606), - Trans(13, 59, 17, 606), - Trans(13, 60, 17, 606), - Trans(13, 64, 17, 606), - Trans(13, 65, 17, 606), - Trans(13, 66, 17, 606), - Trans(13, 70, 17, 606), - Trans(13, 71, 17, 606), - Trans(13, 72, 17, 606), - Trans(13, 73, 17, 606), - Trans(13, 78, 17, 606), - Trans(13, 80, 17, 606), - Trans(13, 81, 17, 606), - Trans(13, 84, 17, 606), - Trans(13, 85, 17, 606), - Trans(13, 89, 17, 606), - Trans(13, 91, 17, 606), - Trans(13, 104, 17, 606), - Trans(13, 106, 17, 606), - Trans(13, 109, 17, 606), - Trans(13, 110, 17, 606), - Trans(14, 5, 17, 606), - Trans(14, 39, 17, 606), - Trans(15, 5, 17, 606), - Trans(15, 6, 17, 606), - Trans(15, 7, 17, 606), - Trans(15, 8, 17, 606), - Trans(15, 9, 17, 606), - Trans(15, 10, 17, 606), - Trans(15, 11, 17, 606), - Trans(15, 18, 17, 606), - Trans(15, 24, 17, 606), - Trans(15, 25, 17, 606), - Trans(15, 26, 17, 606), - Trans(15, 27, 17, 606), - Trans(15, 38, 17, 606), - Trans(15, 39, 17, 606), - Trans(15, 41, 17, 606), - Trans(15, 53, 17, 606), - Trans(15, 70, 17, 606), - Trans(15, 76, 17, 606), - Trans(15, 83, 17, 606), - Trans(15, 86, 17, 606), - Trans(15, 88, 17, 606), - Trans(15, 111, 17, 606), - Trans(15, 112, 17, 606), - Trans(16, 5, 17, 606), - Trans(16, 111, 17, 606), - Trans(16, 112, 17, 606), - Trans(18, 5, 17, 606), - Trans(18, 30, 17, 606), - Trans(18, 31, 17, 606), - Trans(18, 36, 17, 606), - Trans(18, 39, 17, 606), - Trans(18, 43, 17, 606), - Trans(18, 60, 17, 606), - Trans(18, 64, 17, 606), - Trans(18, 65, 17, 606), - Trans(18, 66, 17, 606), - Trans(18, 70, 17, 606), - Trans(18, 71, 17, 606), - Trans(18, 73, 17, 606), - Trans(18, 80, 17, 606), - Trans(18, 81, 17, 606), - Trans(18, 84, 17, 606), - Trans(18, 104, 17, 606), - Trans(18, 106, 17, 606), - Trans(18, 109, 17, 606), - Trans(18, 110, 17, 606), + Trans(8, 30, 17, 603), + Trans(8, 31, 17, 603), + Trans(8, 36, 17, 603), + Trans(8, 39, 17, 603), + Trans(8, 43, 17, 603), + Trans(8, 60, 17, 603), + Trans(8, 64, 17, 603), + Trans(8, 65, 17, 603), + Trans(8, 66, 17, 603), + Trans(8, 70, 17, 603), + Trans(8, 71, 17, 603), + Trans(8, 73, 17, 603), + Trans(8, 80, 17, 603), + Trans(8, 81, 17, 603), + Trans(8, 84, 17, 603), + Trans(8, 104, 17, 603), + Trans(8, 106, 17, 603), + Trans(8, 109, 17, 603), + Trans(8, 110, 17, 603), + Trans(9, 5, 17, 603), + Trans(9, 112, 17, 603), + Trans(10, 5, 17, 603), + Trans(10, 36, 17, 603), + Trans(10, 39, 17, 603), + Trans(10, 43, 17, 603), + Trans(10, 112, 17, 603), + Trans(11, 5, 17, 603), + Trans(11, 40, 17, 603), + Trans(12, 5, 17, 603), + Trans(12, 30, 17, 603), + Trans(12, 36, 17, 603), + Trans(12, 39, 17, 603), + Trans(12, 43, 17, 603), + Trans(12, 60, 17, 603), + Trans(12, 64, 17, 603), + Trans(12, 65, 17, 603), + Trans(12, 66, 17, 603), + Trans(12, 70, 17, 603), + Trans(12, 71, 17, 603), + Trans(12, 73, 17, 603), + Trans(12, 80, 17, 603), + Trans(12, 81, 17, 603), + Trans(12, 84, 17, 603), + Trans(12, 104, 17, 603), + Trans(12, 106, 17, 603), + Trans(12, 109, 17, 603), + Trans(12, 110, 17, 603), + Trans(13, 0, 17, 603), + Trans(13, 5, 17, 603), + Trans(13, 30, 17, 603), + Trans(13, 31, 17, 603), + Trans(13, 36, 17, 603), + Trans(13, 39, 17, 603), + Trans(13, 43, 17, 603), + Trans(13, 58, 17, 603), + Trans(13, 59, 17, 603), + Trans(13, 60, 17, 603), + Trans(13, 64, 17, 603), + Trans(13, 65, 17, 603), + Trans(13, 66, 17, 603), + Trans(13, 70, 17, 603), + Trans(13, 71, 17, 603), + Trans(13, 72, 17, 603), + Trans(13, 73, 17, 603), + Trans(13, 78, 17, 603), + Trans(13, 80, 17, 603), + Trans(13, 81, 17, 603), + Trans(13, 84, 17, 603), + Trans(13, 85, 17, 603), + Trans(13, 89, 17, 603), + Trans(13, 91, 17, 603), + Trans(13, 104, 17, 603), + Trans(13, 106, 17, 603), + Trans(13, 109, 17, 603), + Trans(13, 110, 17, 603), + Trans(14, 5, 17, 603), + Trans(14, 39, 17, 603), + Trans(15, 5, 17, 603), + Trans(15, 6, 17, 603), + Trans(15, 7, 17, 603), + Trans(15, 8, 17, 603), + Trans(15, 9, 17, 603), + Trans(15, 10, 17, 603), + Trans(15, 11, 17, 603), + Trans(15, 18, 17, 603), + Trans(15, 24, 17, 603), + Trans(15, 25, 17, 603), + Trans(15, 26, 17, 603), + Trans(15, 27, 17, 603), + Trans(15, 38, 17, 603), + Trans(15, 39, 17, 603), + Trans(15, 41, 17, 603), + Trans(15, 53, 17, 603), + Trans(15, 70, 17, 603), + Trans(15, 76, 17, 603), + Trans(15, 83, 17, 603), + Trans(15, 86, 17, 603), + Trans(15, 88, 17, 603), + Trans(15, 111, 17, 603), + Trans(15, 112, 17, 603), + Trans(16, 5, 17, 603), + Trans(16, 111, 17, 603), + Trans(16, 112, 17, 603), + Trans(18, 5, 17, 603), + Trans(18, 30, 17, 603), + Trans(18, 31, 17, 603), + Trans(18, 36, 17, 603), + Trans(18, 39, 17, 603), + Trans(18, 43, 17, 603), + Trans(18, 60, 17, 603), + Trans(18, 64, 17, 603), + Trans(18, 65, 17, 603), + Trans(18, 66, 17, 603), + Trans(18, 70, 17, 603), + Trans(18, 71, 17, 603), + Trans(18, 73, 17, 603), + Trans(18, 80, 17, 603), + Trans(18, 81, 17, 603), + Trans(18, 84, 17, 603), + Trans(18, 104, 17, 603), + Trans(18, 106, 17, 603), + Trans(18, 109, 17, 603), + Trans(18, 110, 17, 603), ], k: 3, }, - /* 389 - "ModportListOpt" */ + /* 388 - "ModportListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 607), Trans(0, 43, 2, 608)], + transitions: &[Trans(0, 31, 1, 604), Trans(0, 43, 2, 605)], k: 1, }, - /* 390 - "ModportTerm" */ + /* 389 - "ModportTerm" */ LookaheadDFA { prod0: 79, transitions: &[], k: 0, }, - /* 391 - "ModportToken" */ + /* 390 - "ModportToken" */ LookaheadDFA { prod0: 191, transitions: &[], k: 0, }, - /* 392 - "Module" */ + /* 391 - "Module" */ LookaheadDFA { prod0: 301, transitions: &[], k: 0, }, - /* 393 - "ModuleDeclaration" */ + /* 392 - "ModuleDeclaration" */ LookaheadDFA { - prod0: 769, + prod0: 766, transitions: &[], k: 0, }, - /* 394 - "ModuleDeclarationList" */ + /* 393 - "ModuleDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 770), - Trans(0, 36, 1, 770), - Trans(0, 39, 1, 770), - Trans(0, 43, 2, 771), - Trans(0, 48, 1, 770), - Trans(0, 49, 1, 770), - Trans(0, 50, 1, 770), - Trans(0, 60, 1, 770), - Trans(0, 64, 1, 770), - Trans(0, 65, 1, 770), - Trans(0, 66, 1, 770), - Trans(0, 70, 1, 770), - Trans(0, 71, 1, 770), - Trans(0, 73, 1, 770), - Trans(0, 77, 1, 770), - Trans(0, 80, 1, 770), - Trans(0, 81, 1, 770), - Trans(0, 104, 1, 770), - Trans(0, 106, 1, 770), - Trans(0, 109, 1, 770), - Trans(0, 110, 1, 770), + Trans(0, 30, 1, 767), + Trans(0, 36, 1, 767), + Trans(0, 39, 1, 767), + Trans(0, 43, 2, 768), + Trans(0, 48, 1, 767), + Trans(0, 49, 1, 767), + Trans(0, 50, 1, 767), + Trans(0, 60, 1, 767), + Trans(0, 64, 1, 767), + Trans(0, 65, 1, 767), + Trans(0, 66, 1, 767), + Trans(0, 70, 1, 767), + Trans(0, 71, 1, 767), + Trans(0, 73, 1, 767), + Trans(0, 77, 1, 767), + Trans(0, 80, 1, 767), + Trans(0, 81, 1, 767), + Trans(0, 104, 1, 767), + Trans(0, 106, 1, 767), + Trans(0, 109, 1, 767), + Trans(0, 110, 1, 767), ], k: 1, }, - /* 395 - "ModuleDeclarationOpt" */ + /* 394 - "ModuleDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 85, 2, 779), Trans(0, 91, 1, 778)], + transitions: &[Trans(0, 85, 2, 776), Trans(0, 91, 1, 775)], k: 1, }, - /* 396 - "ModuleDeclarationOpt0" */ + /* 395 - "ModuleDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 28, 1, 776), - Trans(0, 36, 2, 777), - Trans(0, 39, 2, 777), - Trans(0, 41, 2, 777), + Trans(0, 28, 1, 773), + Trans(0, 36, 2, 774), + Trans(0, 39, 2, 774), + Trans(0, 41, 2, 774), ], k: 1, }, - /* 397 - "ModuleDeclarationOpt1" */ + /* 396 - "ModuleDeclarationOpt1" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 774), - Trans(0, 39, 2, 775), - Trans(0, 41, 2, 775), + Trans(0, 36, 1, 771), + Trans(0, 39, 2, 772), + Trans(0, 41, 2, 772), ], k: 1, }, - /* 398 - "ModuleDeclarationOpt2" */ + /* 397 - "ModuleDeclarationOpt2" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 2, 773), Trans(0, 41, 1, 772)], + transitions: &[Trans(0, 39, 2, 770), Trans(0, 41, 1, 769)], k: 1, }, - /* 399 - "ModuleForDeclaration" */ + /* 398 - "ModuleForDeclaration" */ LookaheadDFA { - prod0: 785, + prod0: 782, transitions: &[], k: 0, }, - /* 400 - "ModuleForDeclarationOpt" */ + /* 399 - "ModuleForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 787), Trans(0, 102, 1, 786)], + transitions: &[Trans(0, 30, 2, 784), Trans(0, 102, 1, 783)], k: 1, }, - /* 401 - "ModuleGroup" */ + /* 400 - "ModuleGroup" */ LookaheadDFA { - prod0: 796, + prod0: 793, transitions: &[], k: 0, }, - /* 402 - "ModuleGroupGroup" */ + /* 401 - "ModuleGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 800), - Trans(0, 39, 1, 797), - Trans(0, 48, 2, 800), - Trans(0, 49, 2, 800), - Trans(0, 50, 2, 800), - Trans(0, 60, 2, 800), - Trans(0, 64, 2, 800), - Trans(0, 65, 2, 800), - Trans(0, 66, 2, 800), - Trans(0, 70, 2, 800), - Trans(0, 71, 2, 800), - Trans(0, 73, 2, 800), - Trans(0, 77, 2, 800), - Trans(0, 80, 2, 800), - Trans(0, 81, 2, 800), - Trans(0, 104, 2, 800), - Trans(0, 106, 2, 800), - Trans(0, 109, 2, 800), - Trans(0, 110, 2, 800), + Trans(0, 30, 2, 797), + Trans(0, 39, 1, 794), + Trans(0, 48, 2, 797), + Trans(0, 49, 2, 797), + Trans(0, 50, 2, 797), + Trans(0, 60, 2, 797), + Trans(0, 64, 2, 797), + Trans(0, 65, 2, 797), + Trans(0, 66, 2, 797), + Trans(0, 70, 2, 797), + Trans(0, 71, 2, 797), + Trans(0, 73, 2, 797), + Trans(0, 77, 2, 797), + Trans(0, 80, 2, 797), + Trans(0, 81, 2, 797), + Trans(0, 104, 2, 797), + Trans(0, 106, 2, 797), + Trans(0, 109, 2, 797), + Trans(0, 110, 2, 797), ], k: 1, }, - /* 403 - "ModuleGroupGroupList" */ + /* 402 - "ModuleGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 798), - Trans(0, 36, 1, 798), - Trans(0, 39, 1, 798), - Trans(0, 43, 2, 799), - Trans(0, 48, 1, 798), - Trans(0, 49, 1, 798), - Trans(0, 50, 1, 798), - Trans(0, 60, 1, 798), - Trans(0, 64, 1, 798), - Trans(0, 65, 1, 798), - Trans(0, 66, 1, 798), - Trans(0, 70, 1, 798), - Trans(0, 71, 1, 798), - Trans(0, 73, 1, 798), - Trans(0, 77, 1, 798), - Trans(0, 80, 1, 798), - Trans(0, 81, 1, 798), - Trans(0, 104, 1, 798), - Trans(0, 106, 1, 798), - Trans(0, 109, 1, 798), - Trans(0, 110, 1, 798), + Trans(0, 30, 1, 795), + Trans(0, 36, 1, 795), + Trans(0, 39, 1, 795), + Trans(0, 43, 2, 796), + Trans(0, 48, 1, 795), + Trans(0, 49, 1, 795), + Trans(0, 50, 1, 795), + Trans(0, 60, 1, 795), + Trans(0, 64, 1, 795), + Trans(0, 65, 1, 795), + Trans(0, 66, 1, 795), + Trans(0, 70, 1, 795), + Trans(0, 71, 1, 795), + Trans(0, 73, 1, 795), + Trans(0, 77, 1, 795), + Trans(0, 80, 1, 795), + Trans(0, 81, 1, 795), + Trans(0, 104, 1, 795), + Trans(0, 106, 1, 795), + Trans(0, 109, 1, 795), + Trans(0, 110, 1, 795), ], k: 1, }, - /* 404 - "ModuleGroupList" */ + /* 403 - "ModuleGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 802), - Trans(0, 36, 1, 801), - Trans(0, 39, 2, 802), - Trans(0, 48, 2, 802), - Trans(0, 49, 2, 802), - Trans(0, 50, 2, 802), - Trans(0, 60, 2, 802), - Trans(0, 64, 2, 802), - Trans(0, 65, 2, 802), - Trans(0, 66, 2, 802), - Trans(0, 70, 2, 802), - Trans(0, 71, 2, 802), - Trans(0, 73, 2, 802), - Trans(0, 77, 2, 802), - Trans(0, 80, 2, 802), - Trans(0, 81, 2, 802), - Trans(0, 104, 2, 802), - Trans(0, 106, 2, 802), - Trans(0, 109, 2, 802), - Trans(0, 110, 2, 802), + Trans(0, 30, 2, 799), + Trans(0, 36, 1, 798), + Trans(0, 39, 2, 799), + Trans(0, 48, 2, 799), + Trans(0, 49, 2, 799), + Trans(0, 50, 2, 799), + Trans(0, 60, 2, 799), + Trans(0, 64, 2, 799), + Trans(0, 65, 2, 799), + Trans(0, 66, 2, 799), + Trans(0, 70, 2, 799), + Trans(0, 71, 2, 799), + Trans(0, 73, 2, 799), + Trans(0, 77, 2, 799), + Trans(0, 80, 2, 799), + Trans(0, 81, 2, 799), + Trans(0, 104, 2, 799), + Trans(0, 106, 2, 799), + Trans(0, 109, 2, 799), + Trans(0, 110, 2, 799), ], k: 1, }, - /* 405 - "ModuleIfDeclaration" */ + /* 404 - "ModuleIfDeclaration" */ LookaheadDFA { - prod0: 780, + prod0: 777, transitions: &[], k: 0, }, - /* 406 - "ModuleIfDeclarationList" */ + /* 405 - "ModuleIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -9798,32 +9791,32 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 30, 21, -1), Trans(1, 39, 38, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 781), - Trans(2, 6, 3, 781), - Trans(2, 7, 3, 781), - Trans(2, 8, 3, 781), - Trans(2, 9, 3, 781), - Trans(2, 10, 3, 781), - Trans(2, 11, 3, 781), - Trans(2, 18, 3, 781), - Trans(2, 24, 3, 781), - Trans(2, 25, 3, 781), - Trans(2, 26, 3, 781), - Trans(2, 27, 3, 781), - Trans(2, 38, 3, 781), - Trans(2, 39, 3, 781), - Trans(2, 41, 3, 781), - Trans(2, 53, 3, 781), - Trans(2, 70, 3, 781), - Trans(2, 76, 3, 781), - Trans(2, 83, 3, 781), - Trans(2, 86, 3, 781), - Trans(2, 88, 3, 781), - Trans(2, 111, 3, 781), - Trans(2, 112, 3, 781), - Trans(4, 30, 19, 782), - Trans(4, 39, 19, 782), - Trans(4, 70, 3, 781), + Trans(2, 5, 3, 778), + Trans(2, 6, 3, 778), + Trans(2, 7, 3, 778), + Trans(2, 8, 3, 778), + Trans(2, 9, 3, 778), + Trans(2, 10, 3, 778), + Trans(2, 11, 3, 778), + Trans(2, 18, 3, 778), + Trans(2, 24, 3, 778), + Trans(2, 25, 3, 778), + Trans(2, 26, 3, 778), + Trans(2, 27, 3, 778), + Trans(2, 38, 3, 778), + Trans(2, 39, 3, 778), + Trans(2, 41, 3, 778), + Trans(2, 53, 3, 778), + Trans(2, 70, 3, 778), + Trans(2, 76, 3, 778), + Trans(2, 83, 3, 778), + Trans(2, 86, 3, 778), + Trans(2, 88, 3, 778), + Trans(2, 111, 3, 778), + Trans(2, 112, 3, 778), + Trans(4, 30, 19, 779), + Trans(4, 39, 19, 779), + Trans(4, 70, 3, 778), Trans(5, 5, 46, -1), Trans(5, 112, 25, -1), Trans(6, 5, 42, -1), @@ -9850,7 +9843,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 106, 21, -1), Trans(7, 109, 21, -1), Trans(7, 110, 21, -1), - Trans(8, 0, 19, 782), + Trans(8, 0, 19, 779), Trans(8, 5, 20, -1), Trans(8, 30, 21, -1), Trans(8, 36, 22, -1), @@ -9923,388 +9916,422 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(17, 112, 51, -1), Trans(18, 5, 46, -1), Trans(18, 112, 52, -1), - Trans(20, 0, 19, 782), - Trans(20, 30, 19, 782), - Trans(20, 36, 19, 782), - Trans(20, 39, 19, 782), - Trans(20, 43, 19, 782), - Trans(20, 48, 19, 782), - Trans(20, 49, 19, 782), - Trans(20, 50, 19, 782), - Trans(20, 58, 19, 782), - Trans(20, 59, 19, 782), - Trans(20, 60, 19, 782), - Trans(20, 64, 19, 782), - Trans(20, 65, 19, 782), - Trans(20, 66, 19, 782), - Trans(20, 70, 19, 782), - Trans(20, 71, 19, 782), - Trans(20, 72, 19, 782), - Trans(20, 73, 19, 782), - Trans(20, 77, 19, 782), - Trans(20, 78, 19, 782), - Trans(20, 80, 19, 782), - Trans(20, 81, 19, 782), - Trans(20, 85, 19, 782), - Trans(20, 89, 19, 782), - Trans(20, 91, 19, 782), - Trans(20, 104, 19, 782), - Trans(20, 106, 19, 782), - Trans(20, 109, 19, 782), - Trans(20, 110, 19, 782), - Trans(21, 5, 19, 782), - Trans(21, 112, 19, 782), - Trans(22, 5, 19, 782), - Trans(22, 40, 19, 782), - Trans(23, 5, 19, 782), - Trans(23, 30, 19, 782), - Trans(23, 36, 19, 782), - Trans(23, 39, 19, 782), - Trans(23, 43, 19, 782), - Trans(23, 48, 19, 782), - Trans(23, 49, 19, 782), - Trans(23, 50, 19, 782), - Trans(23, 59, 19, 782), - Trans(23, 60, 19, 782), - Trans(23, 64, 19, 782), - Trans(23, 65, 19, 782), - Trans(23, 66, 19, 782), - Trans(23, 70, 19, 782), - Trans(23, 71, 19, 782), - Trans(23, 72, 19, 782), - Trans(23, 73, 19, 782), - Trans(23, 77, 19, 782), - Trans(23, 78, 19, 782), - Trans(23, 80, 19, 782), - Trans(23, 81, 19, 782), - Trans(23, 85, 19, 782), - Trans(23, 89, 19, 782), - Trans(23, 91, 19, 782), - Trans(23, 104, 19, 782), - Trans(23, 106, 19, 782), - Trans(23, 109, 19, 782), - Trans(23, 110, 19, 782), - Trans(24, 0, 19, 782), - Trans(24, 5, 19, 782), - Trans(24, 30, 19, 782), - Trans(24, 36, 19, 782), - Trans(24, 39, 19, 782), - Trans(24, 43, 19, 782), - Trans(24, 48, 19, 782), - Trans(24, 49, 19, 782), - Trans(24, 50, 19, 782), - Trans(24, 58, 19, 782), - Trans(24, 59, 19, 782), - Trans(24, 60, 19, 782), - Trans(24, 64, 19, 782), - Trans(24, 65, 19, 782), - Trans(24, 66, 19, 782), - Trans(24, 70, 19, 782), - Trans(24, 71, 19, 782), - Trans(24, 72, 19, 782), - Trans(24, 73, 19, 782), - Trans(24, 77, 19, 782), - Trans(24, 78, 19, 782), - Trans(24, 80, 19, 782), - Trans(24, 81, 19, 782), - Trans(24, 85, 19, 782), - Trans(24, 89, 19, 782), - Trans(24, 91, 19, 782), - Trans(24, 104, 19, 782), - Trans(24, 106, 19, 782), - Trans(24, 109, 19, 782), - Trans(24, 110, 19, 782), - Trans(25, 5, 19, 782), - Trans(25, 39, 19, 782), - Trans(26, 5, 19, 782), - Trans(26, 39, 19, 782), - Trans(26, 41, 19, 782), - Trans(27, 5, 19, 782), - Trans(27, 30, 19, 782), - Trans(27, 39, 19, 782), - Trans(27, 70, 19, 782), - Trans(28, 5, 19, 782), - Trans(28, 41, 19, 782), - Trans(29, 5, 19, 782), - Trans(29, 6, 19, 782), - Trans(29, 7, 19, 782), - Trans(29, 8, 19, 782), - Trans(29, 9, 19, 782), - Trans(29, 10, 19, 782), - Trans(29, 11, 19, 782), - Trans(29, 18, 19, 782), - Trans(29, 24, 19, 782), - Trans(29, 25, 19, 782), - Trans(29, 26, 19, 782), - Trans(29, 27, 19, 782), - Trans(29, 38, 19, 782), - Trans(29, 39, 19, 782), - Trans(29, 41, 19, 782), - Trans(29, 53, 19, 782), - Trans(29, 70, 19, 782), - Trans(29, 76, 19, 782), - Trans(29, 83, 19, 782), - Trans(29, 86, 19, 782), - Trans(29, 88, 19, 782), - Trans(29, 111, 19, 782), - Trans(29, 112, 19, 782), - Trans(30, 5, 19, 782), - Trans(30, 111, 19, 782), - Trans(30, 112, 19, 782), - Trans(31, 5, 19, 782), - Trans(31, 78, 19, 782), - Trans(31, 85, 19, 782), - Trans(31, 89, 19, 782), - Trans(32, 6, 19, 782), - Trans(32, 7, 19, 782), - Trans(32, 8, 19, 782), - Trans(32, 9, 19, 782), - Trans(32, 10, 19, 782), - Trans(32, 11, 19, 782), - Trans(32, 18, 19, 782), - Trans(32, 24, 19, 782), - Trans(32, 25, 19, 782), - Trans(32, 26, 19, 782), - Trans(32, 27, 19, 782), - Trans(32, 38, 19, 782), - Trans(32, 39, 19, 782), - Trans(32, 41, 19, 782), - Trans(32, 53, 19, 782), - Trans(32, 70, 19, 782), - Trans(32, 76, 19, 782), - Trans(32, 83, 19, 782), - Trans(32, 86, 19, 782), - Trans(32, 88, 19, 782), - Trans(32, 111, 19, 782), - Trans(32, 112, 19, 782), - Trans(33, 5, 19, 782), - Trans(33, 16, 19, 782), - Trans(33, 17, 19, 782), - Trans(33, 18, 19, 782), - Trans(33, 19, 19, 782), - Trans(33, 20, 19, 782), - Trans(33, 21, 19, 782), - Trans(33, 22, 19, 782), - Trans(33, 23, 19, 782), - Trans(33, 24, 19, 782), - Trans(33, 25, 19, 782), - Trans(33, 26, 19, 782), - Trans(33, 30, 19, 782), - Trans(33, 47, 19, 782), - Trans(33, 51, 19, 782), - Trans(34, 5, 19, 782), - Trans(34, 6, 19, 782), - Trans(34, 7, 19, 782), - Trans(34, 8, 19, 782), - Trans(34, 9, 19, 782), - Trans(34, 10, 19, 782), - Trans(34, 11, 19, 782), - Trans(34, 18, 19, 782), - Trans(34, 24, 19, 782), - Trans(34, 25, 19, 782), - Trans(34, 26, 19, 782), - Trans(34, 27, 19, 782), - Trans(34, 38, 19, 782), - Trans(34, 39, 19, 782), - Trans(34, 41, 19, 782), - Trans(34, 53, 19, 782), - Trans(34, 57, 19, 782), - Trans(34, 70, 19, 782), - Trans(34, 76, 19, 782), - Trans(34, 83, 19, 782), - Trans(34, 86, 19, 782), - Trans(34, 88, 19, 782), - Trans(34, 111, 19, 782), - Trans(34, 112, 19, 782), - Trans(35, 5, 19, 782), - Trans(35, 16, 19, 782), - Trans(35, 17, 19, 782), - Trans(35, 18, 19, 782), - Trans(35, 19, 19, 782), - Trans(35, 20, 19, 782), - Trans(35, 21, 19, 782), - Trans(35, 22, 19, 782), - Trans(35, 23, 19, 782), - Trans(35, 24, 19, 782), - Trans(35, 25, 19, 782), - Trans(35, 26, 19, 782), - Trans(35, 29, 19, 782), - Trans(35, 30, 19, 782), - Trans(35, 34, 19, 782), - Trans(35, 40, 19, 782), - Trans(35, 41, 19, 782), - Trans(35, 47, 19, 782), - Trans(35, 51, 19, 782), - Trans(36, 5, 19, 782), - Trans(36, 16, 19, 782), - Trans(36, 17, 19, 782), - Trans(36, 18, 19, 782), - Trans(36, 19, 19, 782), - Trans(36, 20, 19, 782), - Trans(36, 21, 19, 782), - Trans(36, 22, 19, 782), - Trans(36, 23, 19, 782), - Trans(36, 24, 19, 782), - Trans(36, 25, 19, 782), - Trans(36, 26, 19, 782), - Trans(36, 28, 19, 782), - Trans(36, 29, 19, 782), - Trans(36, 30, 19, 782), - Trans(36, 34, 19, 782), - Trans(36, 40, 19, 782), - Trans(36, 41, 19, 782), - Trans(36, 47, 19, 782), - Trans(36, 51, 19, 782), - Trans(37, 30, 19, 782), - Trans(37, 36, 19, 782), - Trans(37, 39, 19, 782), - Trans(37, 43, 19, 782), - Trans(37, 48, 19, 782), - Trans(37, 49, 19, 782), - Trans(37, 50, 19, 782), - Trans(37, 60, 19, 782), - Trans(37, 64, 19, 782), - Trans(37, 65, 19, 782), - Trans(37, 66, 19, 782), - Trans(37, 70, 19, 782), - Trans(37, 71, 19, 782), - Trans(37, 73, 19, 782), - Trans(37, 77, 19, 782), - Trans(37, 80, 19, 782), - Trans(37, 81, 19, 782), - Trans(37, 104, 19, 782), - Trans(37, 106, 19, 782), - Trans(37, 109, 19, 782), - Trans(37, 110, 19, 782), - Trans(38, 5, 19, 782), - Trans(38, 30, 19, 782), - Trans(38, 36, 19, 782), - Trans(38, 39, 19, 782), - Trans(38, 43, 19, 782), - Trans(38, 48, 19, 782), - Trans(38, 49, 19, 782), - Trans(38, 50, 19, 782), - Trans(38, 60, 19, 782), - Trans(38, 64, 19, 782), - Trans(38, 65, 19, 782), - Trans(38, 66, 19, 782), - Trans(38, 70, 19, 782), - Trans(38, 71, 19, 782), - Trans(38, 73, 19, 782), - Trans(38, 77, 19, 782), - Trans(38, 80, 19, 782), - Trans(38, 81, 19, 782), - Trans(38, 104, 19, 782), - Trans(38, 106, 19, 782), - Trans(38, 109, 19, 782), - Trans(38, 110, 19, 782), - Trans(39, 39, 19, 782), - Trans(40, 5, 19, 782), - Trans(40, 43, 19, 782), - Trans(40, 53, 19, 782), - Trans(40, 65, 19, 782), - Trans(40, 69, 19, 782), - Trans(40, 70, 19, 782), - Trans(40, 80, 19, 782), - Trans(40, 99, 19, 782), - Trans(40, 100, 19, 782), - Trans(40, 111, 19, 782), - Trans(40, 112, 19, 782), - Trans(41, 39, 19, 782), - Trans(41, 41, 19, 782), - Trans(42, 40, 19, 782), - Trans(43, 111, 19, 782), - Trans(43, 112, 19, 782), - Trans(44, 5, 19, 782), - Trans(44, 29, 19, 782), - Trans(44, 46, 19, 782), - Trans(45, 5, 19, 782), - Trans(45, 28, 19, 782), - Trans(45, 29, 19, 782), - Trans(45, 46, 19, 782), - Trans(46, 112, 19, 782), - Trans(47, 5, 19, 782), - Trans(47, 34, 19, 782), - Trans(47, 35, 19, 782), - Trans(47, 40, 19, 782), - Trans(48, 5, 19, 782), - Trans(48, 30, 19, 782), - Trans(49, 5, 19, 782), - Trans(49, 79, 19, 782), - Trans(50, 5, 19, 782), - Trans(50, 13, 19, 782), - Trans(50, 28, 19, 782), - Trans(50, 39, 19, 782), - Trans(50, 41, 19, 782), - Trans(51, 5, 19, 782), - Trans(51, 28, 19, 782), - Trans(51, 39, 19, 782), - Trans(52, 5, 19, 782), - Trans(52, 35, 19, 782), + Trans(20, 0, 19, 779), + Trans(20, 30, 19, 779), + Trans(20, 36, 19, 779), + Trans(20, 39, 19, 779), + Trans(20, 43, 19, 779), + Trans(20, 48, 19, 779), + Trans(20, 49, 19, 779), + Trans(20, 50, 19, 779), + Trans(20, 58, 19, 779), + Trans(20, 59, 19, 779), + Trans(20, 60, 19, 779), + Trans(20, 64, 19, 779), + Trans(20, 65, 19, 779), + Trans(20, 66, 19, 779), + Trans(20, 70, 19, 779), + Trans(20, 71, 19, 779), + Trans(20, 72, 19, 779), + Trans(20, 73, 19, 779), + Trans(20, 77, 19, 779), + Trans(20, 78, 19, 779), + Trans(20, 80, 19, 779), + Trans(20, 81, 19, 779), + Trans(20, 85, 19, 779), + Trans(20, 89, 19, 779), + Trans(20, 91, 19, 779), + Trans(20, 104, 19, 779), + Trans(20, 106, 19, 779), + Trans(20, 109, 19, 779), + Trans(20, 110, 19, 779), + Trans(21, 5, 19, 779), + Trans(21, 112, 19, 779), + Trans(22, 5, 19, 779), + Trans(22, 40, 19, 779), + Trans(23, 5, 19, 779), + Trans(23, 30, 19, 779), + Trans(23, 36, 19, 779), + Trans(23, 39, 19, 779), + Trans(23, 43, 19, 779), + Trans(23, 48, 19, 779), + Trans(23, 49, 19, 779), + Trans(23, 50, 19, 779), + Trans(23, 59, 19, 779), + Trans(23, 60, 19, 779), + Trans(23, 64, 19, 779), + Trans(23, 65, 19, 779), + Trans(23, 66, 19, 779), + Trans(23, 70, 19, 779), + Trans(23, 71, 19, 779), + Trans(23, 72, 19, 779), + Trans(23, 73, 19, 779), + Trans(23, 77, 19, 779), + Trans(23, 78, 19, 779), + Trans(23, 80, 19, 779), + Trans(23, 81, 19, 779), + Trans(23, 85, 19, 779), + Trans(23, 89, 19, 779), + Trans(23, 91, 19, 779), + Trans(23, 104, 19, 779), + Trans(23, 106, 19, 779), + Trans(23, 109, 19, 779), + Trans(23, 110, 19, 779), + Trans(24, 0, 19, 779), + Trans(24, 5, 19, 779), + Trans(24, 30, 19, 779), + Trans(24, 36, 19, 779), + Trans(24, 39, 19, 779), + Trans(24, 43, 19, 779), + Trans(24, 48, 19, 779), + Trans(24, 49, 19, 779), + Trans(24, 50, 19, 779), + Trans(24, 58, 19, 779), + Trans(24, 59, 19, 779), + Trans(24, 60, 19, 779), + Trans(24, 64, 19, 779), + Trans(24, 65, 19, 779), + Trans(24, 66, 19, 779), + Trans(24, 70, 19, 779), + Trans(24, 71, 19, 779), + Trans(24, 72, 19, 779), + Trans(24, 73, 19, 779), + Trans(24, 77, 19, 779), + Trans(24, 78, 19, 779), + Trans(24, 80, 19, 779), + Trans(24, 81, 19, 779), + Trans(24, 85, 19, 779), + Trans(24, 89, 19, 779), + Trans(24, 91, 19, 779), + Trans(24, 104, 19, 779), + Trans(24, 106, 19, 779), + Trans(24, 109, 19, 779), + Trans(24, 110, 19, 779), + Trans(25, 5, 19, 779), + Trans(25, 39, 19, 779), + Trans(26, 5, 19, 779), + Trans(26, 39, 19, 779), + Trans(26, 41, 19, 779), + Trans(27, 5, 19, 779), + Trans(27, 30, 19, 779), + Trans(27, 39, 19, 779), + Trans(27, 70, 19, 779), + Trans(28, 5, 19, 779), + Trans(28, 41, 19, 779), + Trans(29, 5, 19, 779), + Trans(29, 6, 19, 779), + Trans(29, 7, 19, 779), + Trans(29, 8, 19, 779), + Trans(29, 9, 19, 779), + Trans(29, 10, 19, 779), + Trans(29, 11, 19, 779), + Trans(29, 18, 19, 779), + Trans(29, 24, 19, 779), + Trans(29, 25, 19, 779), + Trans(29, 26, 19, 779), + Trans(29, 27, 19, 779), + Trans(29, 38, 19, 779), + Trans(29, 39, 19, 779), + Trans(29, 41, 19, 779), + Trans(29, 53, 19, 779), + Trans(29, 70, 19, 779), + Trans(29, 76, 19, 779), + Trans(29, 83, 19, 779), + Trans(29, 86, 19, 779), + Trans(29, 88, 19, 779), + Trans(29, 111, 19, 779), + Trans(29, 112, 19, 779), + Trans(30, 5, 19, 779), + Trans(30, 111, 19, 779), + Trans(30, 112, 19, 779), + Trans(31, 5, 19, 779), + Trans(31, 78, 19, 779), + Trans(31, 85, 19, 779), + Trans(31, 89, 19, 779), + Trans(32, 6, 19, 779), + Trans(32, 7, 19, 779), + Trans(32, 8, 19, 779), + Trans(32, 9, 19, 779), + Trans(32, 10, 19, 779), + Trans(32, 11, 19, 779), + Trans(32, 18, 19, 779), + Trans(32, 24, 19, 779), + Trans(32, 25, 19, 779), + Trans(32, 26, 19, 779), + Trans(32, 27, 19, 779), + Trans(32, 38, 19, 779), + Trans(32, 39, 19, 779), + Trans(32, 41, 19, 779), + Trans(32, 53, 19, 779), + Trans(32, 70, 19, 779), + Trans(32, 76, 19, 779), + Trans(32, 83, 19, 779), + Trans(32, 86, 19, 779), + Trans(32, 88, 19, 779), + Trans(32, 111, 19, 779), + Trans(32, 112, 19, 779), + Trans(33, 5, 19, 779), + Trans(33, 16, 19, 779), + Trans(33, 17, 19, 779), + Trans(33, 18, 19, 779), + Trans(33, 19, 19, 779), + Trans(33, 20, 19, 779), + Trans(33, 21, 19, 779), + Trans(33, 22, 19, 779), + Trans(33, 23, 19, 779), + Trans(33, 24, 19, 779), + Trans(33, 25, 19, 779), + Trans(33, 26, 19, 779), + Trans(33, 30, 19, 779), + Trans(33, 47, 19, 779), + Trans(33, 51, 19, 779), + Trans(34, 5, 19, 779), + Trans(34, 6, 19, 779), + Trans(34, 7, 19, 779), + Trans(34, 8, 19, 779), + Trans(34, 9, 19, 779), + Trans(34, 10, 19, 779), + Trans(34, 11, 19, 779), + Trans(34, 18, 19, 779), + Trans(34, 24, 19, 779), + Trans(34, 25, 19, 779), + Trans(34, 26, 19, 779), + Trans(34, 27, 19, 779), + Trans(34, 38, 19, 779), + Trans(34, 39, 19, 779), + Trans(34, 41, 19, 779), + Trans(34, 53, 19, 779), + Trans(34, 57, 19, 779), + Trans(34, 70, 19, 779), + Trans(34, 76, 19, 779), + Trans(34, 83, 19, 779), + Trans(34, 86, 19, 779), + Trans(34, 88, 19, 779), + Trans(34, 111, 19, 779), + Trans(34, 112, 19, 779), + Trans(35, 5, 19, 779), + Trans(35, 16, 19, 779), + Trans(35, 17, 19, 779), + Trans(35, 18, 19, 779), + Trans(35, 19, 19, 779), + Trans(35, 20, 19, 779), + Trans(35, 21, 19, 779), + Trans(35, 22, 19, 779), + Trans(35, 23, 19, 779), + Trans(35, 24, 19, 779), + Trans(35, 25, 19, 779), + Trans(35, 26, 19, 779), + Trans(35, 29, 19, 779), + Trans(35, 30, 19, 779), + Trans(35, 34, 19, 779), + Trans(35, 40, 19, 779), + Trans(35, 41, 19, 779), + Trans(35, 47, 19, 779), + Trans(35, 51, 19, 779), + Trans(36, 5, 19, 779), + Trans(36, 16, 19, 779), + Trans(36, 17, 19, 779), + Trans(36, 18, 19, 779), + Trans(36, 19, 19, 779), + Trans(36, 20, 19, 779), + Trans(36, 21, 19, 779), + Trans(36, 22, 19, 779), + Trans(36, 23, 19, 779), + Trans(36, 24, 19, 779), + Trans(36, 25, 19, 779), + Trans(36, 26, 19, 779), + Trans(36, 28, 19, 779), + Trans(36, 29, 19, 779), + Trans(36, 30, 19, 779), + Trans(36, 34, 19, 779), + Trans(36, 40, 19, 779), + Trans(36, 41, 19, 779), + Trans(36, 47, 19, 779), + Trans(36, 51, 19, 779), + Trans(37, 30, 19, 779), + Trans(37, 36, 19, 779), + Trans(37, 39, 19, 779), + Trans(37, 43, 19, 779), + Trans(37, 48, 19, 779), + Trans(37, 49, 19, 779), + Trans(37, 50, 19, 779), + Trans(37, 60, 19, 779), + Trans(37, 64, 19, 779), + Trans(37, 65, 19, 779), + Trans(37, 66, 19, 779), + Trans(37, 70, 19, 779), + Trans(37, 71, 19, 779), + Trans(37, 73, 19, 779), + Trans(37, 77, 19, 779), + Trans(37, 80, 19, 779), + Trans(37, 81, 19, 779), + Trans(37, 104, 19, 779), + Trans(37, 106, 19, 779), + Trans(37, 109, 19, 779), + Trans(37, 110, 19, 779), + Trans(38, 5, 19, 779), + Trans(38, 30, 19, 779), + Trans(38, 36, 19, 779), + Trans(38, 39, 19, 779), + Trans(38, 43, 19, 779), + Trans(38, 48, 19, 779), + Trans(38, 49, 19, 779), + Trans(38, 50, 19, 779), + Trans(38, 60, 19, 779), + Trans(38, 64, 19, 779), + Trans(38, 65, 19, 779), + Trans(38, 66, 19, 779), + Trans(38, 70, 19, 779), + Trans(38, 71, 19, 779), + Trans(38, 73, 19, 779), + Trans(38, 77, 19, 779), + Trans(38, 80, 19, 779), + Trans(38, 81, 19, 779), + Trans(38, 104, 19, 779), + Trans(38, 106, 19, 779), + Trans(38, 109, 19, 779), + Trans(38, 110, 19, 779), + Trans(39, 39, 19, 779), + Trans(40, 5, 19, 779), + Trans(40, 43, 19, 779), + Trans(40, 53, 19, 779), + Trans(40, 65, 19, 779), + Trans(40, 69, 19, 779), + Trans(40, 70, 19, 779), + Trans(40, 80, 19, 779), + Trans(40, 99, 19, 779), + Trans(40, 100, 19, 779), + Trans(40, 111, 19, 779), + Trans(40, 112, 19, 779), + Trans(41, 39, 19, 779), + Trans(41, 41, 19, 779), + Trans(42, 40, 19, 779), + Trans(43, 111, 19, 779), + Trans(43, 112, 19, 779), + Trans(44, 5, 19, 779), + Trans(44, 29, 19, 779), + Trans(44, 46, 19, 779), + Trans(45, 5, 19, 779), + Trans(45, 28, 19, 779), + Trans(45, 29, 19, 779), + Trans(45, 46, 19, 779), + Trans(46, 112, 19, 779), + Trans(47, 5, 19, 779), + Trans(47, 34, 19, 779), + Trans(47, 35, 19, 779), + Trans(47, 40, 19, 779), + Trans(48, 5, 19, 779), + Trans(48, 30, 19, 779), + Trans(49, 5, 19, 779), + Trans(49, 79, 19, 779), + Trans(50, 5, 19, 779), + Trans(50, 13, 19, 779), + Trans(50, 28, 19, 779), + Trans(50, 39, 19, 779), + Trans(50, 41, 19, 779), + Trans(51, 5, 19, 779), + Trans(51, 28, 19, 779), + Trans(51, 39, 19, 779), + Trans(52, 5, 19, 779), + Trans(52, 35, 19, 779), ], k: 3, }, - /* 407 - "ModuleIfDeclarationOpt" */ + /* 406 - "ModuleIfDeclarationOpt" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 30, 2, 781), + Trans(0, 36, 2, 781), + Trans(0, 39, 2, 781), + Trans(0, 43, 2, 781), + Trans(0, 48, 2, 781), + Trans(0, 49, 2, 781), + Trans(0, 50, 2, 781), + Trans(0, 58, 1, 780), + Trans(0, 60, 2, 781), + Trans(0, 64, 2, 781), + Trans(0, 65, 2, 781), + Trans(0, 66, 2, 781), + Trans(0, 70, 2, 781), + Trans(0, 71, 2, 781), + Trans(0, 73, 2, 781), + Trans(0, 77, 2, 781), + Trans(0, 80, 2, 781), + Trans(0, 81, 2, 781), + Trans(0, 104, 2, 781), + Trans(0, 106, 2, 781), + Trans(0, 109, 2, 781), + Trans(0, 110, 2, 781), + ], + k: 1, + }, + /* 407 - "ModuleItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 784), - Trans(0, 36, 2, 784), - Trans(0, 39, 2, 784), - Trans(0, 43, 2, 784), - Trans(0, 48, 2, 784), - Trans(0, 49, 2, 784), - Trans(0, 50, 2, 784), - Trans(0, 58, 1, 783), - Trans(0, 60, 2, 784), - Trans(0, 64, 2, 784), - Trans(0, 65, 2, 784), - Trans(0, 66, 2, 784), - Trans(0, 70, 2, 784), - Trans(0, 71, 2, 784), - Trans(0, 73, 2, 784), - Trans(0, 77, 2, 784), - Trans(0, 80, 2, 784), - Trans(0, 81, 2, 784), - Trans(0, 104, 2, 784), - Trans(0, 106, 2, 784), - Trans(0, 109, 2, 784), - Trans(0, 110, 2, 784), + Trans(0, 30, 14, 813), + Trans(0, 48, 7, 806), + Trans(0, 49, 6, 805), + Trans(0, 50, 8, 807), + Trans(0, 60, 12, 811), + Trans(0, 64, 17, 816), + Trans(0, 65, 11, 810), + Trans(0, 66, 9, 808), + Trans(0, 70, 10, 809), + Trans(0, 71, 15, 814), + Trans(0, 73, 16, 815), + Trans(0, 77, 3, 802), + Trans(0, 80, 1, 800), + Trans(0, 81, 5, 804), + Trans(0, 104, 13, 812), + Trans(0, 106, 4, 803), + Trans(0, 109, 13, 812), + Trans(0, 110, 2, 801), ], k: 1, }, - /* 408 - "ModuleItem" */ + /* 408 - "ModuleNamedBlock" */ + LookaheadDFA { + prod0: 785, + transitions: &[], + k: 0, + }, + /* 409 - "ModuleNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 14, 816), - Trans(0, 48, 7, 809), - Trans(0, 49, 6, 808), - Trans(0, 50, 8, 810), - Trans(0, 60, 12, 814), - Trans(0, 64, 17, 819), - Trans(0, 65, 11, 813), - Trans(0, 66, 9, 811), - Trans(0, 70, 10, 812), - Trans(0, 71, 15, 817), - Trans(0, 73, 16, 818), - Trans(0, 77, 3, 805), - Trans(0, 80, 1, 803), - Trans(0, 81, 5, 807), - Trans(0, 104, 13, 815), - Trans(0, 106, 4, 806), - Trans(0, 109, 13, 815), - Trans(0, 110, 2, 804), + Trans(0, 30, 1, 786), + Trans(0, 36, 1, 786), + Trans(0, 39, 1, 786), + Trans(0, 43, 2, 787), + Trans(0, 48, 1, 786), + Trans(0, 49, 1, 786), + Trans(0, 50, 1, 786), + Trans(0, 60, 1, 786), + Trans(0, 64, 1, 786), + Trans(0, 65, 1, 786), + Trans(0, 66, 1, 786), + Trans(0, 70, 1, 786), + Trans(0, 71, 1, 786), + Trans(0, 73, 1, 786), + Trans(0, 77, 1, 786), + Trans(0, 80, 1, 786), + Trans(0, 81, 1, 786), + Trans(0, 104, 1, 786), + Trans(0, 106, 1, 786), + Trans(0, 109, 1, 786), + Trans(0, 110, 1, 786), ], k: 1, }, - /* 409 - "ModuleNamedBlock" */ + /* 410 - "ModuleOptionalNamedBlock" */ LookaheadDFA { prod0: 788, transitions: &[], k: 0, }, - /* 410 - "ModuleNamedBlockList" */ + /* 411 - "ModuleOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -10332,77 +10359,43 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 411 - "ModuleOptionalNamedBlock" */ - LookaheadDFA { - prod0: 791, - transitions: &[], - k: 0, - }, - /* 412 - "ModuleOptionalNamedBlockList" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 30, 1, 792), - Trans(0, 36, 1, 792), - Trans(0, 39, 1, 792), - Trans(0, 43, 2, 793), - Trans(0, 48, 1, 792), - Trans(0, 49, 1, 792), - Trans(0, 50, 1, 792), - Trans(0, 60, 1, 792), - Trans(0, 64, 1, 792), - Trans(0, 65, 1, 792), - Trans(0, 66, 1, 792), - Trans(0, 70, 1, 792), - Trans(0, 71, 1, 792), - Trans(0, 73, 1, 792), - Trans(0, 77, 1, 792), - Trans(0, 80, 1, 792), - Trans(0, 81, 1, 792), - Trans(0, 104, 1, 792), - Trans(0, 106, 1, 792), - Trans(0, 109, 1, 792), - Trans(0, 110, 1, 792), - ], - k: 1, - }, - /* 413 - "ModuleOptionalNamedBlockOpt" */ + /* 412 - "ModuleOptionalNamedBlockOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 794), Trans(0, 39, 2, 795)], + transitions: &[Trans(0, 30, 1, 791), Trans(0, 39, 2, 792)], k: 1, }, - /* 414 - "ModuleTerm" */ + /* 413 - "ModuleTerm" */ LookaheadDFA { prod0: 80, transitions: &[], k: 0, }, - /* 415 - "ModuleToken" */ + /* 414 - "ModuleToken" */ LookaheadDFA { prod0: 192, transitions: &[], k: 0, }, - /* 416 - "Msb" */ + /* 415 - "Msb" */ LookaheadDFA { prod0: 302, transitions: &[], k: 0, }, - /* 417 - "MsbTerm" */ + /* 416 - "MsbTerm" */ LookaheadDFA { prod0: 81, transitions: &[], k: 0, }, - /* 418 - "MsbToken" */ + /* 417 - "MsbToken" */ LookaheadDFA { prod0: 193, transitions: &[], k: 0, }, - /* 419 - "Number" */ + /* 418 - "Number" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -10414,489 +10407,489 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 420 - "Operator01" */ + /* 419 - "Operator01" */ LookaheadDFA { prod0: 228, transitions: &[], k: 0, }, - /* 421 - "Operator01Term" */ + /* 420 - "Operator01Term" */ LookaheadDFA { prod0: 18, transitions: &[], k: 0, }, - /* 422 - "Operator01Token" */ + /* 421 - "Operator01Token" */ LookaheadDFA { prod0: 120, transitions: &[], k: 0, }, - /* 423 - "Operator02" */ + /* 422 - "Operator02" */ LookaheadDFA { prod0: 229, transitions: &[], k: 0, }, - /* 424 - "Operator02Term" */ + /* 423 - "Operator02Term" */ LookaheadDFA { prod0: 17, transitions: &[], k: 0, }, - /* 425 - "Operator02Token" */ + /* 424 - "Operator02Token" */ LookaheadDFA { prod0: 121, transitions: &[], k: 0, }, - /* 426 - "Operator03" */ + /* 425 - "Operator03" */ LookaheadDFA { prod0: 230, transitions: &[], k: 0, }, - /* 427 - "Operator03Term" */ + /* 426 - "Operator03Term" */ LookaheadDFA { prod0: 21, transitions: &[], k: 0, }, - /* 428 - "Operator03Token" */ + /* 427 - "Operator03Token" */ LookaheadDFA { prod0: 122, transitions: &[], k: 0, }, - /* 429 - "Operator04" */ + /* 428 - "Operator04" */ LookaheadDFA { prod0: 231, transitions: &[], k: 0, }, - /* 430 - "Operator04Term" */ + /* 429 - "Operator04Term" */ LookaheadDFA { prod0: 20, transitions: &[], k: 0, }, - /* 431 - "Operator04Token" */ + /* 430 - "Operator04Token" */ LookaheadDFA { prod0: 123, transitions: &[], k: 0, }, - /* 432 - "Operator05" */ + /* 431 - "Operator05" */ LookaheadDFA { prod0: 232, transitions: &[], k: 0, }, - /* 433 - "Operator05Term" */ + /* 432 - "Operator05Term" */ LookaheadDFA { prod0: 19, transitions: &[], k: 0, }, - /* 434 - "Operator05Token" */ + /* 433 - "Operator05Token" */ LookaheadDFA { prod0: 124, transitions: &[], k: 0, }, - /* 435 - "Operator06" */ + /* 434 - "Operator06" */ LookaheadDFA { prod0: 233, transitions: &[], k: 0, }, - /* 436 - "Operator06Term" */ + /* 435 - "Operator06Term" */ LookaheadDFA { prod0: 16, transitions: &[], k: 0, }, - /* 437 - "Operator06Token" */ + /* 436 - "Operator06Token" */ LookaheadDFA { prod0: 125, transitions: &[], k: 0, }, - /* 438 - "Operator07" */ + /* 437 - "Operator07" */ LookaheadDFA { prod0: 234, transitions: &[], k: 0, }, - /* 439 - "Operator07Term" */ + /* 438 - "Operator07Term" */ LookaheadDFA { prod0: 15, transitions: &[], k: 0, }, - /* 440 - "Operator07Token" */ + /* 439 - "Operator07Token" */ LookaheadDFA { prod0: 126, transitions: &[], k: 0, }, - /* 441 - "Operator08" */ + /* 440 - "Operator08" */ LookaheadDFA { prod0: 235, transitions: &[], k: 0, }, - /* 442 - "Operator08Term" */ + /* 441 - "Operator08Term" */ LookaheadDFA { prod0: 14, transitions: &[], k: 0, }, - /* 443 - "Operator08Token" */ + /* 442 - "Operator08Token" */ LookaheadDFA { prod0: 127, transitions: &[], k: 0, }, - /* 444 - "Operator09" */ + /* 443 - "Operator09" */ LookaheadDFA { prod0: 236, transitions: &[], k: 0, }, - /* 445 - "Operator09Term" */ + /* 444 - "Operator09Term" */ LookaheadDFA { prod0: 13, transitions: &[], k: 0, }, - /* 446 - "Operator09Token" */ + /* 445 - "Operator09Token" */ LookaheadDFA { prod0: 128, transitions: &[], k: 0, }, - /* 447 - "Operator10" */ + /* 446 - "Operator10" */ LookaheadDFA { prod0: 237, transitions: &[], k: 0, }, - /* 448 - "Operator10Term" */ + /* 447 - "Operator10Term" */ LookaheadDFA { prod0: 12, transitions: &[], k: 0, }, - /* 449 - "Operator10Token" */ + /* 448 - "Operator10Token" */ LookaheadDFA { prod0: 129, transitions: &[], k: 0, }, - /* 450 - "Operator11" */ + /* 449 - "Operator11" */ LookaheadDFA { prod0: 238, transitions: &[], k: 0, }, - /* 451 - "Operator11Term" */ + /* 450 - "Operator11Term" */ LookaheadDFA { prod0: 11, transitions: &[], k: 0, }, - /* 452 - "Operator11Token" */ + /* 451 - "Operator11Token" */ LookaheadDFA { prod0: 130, transitions: &[], k: 0, }, - /* 453 - "Output" */ + /* 452 - "Output" */ LookaheadDFA { prod0: 303, transitions: &[], k: 0, }, - /* 454 - "OutputTerm" */ + /* 453 - "OutputTerm" */ LookaheadDFA { prod0: 82, transitions: &[], k: 0, }, - /* 455 - "OutputToken" */ + /* 454 - "OutputToken" */ LookaheadDFA { prod0: 194, transitions: &[], k: 0, }, - /* 456 - "Outside" */ + /* 455 - "Outside" */ LookaheadDFA { prod0: 304, transitions: &[], k: 0, }, - /* 457 - "OutsideExpression" */ + /* 456 - "OutsideExpression" */ LookaheadDFA { - prod0: 461, + prod0: 457, transitions: &[], k: 0, }, - /* 458 - "OutsideTerm" */ + /* 457 - "OutsideTerm" */ LookaheadDFA { prod0: 83, transitions: &[], k: 0, }, - /* 459 - "OutsideToken" */ + /* 458 - "OutsideToken" */ LookaheadDFA { prod0: 195, transitions: &[], k: 0, }, - /* 460 - "Package" */ + /* 459 - "Package" */ LookaheadDFA { prod0: 305, transitions: &[], k: 0, }, - /* 461 - "PackageDeclaration" */ + /* 460 - "PackageDeclaration" */ LookaheadDFA { - prod0: 866, + prod0: 863, transitions: &[], k: 0, }, - /* 462 - "PackageDeclarationList" */ + /* 461 - "PackageDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 867), - Trans(0, 39, 1, 867), - Trans(0, 43, 2, 868), - Trans(0, 60, 1, 867), - Trans(0, 61, 1, 867), - Trans(0, 64, 1, 867), - Trans(0, 66, 1, 867), - Trans(0, 71, 1, 867), - Trans(0, 73, 1, 867), - Trans(0, 81, 1, 867), - Trans(0, 104, 1, 867), - Trans(0, 106, 1, 867), - Trans(0, 109, 1, 867), - Trans(0, 110, 1, 867), + Trans(0, 36, 1, 864), + Trans(0, 39, 1, 864), + Trans(0, 43, 2, 865), + Trans(0, 60, 1, 864), + Trans(0, 61, 1, 864), + Trans(0, 64, 1, 864), + Trans(0, 66, 1, 864), + Trans(0, 71, 1, 864), + Trans(0, 73, 1, 864), + Trans(0, 81, 1, 864), + Trans(0, 104, 1, 864), + Trans(0, 106, 1, 864), + Trans(0, 109, 1, 864), + Trans(0, 110, 1, 864), ], k: 1, }, - /* 463 - "PackageDeclarationOpt" */ + /* 462 - "PackageDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 89, 2, 872), Trans(0, 91, 1, 871)], + transitions: &[Trans(0, 89, 2, 869), Trans(0, 91, 1, 868)], k: 1, }, - /* 464 - "PackageDeclarationOpt0" */ + /* 463 - "PackageDeclarationOpt0" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 869), Trans(0, 39, 2, 870)], + transitions: &[Trans(0, 28, 1, 866), Trans(0, 39, 2, 867)], k: 1, }, - /* 465 - "PackageGroup" */ + /* 464 - "PackageGroup" */ LookaheadDFA { - prod0: 873, + prod0: 870, transitions: &[], k: 0, }, - /* 466 - "PackageGroupGroup" */ + /* 465 - "PackageGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 874), - Trans(0, 60, 2, 877), - Trans(0, 61, 2, 877), - Trans(0, 64, 2, 877), - Trans(0, 66, 2, 877), - Trans(0, 71, 2, 877), - Trans(0, 73, 2, 877), - Trans(0, 81, 2, 877), - Trans(0, 104, 2, 877), - Trans(0, 106, 2, 877), - Trans(0, 109, 2, 877), - Trans(0, 110, 2, 877), + Trans(0, 39, 1, 871), + Trans(0, 60, 2, 874), + Trans(0, 61, 2, 874), + Trans(0, 64, 2, 874), + Trans(0, 66, 2, 874), + Trans(0, 71, 2, 874), + Trans(0, 73, 2, 874), + Trans(0, 81, 2, 874), + Trans(0, 104, 2, 874), + Trans(0, 106, 2, 874), + Trans(0, 109, 2, 874), + Trans(0, 110, 2, 874), ], k: 1, }, - /* 467 - "PackageGroupGroupList" */ + /* 466 - "PackageGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 875), - Trans(0, 39, 1, 875), - Trans(0, 43, 2, 876), - Trans(0, 60, 1, 875), - Trans(0, 61, 1, 875), - Trans(0, 64, 1, 875), - Trans(0, 66, 1, 875), - Trans(0, 71, 1, 875), - Trans(0, 73, 1, 875), - Trans(0, 81, 1, 875), - Trans(0, 104, 1, 875), - Trans(0, 106, 1, 875), - Trans(0, 109, 1, 875), - Trans(0, 110, 1, 875), + Trans(0, 36, 1, 872), + Trans(0, 39, 1, 872), + Trans(0, 43, 2, 873), + Trans(0, 60, 1, 872), + Trans(0, 61, 1, 872), + Trans(0, 64, 1, 872), + Trans(0, 66, 1, 872), + Trans(0, 71, 1, 872), + Trans(0, 73, 1, 872), + Trans(0, 81, 1, 872), + Trans(0, 104, 1, 872), + Trans(0, 106, 1, 872), + Trans(0, 109, 1, 872), + Trans(0, 110, 1, 872), ], k: 1, }, - /* 468 - "PackageGroupList" */ + /* 467 - "PackageGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 878), - Trans(0, 39, 2, 879), - Trans(0, 60, 2, 879), - Trans(0, 61, 2, 879), - Trans(0, 64, 2, 879), - Trans(0, 66, 2, 879), - Trans(0, 71, 2, 879), - Trans(0, 73, 2, 879), - Trans(0, 81, 2, 879), - Trans(0, 104, 2, 879), - Trans(0, 106, 2, 879), - Trans(0, 109, 2, 879), - Trans(0, 110, 2, 879), + Trans(0, 36, 1, 875), + Trans(0, 39, 2, 876), + Trans(0, 60, 2, 876), + Trans(0, 61, 2, 876), + Trans(0, 64, 2, 876), + Trans(0, 66, 2, 876), + Trans(0, 71, 2, 876), + Trans(0, 73, 2, 876), + Trans(0, 81, 2, 876), + Trans(0, 104, 2, 876), + Trans(0, 106, 2, 876), + Trans(0, 109, 2, 876), + Trans(0, 110, 2, 876), ], k: 1, }, - /* 469 - "PackageItem" */ + /* 468 - "PackageItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 60, 4, 883), - Trans(0, 61, 8, 887), - Trans(0, 64, 10, 889), - Trans(0, 66, 6, 885), - Trans(0, 71, 7, 886), - Trans(0, 73, 9, 888), - Trans(0, 81, 2, 881), - Trans(0, 104, 5, 884), - Trans(0, 106, 3, 882), - Trans(0, 109, 5, 884), - Trans(0, 110, 1, 880), + Trans(0, 60, 4, 880), + Trans(0, 61, 8, 884), + Trans(0, 64, 10, 886), + Trans(0, 66, 6, 882), + Trans(0, 71, 7, 883), + Trans(0, 73, 9, 885), + Trans(0, 81, 2, 878), + Trans(0, 104, 5, 881), + Trans(0, 106, 3, 879), + Trans(0, 109, 5, 881), + Trans(0, 110, 1, 877), ], k: 1, }, - /* 470 - "PackageTerm" */ + /* 469 - "PackageTerm" */ LookaheadDFA { prod0: 84, transitions: &[], k: 0, }, - /* 471 - "PackageToken" */ + /* 470 - "PackageToken" */ LookaheadDFA { prod0: 196, transitions: &[], k: 0, }, - /* 472 - "Param" */ + /* 471 - "Param" */ LookaheadDFA { prod0: 306, transitions: &[], k: 0, }, - /* 473 - "ParamTerm" */ + /* 472 - "ParamTerm" */ LookaheadDFA { prod0: 85, transitions: &[], k: 0, }, - /* 474 - "ParamToken" */ + /* 473 - "ParamToken" */ LookaheadDFA { prod0: 197, transitions: &[], k: 0, }, - /* 475 - "PlusColon" */ + /* 474 - "PlusColon" */ LookaheadDFA { prod0: 256, transitions: &[], k: 0, }, - /* 476 - "PlusColonTerm" */ + /* 475 - "PlusColonTerm" */ LookaheadDFA { prod0: 9, transitions: &[], k: 0, }, - /* 477 - "PlusColonToken" */ + /* 476 - "PlusColonToken" */ LookaheadDFA { prod0: 148, transitions: &[], k: 0, }, - /* 478 - "PortDeclaration" */ + /* 477 - "PortDeclaration" */ LookaheadDFA { - prod0: 726, + prod0: 723, transitions: &[], k: 0, }, - /* 479 - "PortDeclarationGroup" */ + /* 478 - "PortDeclarationGroup" */ LookaheadDFA { - prod0: 734, + prod0: 731, transitions: &[], k: 0, }, - /* 480 - "PortDeclarationGroupGroup" */ + /* 479 - "PortDeclarationGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 735), Trans(0, 112, 2, 736)], + transitions: &[Trans(0, 39, 1, 732), Trans(0, 112, 2, 733)], k: 1, }, - /* 481 - "PortDeclarationGroupList" */ + /* 480 - "PortDeclarationGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 737), - Trans(0, 39, 2, 738), - Trans(0, 112, 2, 738), + Trans(0, 36, 1, 734), + Trans(0, 39, 2, 735), + Trans(0, 112, 2, 735), ], k: 1, }, - /* 482 - "PortDeclarationItem" */ + /* 481 - "PortDeclarationItem" */ LookaheadDFA { - prod0: 739, + prod0: 736, transitions: &[], k: 0, }, - /* 483 - "PortDeclarationItemGroup" */ + /* 482 - "PortDeclarationItemGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 71, 1, 740), - Trans(0, 74, 1, 740), - Trans(0, 75, 1, 740), - Trans(0, 78, 2, 741), - Trans(0, 84, 1, 740), - Trans(0, 87, 1, 740), - Trans(0, 92, 1, 740), + Trans(0, 71, 1, 737), + Trans(0, 74, 1, 737), + Trans(0, 75, 1, 737), + Trans(0, 78, 2, 738), + Trans(0, 84, 1, 737), + Trans(0, 87, 1, 737), + Trans(0, 92, 1, 737), ], k: 1, }, - /* 484 - "PortDeclarationItemOpt" */ + /* 483 - "PortDeclarationItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 743), - Trans(0, 40, 1, 742), - Trans(0, 43, 2, 743), - Trans(0, 45, 2, 743), + Trans(0, 31, 2, 740), + Trans(0, 40, 1, 739), + Trans(0, 43, 2, 740), + Trans(0, 45, 2, 740), ], k: 1, }, - /* 485 - "PortDeclarationList" */ + /* 484 - "PortDeclarationList" */ LookaheadDFA { - prod0: 729, + prod0: 726, transitions: &[], k: 0, }, - /* 486 - "PortDeclarationListList" */ + /* 485 - "PortDeclarationListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -10909,19 +10902,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 43, 15, -1), Trans(1, 45, 16, -1), Trans(1, 112, 5, -1), - Trans(2, 5, 3, 730), - Trans(2, 40, 3, 730), - Trans(4, 5, 3, 730), - Trans(4, 36, 3, 730), - Trans(4, 39, 3, 730), - Trans(4, 112, 3, 730), - Trans(5, 5, 3, 730), - Trans(5, 30, 3, 730), - Trans(6, 36, 3, 730), - Trans(6, 39, 3, 730), - Trans(6, 43, 12, 731), - Trans(6, 45, 12, 731), - Trans(6, 112, 3, 730), + Trans(2, 5, 3, 727), + Trans(2, 40, 3, 727), + Trans(4, 5, 3, 727), + Trans(4, 36, 3, 727), + Trans(4, 39, 3, 727), + Trans(4, 112, 3, 727), + Trans(5, 5, 3, 727), + Trans(5, 30, 3, 727), + Trans(6, 36, 3, 727), + Trans(6, 39, 3, 727), + Trans(6, 43, 12, 728), + Trans(6, 45, 12, 728), + Trans(6, 112, 3, 727), Trans(7, 5, 13, -1), Trans(7, 31, 14, -1), Trans(7, 43, 15, -1), @@ -10929,225 +10922,225 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 5, 9, -1), Trans(8, 13, 10, -1), Trans(8, 39, 11, -1), - Trans(9, 13, 12, 731), - Trans(9, 39, 12, 731), - Trans(10, 5, 12, 731), - Trans(10, 52, 12, 731), - Trans(10, 54, 12, 731), - Trans(10, 55, 12, 731), - Trans(10, 56, 12, 731), - Trans(10, 62, 12, 731), - Trans(10, 63, 12, 731), - Trans(10, 67, 12, 731), - Trans(10, 68, 12, 731), - Trans(10, 82, 12, 731), - Trans(10, 94, 12, 731), - Trans(10, 95, 12, 731), - Trans(10, 96, 12, 731), - Trans(10, 97, 12, 731), - Trans(10, 98, 12, 731), - Trans(10, 101, 12, 731), - Trans(10, 103, 12, 731), - Trans(10, 105, 12, 731), - Trans(10, 107, 12, 731), - Trans(10, 108, 12, 731), - Trans(10, 111, 12, 731), - Trans(10, 112, 12, 731), - Trans(11, 5, 12, 731), - Trans(11, 30, 12, 731), - Trans(11, 36, 12, 731), - Trans(11, 39, 12, 731), - Trans(11, 43, 12, 731), - Trans(11, 48, 12, 731), - Trans(11, 49, 12, 731), - Trans(11, 50, 12, 731), - Trans(11, 53, 12, 731), - Trans(11, 60, 12, 731), - Trans(11, 64, 12, 731), - Trans(11, 65, 12, 731), - Trans(11, 66, 12, 731), - Trans(11, 69, 12, 731), - Trans(11, 70, 12, 731), - Trans(11, 71, 12, 731), - Trans(11, 73, 12, 731), - Trans(11, 77, 12, 731), - Trans(11, 80, 12, 731), - Trans(11, 81, 12, 731), - Trans(11, 99, 12, 731), - Trans(11, 100, 12, 731), - Trans(11, 104, 12, 731), - Trans(11, 106, 12, 731), - Trans(11, 109, 12, 731), - Trans(11, 110, 12, 731), - Trans(11, 111, 12, 731), - Trans(11, 112, 12, 731), - Trans(13, 31, 12, 731), - Trans(13, 43, 12, 731), - Trans(13, 45, 12, 731), - Trans(14, 5, 12, 731), - Trans(14, 36, 12, 731), - Trans(14, 39, 12, 731), - Trans(14, 43, 12, 731), - Trans(14, 45, 12, 731), - Trans(14, 112, 12, 731), - Trans(15, 5, 12, 731), - Trans(15, 31, 12, 731), - Trans(15, 43, 12, 731), - Trans(15, 45, 12, 731), - Trans(16, 5, 12, 731), - Trans(16, 13, 12, 731), - Trans(16, 39, 12, 731), + Trans(9, 13, 12, 728), + Trans(9, 39, 12, 728), + Trans(10, 5, 12, 728), + Trans(10, 52, 12, 728), + Trans(10, 54, 12, 728), + Trans(10, 55, 12, 728), + Trans(10, 56, 12, 728), + Trans(10, 62, 12, 728), + Trans(10, 63, 12, 728), + Trans(10, 67, 12, 728), + Trans(10, 68, 12, 728), + Trans(10, 82, 12, 728), + Trans(10, 94, 12, 728), + Trans(10, 95, 12, 728), + Trans(10, 96, 12, 728), + Trans(10, 97, 12, 728), + Trans(10, 98, 12, 728), + Trans(10, 101, 12, 728), + Trans(10, 103, 12, 728), + Trans(10, 105, 12, 728), + Trans(10, 107, 12, 728), + Trans(10, 108, 12, 728), + Trans(10, 111, 12, 728), + Trans(10, 112, 12, 728), + Trans(11, 5, 12, 728), + Trans(11, 30, 12, 728), + Trans(11, 36, 12, 728), + Trans(11, 39, 12, 728), + Trans(11, 43, 12, 728), + Trans(11, 48, 12, 728), + Trans(11, 49, 12, 728), + Trans(11, 50, 12, 728), + Trans(11, 53, 12, 728), + Trans(11, 60, 12, 728), + Trans(11, 64, 12, 728), + Trans(11, 65, 12, 728), + Trans(11, 66, 12, 728), + Trans(11, 69, 12, 728), + Trans(11, 70, 12, 728), + Trans(11, 71, 12, 728), + Trans(11, 73, 12, 728), + Trans(11, 77, 12, 728), + Trans(11, 80, 12, 728), + Trans(11, 81, 12, 728), + Trans(11, 99, 12, 728), + Trans(11, 100, 12, 728), + Trans(11, 104, 12, 728), + Trans(11, 106, 12, 728), + Trans(11, 109, 12, 728), + Trans(11, 110, 12, 728), + Trans(11, 111, 12, 728), + Trans(11, 112, 12, 728), + Trans(13, 31, 12, 728), + Trans(13, 43, 12, 728), + Trans(13, 45, 12, 728), + Trans(14, 5, 12, 728), + Trans(14, 36, 12, 728), + Trans(14, 39, 12, 728), + Trans(14, 43, 12, 728), + Trans(14, 45, 12, 728), + Trans(14, 112, 12, 728), + Trans(15, 5, 12, 728), + Trans(15, 31, 12, 728), + Trans(15, 43, 12, 728), + Trans(15, 45, 12, 728), + Trans(16, 5, 12, 728), + Trans(16, 13, 12, 728), + Trans(16, 39, 12, 728), ], k: 3, }, - /* 487 - "PortDeclarationListOpt" */ + /* 486 - "PortDeclarationListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 732), - Trans(0, 43, 2, 733), - Trans(0, 45, 2, 733), + Trans(0, 31, 1, 729), + Trans(0, 43, 2, 730), + Trans(0, 45, 2, 730), ], k: 1, }, - /* 488 - "PortDeclarationOpt" */ + /* 487 - "PortDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 727), - Trans(0, 39, 1, 727), - Trans(0, 45, 2, 728), - Trans(0, 112, 1, 727), + Trans(0, 36, 1, 724), + Trans(0, 39, 1, 724), + Trans(0, 45, 2, 725), + Trans(0, 112, 1, 724), ], k: 1, }, - /* 489 - "Pub" */ + /* 488 - "Pub" */ LookaheadDFA { prod0: 307, transitions: &[], k: 0, }, - /* 490 - "PubTerm" */ + /* 489 - "PubTerm" */ LookaheadDFA { prod0: 86, transitions: &[], k: 0, }, - /* 491 - "PubToken" */ + /* 490 - "PubToken" */ LookaheadDFA { prod0: 198, transitions: &[], k: 0, }, - /* 492 - "QuoteLBrace" */ + /* 491 - "QuoteLBrace" */ LookaheadDFA { prod0: 249, transitions: &[], k: 0, }, - /* 493 - "QuoteLBraceTerm" */ + /* 492 - "QuoteLBraceTerm" */ LookaheadDFA { prod0: 33, transitions: &[], k: 0, }, - /* 494 - "QuoteLBraceToken" */ + /* 493 - "QuoteLBraceToken" */ LookaheadDFA { prod0: 141, transitions: &[], k: 0, }, - /* 495 - "RAngle" */ + /* 494 - "RAngle" */ LookaheadDFA { prod0: 257, transitions: &[], k: 0, }, - /* 496 - "RAngleTerm" */ + /* 495 - "RAngleTerm" */ LookaheadDFA { prod0: 37, transitions: &[], k: 0, }, - /* 497 - "RAngleToken" */ + /* 496 - "RAngleToken" */ LookaheadDFA { prod0: 149, transitions: &[], k: 0, }, - /* 498 - "RBrace" */ + /* 497 - "RBrace" */ LookaheadDFA { prod0: 258, transitions: &[], k: 0, }, - /* 499 - "RBraceTerm" */ + /* 498 - "RBraceTerm" */ LookaheadDFA { prod0: 38, transitions: &[], k: 0, }, - /* 500 - "RBraceToken" */ + /* 499 - "RBraceToken" */ LookaheadDFA { prod0: 150, transitions: &[], k: 0, }, - /* 501 - "RBracket" */ + /* 500 - "RBracket" */ LookaheadDFA { prod0: 259, transitions: &[], k: 0, }, - /* 502 - "RBracketTerm" */ + /* 501 - "RBracketTerm" */ LookaheadDFA { prod0: 39, transitions: &[], k: 0, }, - /* 503 - "RBracketToken" */ + /* 502 - "RBracketToken" */ LookaheadDFA { prod0: 151, transitions: &[], k: 0, }, - /* 504 - "RParen" */ + /* 503 - "RParen" */ LookaheadDFA { prod0: 260, transitions: &[], k: 0, }, - /* 505 - "RParenTerm" */ + /* 504 - "RParenTerm" */ LookaheadDFA { prod0: 40, transitions: &[], k: 0, }, - /* 506 - "RParenToken" */ + /* 505 - "RParenToken" */ LookaheadDFA { prod0: 152, transitions: &[], k: 0, }, - /* 507 - "Range" */ + /* 506 - "Range" */ LookaheadDFA { - prod0: 481, + prod0: 477, transitions: &[], k: 0, }, - /* 508 - "RangeItem" */ + /* 507 - "RangeItem" */ LookaheadDFA { - prod0: 467, + prod0: 463, transitions: &[], k: 0, }, - /* 509 - "RangeList" */ + /* 508 - "RangeList" */ LookaheadDFA { - prod0: 462, + prod0: 458, transitions: &[], k: 0, }, - /* 510 - "RangeListList" */ + /* 509 - "RangeListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -11177,139 +11170,139 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 88, 4, -1), Trans(1, 111, 6, -1), Trans(1, 112, 7, -1), - Trans(2, 5, 3, 463), - Trans(2, 16, 3, 463), - Trans(2, 17, 3, 463), - Trans(2, 18, 3, 463), - Trans(2, 19, 3, 463), - Trans(2, 20, 3, 463), - Trans(2, 21, 3, 463), - Trans(2, 22, 3, 463), - Trans(2, 23, 3, 463), - Trans(2, 24, 3, 463), - Trans(2, 25, 3, 463), - Trans(2, 26, 3, 463), - Trans(2, 31, 3, 463), - Trans(2, 32, 3, 463), - Trans(2, 33, 3, 463), - Trans(2, 43, 3, 463), - Trans(2, 47, 3, 463), - Trans(2, 51, 3, 463), - Trans(4, 5, 3, 463), - Trans(4, 6, 3, 463), - Trans(4, 7, 3, 463), - Trans(4, 8, 3, 463), - Trans(4, 9, 3, 463), - Trans(4, 10, 3, 463), - Trans(4, 11, 3, 463), - Trans(4, 18, 3, 463), - Trans(4, 24, 3, 463), - Trans(4, 25, 3, 463), - Trans(4, 26, 3, 463), - Trans(4, 27, 3, 463), - Trans(4, 38, 3, 463), - Trans(4, 39, 3, 463), - Trans(4, 41, 3, 463), - Trans(4, 53, 3, 463), - Trans(4, 70, 3, 463), - Trans(4, 76, 3, 463), - Trans(4, 83, 3, 463), - Trans(4, 86, 3, 463), - Trans(4, 88, 3, 463), - Trans(4, 111, 3, 463), - Trans(4, 112, 3, 463), - Trans(5, 5, 3, 463), - Trans(5, 6, 3, 463), - Trans(5, 7, 3, 463), - Trans(5, 8, 3, 463), - Trans(5, 9, 3, 463), - Trans(5, 10, 3, 463), - Trans(5, 11, 3, 463), - Trans(5, 18, 3, 463), - Trans(5, 24, 3, 463), - Trans(5, 25, 3, 463), - Trans(5, 26, 3, 463), - Trans(5, 27, 3, 463), - Trans(5, 38, 3, 463), - Trans(5, 39, 3, 463), - Trans(5, 41, 3, 463), - Trans(5, 53, 3, 463), - Trans(5, 57, 3, 463), - Trans(5, 70, 3, 463), - Trans(5, 76, 3, 463), - Trans(5, 83, 3, 463), - Trans(5, 86, 3, 463), - Trans(5, 88, 3, 463), - Trans(5, 111, 3, 463), - Trans(5, 112, 3, 463), - Trans(6, 5, 3, 463), - Trans(6, 16, 3, 463), - Trans(6, 17, 3, 463), - Trans(6, 18, 3, 463), - Trans(6, 19, 3, 463), - Trans(6, 20, 3, 463), - Trans(6, 21, 3, 463), - Trans(6, 22, 3, 463), - Trans(6, 23, 3, 463), - Trans(6, 24, 3, 463), - Trans(6, 25, 3, 463), - Trans(6, 26, 3, 463), - Trans(6, 29, 3, 463), - Trans(6, 31, 3, 463), - Trans(6, 32, 3, 463), - Trans(6, 33, 3, 463), - Trans(6, 34, 3, 463), - Trans(6, 40, 3, 463), - Trans(6, 41, 3, 463), - Trans(6, 43, 3, 463), - Trans(6, 47, 3, 463), - Trans(6, 51, 3, 463), - Trans(7, 5, 3, 463), - Trans(7, 16, 3, 463), - Trans(7, 17, 3, 463), - Trans(7, 18, 3, 463), - Trans(7, 19, 3, 463), - Trans(7, 20, 3, 463), - Trans(7, 21, 3, 463), - Trans(7, 22, 3, 463), - Trans(7, 23, 3, 463), - Trans(7, 24, 3, 463), - Trans(7, 25, 3, 463), - Trans(7, 26, 3, 463), - Trans(7, 28, 3, 463), - Trans(7, 29, 3, 463), - Trans(7, 31, 3, 463), - Trans(7, 32, 3, 463), - Trans(7, 33, 3, 463), - Trans(7, 34, 3, 463), - Trans(7, 40, 3, 463), - Trans(7, 41, 3, 463), - Trans(7, 43, 3, 463), - Trans(7, 47, 3, 463), - Trans(7, 51, 3, 463), - Trans(8, 6, 3, 463), - Trans(8, 7, 3, 463), - Trans(8, 8, 3, 463), - Trans(8, 9, 3, 463), - Trans(8, 10, 3, 463), - Trans(8, 11, 3, 463), - Trans(8, 18, 3, 463), - Trans(8, 24, 3, 463), - Trans(8, 25, 3, 463), - Trans(8, 26, 3, 463), - Trans(8, 27, 3, 463), - Trans(8, 38, 3, 463), - Trans(8, 39, 3, 463), - Trans(8, 41, 3, 463), - Trans(8, 43, 22, 464), - Trans(8, 53, 3, 463), - Trans(8, 70, 3, 463), - Trans(8, 76, 3, 463), - Trans(8, 83, 3, 463), - Trans(8, 86, 3, 463), - Trans(8, 88, 3, 463), - Trans(8, 111, 3, 463), - Trans(8, 112, 3, 463), + Trans(2, 5, 3, 459), + Trans(2, 16, 3, 459), + Trans(2, 17, 3, 459), + Trans(2, 18, 3, 459), + Trans(2, 19, 3, 459), + Trans(2, 20, 3, 459), + Trans(2, 21, 3, 459), + Trans(2, 22, 3, 459), + Trans(2, 23, 3, 459), + Trans(2, 24, 3, 459), + Trans(2, 25, 3, 459), + Trans(2, 26, 3, 459), + Trans(2, 31, 3, 459), + Trans(2, 32, 3, 459), + Trans(2, 33, 3, 459), + Trans(2, 43, 3, 459), + Trans(2, 47, 3, 459), + Trans(2, 51, 3, 459), + Trans(4, 5, 3, 459), + Trans(4, 6, 3, 459), + Trans(4, 7, 3, 459), + Trans(4, 8, 3, 459), + Trans(4, 9, 3, 459), + Trans(4, 10, 3, 459), + Trans(4, 11, 3, 459), + Trans(4, 18, 3, 459), + Trans(4, 24, 3, 459), + Trans(4, 25, 3, 459), + Trans(4, 26, 3, 459), + Trans(4, 27, 3, 459), + Trans(4, 38, 3, 459), + Trans(4, 39, 3, 459), + Trans(4, 41, 3, 459), + Trans(4, 53, 3, 459), + Trans(4, 70, 3, 459), + Trans(4, 76, 3, 459), + Trans(4, 83, 3, 459), + Trans(4, 86, 3, 459), + Trans(4, 88, 3, 459), + Trans(4, 111, 3, 459), + Trans(4, 112, 3, 459), + Trans(5, 5, 3, 459), + Trans(5, 6, 3, 459), + Trans(5, 7, 3, 459), + Trans(5, 8, 3, 459), + Trans(5, 9, 3, 459), + Trans(5, 10, 3, 459), + Trans(5, 11, 3, 459), + Trans(5, 18, 3, 459), + Trans(5, 24, 3, 459), + Trans(5, 25, 3, 459), + Trans(5, 26, 3, 459), + Trans(5, 27, 3, 459), + Trans(5, 38, 3, 459), + Trans(5, 39, 3, 459), + Trans(5, 41, 3, 459), + Trans(5, 53, 3, 459), + Trans(5, 57, 3, 459), + Trans(5, 70, 3, 459), + Trans(5, 76, 3, 459), + Trans(5, 83, 3, 459), + Trans(5, 86, 3, 459), + Trans(5, 88, 3, 459), + Trans(5, 111, 3, 459), + Trans(5, 112, 3, 459), + Trans(6, 5, 3, 459), + Trans(6, 16, 3, 459), + Trans(6, 17, 3, 459), + Trans(6, 18, 3, 459), + Trans(6, 19, 3, 459), + Trans(6, 20, 3, 459), + Trans(6, 21, 3, 459), + Trans(6, 22, 3, 459), + Trans(6, 23, 3, 459), + Trans(6, 24, 3, 459), + Trans(6, 25, 3, 459), + Trans(6, 26, 3, 459), + Trans(6, 29, 3, 459), + Trans(6, 31, 3, 459), + Trans(6, 32, 3, 459), + Trans(6, 33, 3, 459), + Trans(6, 34, 3, 459), + Trans(6, 40, 3, 459), + Trans(6, 41, 3, 459), + Trans(6, 43, 3, 459), + Trans(6, 47, 3, 459), + Trans(6, 51, 3, 459), + Trans(7, 5, 3, 459), + Trans(7, 16, 3, 459), + Trans(7, 17, 3, 459), + Trans(7, 18, 3, 459), + Trans(7, 19, 3, 459), + Trans(7, 20, 3, 459), + Trans(7, 21, 3, 459), + Trans(7, 22, 3, 459), + Trans(7, 23, 3, 459), + Trans(7, 24, 3, 459), + Trans(7, 25, 3, 459), + Trans(7, 26, 3, 459), + Trans(7, 28, 3, 459), + Trans(7, 29, 3, 459), + Trans(7, 31, 3, 459), + Trans(7, 32, 3, 459), + Trans(7, 33, 3, 459), + Trans(7, 34, 3, 459), + Trans(7, 40, 3, 459), + Trans(7, 41, 3, 459), + Trans(7, 43, 3, 459), + Trans(7, 47, 3, 459), + Trans(7, 51, 3, 459), + Trans(8, 6, 3, 459), + Trans(8, 7, 3, 459), + Trans(8, 8, 3, 459), + Trans(8, 9, 3, 459), + Trans(8, 10, 3, 459), + Trans(8, 11, 3, 459), + Trans(8, 18, 3, 459), + Trans(8, 24, 3, 459), + Trans(8, 25, 3, 459), + Trans(8, 26, 3, 459), + Trans(8, 27, 3, 459), + Trans(8, 38, 3, 459), + Trans(8, 39, 3, 459), + Trans(8, 41, 3, 459), + Trans(8, 43, 22, 460), + Trans(8, 53, 3, 459), + Trans(8, 70, 3, 459), + Trans(8, 76, 3, 459), + Trans(8, 83, 3, 459), + Trans(8, 86, 3, 459), + Trans(8, 88, 3, 459), + Trans(8, 111, 3, 459), + Trans(8, 112, 3, 459), Trans(9, 5, 10, -1), Trans(9, 12, 11, -1), Trans(9, 14, 11, -1), @@ -11338,642 +11331,642 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(9, 51, 20, -1), Trans(9, 93, 11, -1), Trans(9, 102, 21, -1), - Trans(10, 12, 22, 464), - Trans(10, 14, 22, 464), - Trans(10, 16, 22, 464), - Trans(10, 17, 22, 464), - Trans(10, 18, 22, 464), - Trans(10, 19, 22, 464), - Trans(10, 20, 22, 464), - Trans(10, 21, 22, 464), - Trans(10, 22, 22, 464), - Trans(10, 23, 22, 464), - Trans(10, 24, 22, 464), - Trans(10, 25, 22, 464), - Trans(10, 26, 22, 464), - Trans(10, 30, 22, 464), - Trans(10, 31, 22, 464), - Trans(10, 32, 22, 464), - Trans(10, 33, 22, 464), - Trans(10, 39, 22, 464), - Trans(10, 42, 22, 464), - Trans(10, 43, 22, 464), - Trans(10, 44, 22, 464), - Trans(10, 45, 22, 464), - Trans(10, 46, 22, 464), - Trans(10, 47, 22, 464), - Trans(10, 51, 22, 464), - Trans(10, 93, 22, 464), - Trans(10, 102, 22, 464), - Trans(11, 5, 22, 464), - Trans(11, 6, 22, 464), - Trans(11, 7, 22, 464), - Trans(11, 8, 22, 464), - Trans(11, 9, 22, 464), - Trans(11, 10, 22, 464), - Trans(11, 11, 22, 464), - Trans(11, 18, 22, 464), - Trans(11, 24, 22, 464), - Trans(11, 25, 22, 464), - Trans(11, 26, 22, 464), - Trans(11, 27, 22, 464), - Trans(11, 38, 22, 464), - Trans(11, 39, 22, 464), - Trans(11, 41, 22, 464), - Trans(11, 53, 22, 464), - Trans(11, 70, 22, 464), - Trans(11, 76, 22, 464), - Trans(11, 83, 22, 464), - Trans(11, 86, 22, 464), - Trans(11, 88, 22, 464), - Trans(11, 111, 22, 464), - Trans(11, 112, 22, 464), - Trans(12, 5, 22, 464), - Trans(12, 6, 22, 464), - Trans(12, 7, 22, 464), - Trans(12, 8, 22, 464), - Trans(12, 9, 22, 464), - Trans(12, 10, 22, 464), - Trans(12, 11, 22, 464), - Trans(12, 18, 22, 464), - Trans(12, 24, 22, 464), - Trans(12, 25, 22, 464), - Trans(12, 26, 22, 464), - Trans(12, 27, 22, 464), - Trans(12, 38, 22, 464), - Trans(12, 39, 22, 464), - Trans(12, 41, 22, 464), - Trans(12, 53, 22, 464), - Trans(12, 65, 22, 464), - Trans(12, 69, 22, 464), - Trans(12, 70, 22, 464), - Trans(12, 76, 22, 464), - Trans(12, 80, 22, 464), - Trans(12, 83, 22, 464), - Trans(12, 86, 22, 464), - Trans(12, 88, 22, 464), - Trans(12, 99, 22, 464), - Trans(12, 100, 22, 464), - Trans(12, 111, 22, 464), - Trans(12, 112, 22, 464), - Trans(13, 5, 22, 464), - Trans(13, 6, 22, 464), - Trans(13, 7, 22, 464), - Trans(13, 8, 22, 464), - Trans(13, 9, 22, 464), - Trans(13, 10, 22, 464), - Trans(13, 11, 22, 464), - Trans(13, 18, 22, 464), - Trans(13, 24, 22, 464), - Trans(13, 25, 22, 464), - Trans(13, 26, 22, 464), - Trans(13, 27, 22, 464), - Trans(13, 36, 22, 464), - Trans(13, 38, 22, 464), - Trans(13, 39, 22, 464), - Trans(13, 41, 22, 464), - Trans(13, 43, 22, 464), - Trans(13, 45, 22, 464), - Trans(13, 53, 22, 464), - Trans(13, 57, 22, 464), - Trans(13, 70, 22, 464), - Trans(13, 76, 22, 464), - Trans(13, 81, 22, 464), - Trans(13, 83, 22, 464), - Trans(13, 86, 22, 464), - Trans(13, 88, 22, 464), - Trans(13, 90, 22, 464), - Trans(13, 111, 22, 464), - Trans(13, 112, 22, 464), - Trans(14, 5, 22, 464), - Trans(14, 6, 22, 464), - Trans(14, 7, 22, 464), - Trans(14, 8, 22, 464), - Trans(14, 9, 22, 464), - Trans(14, 10, 22, 464), - Trans(14, 11, 22, 464), - Trans(14, 18, 22, 464), - Trans(14, 24, 22, 464), - Trans(14, 25, 22, 464), - Trans(14, 26, 22, 464), - Trans(14, 27, 22, 464), - Trans(14, 30, 22, 464), - Trans(14, 36, 22, 464), - Trans(14, 38, 22, 464), - Trans(14, 39, 22, 464), - Trans(14, 41, 22, 464), - Trans(14, 43, 22, 464), - Trans(14, 48, 22, 464), - Trans(14, 49, 22, 464), - Trans(14, 50, 22, 464), - Trans(14, 53, 22, 464), - Trans(14, 57, 22, 464), - Trans(14, 60, 22, 464), - Trans(14, 64, 22, 464), - Trans(14, 65, 22, 464), - Trans(14, 66, 22, 464), - Trans(14, 69, 22, 464), - Trans(14, 70, 22, 464), - Trans(14, 71, 22, 464), - Trans(14, 73, 22, 464), - Trans(14, 76, 22, 464), - Trans(14, 77, 22, 464), - Trans(14, 80, 22, 464), - Trans(14, 81, 22, 464), - Trans(14, 83, 22, 464), - Trans(14, 84, 22, 464), - Trans(14, 86, 22, 464), - Trans(14, 88, 22, 464), - Trans(14, 99, 22, 464), - Trans(14, 100, 22, 464), - Trans(14, 104, 22, 464), - Trans(14, 106, 22, 464), - Trans(14, 109, 22, 464), - Trans(14, 110, 22, 464), - Trans(14, 111, 22, 464), - Trans(14, 112, 22, 464), - Trans(15, 5, 22, 464), - Trans(15, 31, 22, 464), - Trans(15, 35, 22, 464), - Trans(15, 39, 22, 464), - Trans(15, 40, 22, 464), - Trans(15, 43, 22, 464), - Trans(15, 45, 22, 464), - Trans(15, 46, 22, 464), - Trans(15, 79, 22, 464), - Trans(16, 5, 22, 464), - Trans(16, 12, 22, 464), - Trans(16, 14, 22, 464), - Trans(16, 16, 22, 464), - Trans(16, 17, 22, 464), - Trans(16, 18, 22, 464), - Trans(16, 19, 22, 464), - Trans(16, 20, 22, 464), - Trans(16, 21, 22, 464), - Trans(16, 22, 22, 464), - Trans(16, 23, 22, 464), - Trans(16, 24, 22, 464), - Trans(16, 25, 22, 464), - Trans(16, 26, 22, 464), - Trans(16, 30, 22, 464), - Trans(16, 31, 22, 464), - Trans(16, 32, 22, 464), - Trans(16, 33, 22, 464), - Trans(16, 36, 22, 464), - Trans(16, 39, 22, 464), - Trans(16, 42, 22, 464), - Trans(16, 43, 22, 464), - Trans(16, 44, 22, 464), - Trans(16, 45, 22, 464), - Trans(16, 46, 22, 464), - Trans(16, 47, 22, 464), - Trans(16, 48, 22, 464), - Trans(16, 49, 22, 464), - Trans(16, 50, 22, 464), - Trans(16, 51, 22, 464), - Trans(16, 58, 22, 464), - Trans(16, 60, 22, 464), - Trans(16, 61, 22, 464), - Trans(16, 64, 22, 464), - Trans(16, 65, 22, 464), - Trans(16, 66, 22, 464), - Trans(16, 70, 22, 464), - Trans(16, 71, 22, 464), - Trans(16, 73, 22, 464), - Trans(16, 77, 22, 464), - Trans(16, 80, 22, 464), - Trans(16, 81, 22, 464), - Trans(16, 84, 22, 464), - Trans(16, 93, 22, 464), - Trans(16, 102, 22, 464), - Trans(16, 104, 22, 464), - Trans(16, 106, 22, 464), - Trans(16, 109, 22, 464), - Trans(16, 110, 22, 464), - Trans(17, 5, 22, 464), - Trans(17, 12, 22, 464), - Trans(17, 14, 22, 464), - Trans(17, 15, 22, 464), - Trans(17, 16, 22, 464), - Trans(17, 17, 22, 464), - Trans(17, 18, 22, 464), - Trans(17, 19, 22, 464), - Trans(17, 20, 22, 464), - Trans(17, 21, 22, 464), - Trans(17, 22, 22, 464), - Trans(17, 23, 22, 464), - Trans(17, 24, 22, 464), - Trans(17, 25, 22, 464), - Trans(17, 26, 22, 464), - Trans(17, 30, 22, 464), - Trans(17, 31, 22, 464), - Trans(17, 32, 22, 464), - Trans(17, 33, 22, 464), - Trans(17, 34, 22, 464), - Trans(17, 35, 22, 464), - Trans(17, 36, 22, 464), - Trans(17, 39, 22, 464), - Trans(17, 40, 22, 464), - Trans(17, 41, 22, 464), - Trans(17, 42, 22, 464), - Trans(17, 43, 22, 464), - Trans(17, 44, 22, 464), - Trans(17, 45, 22, 464), - Trans(17, 46, 22, 464), - Trans(17, 47, 22, 464), - Trans(17, 51, 22, 464), - Trans(17, 93, 22, 464), - Trans(17, 102, 22, 464), - Trans(18, 5, 22, 464), - Trans(18, 12, 22, 464), - Trans(18, 14, 22, 464), - Trans(18, 16, 22, 464), - Trans(18, 17, 22, 464), - Trans(18, 18, 22, 464), - Trans(18, 19, 22, 464), - Trans(18, 20, 22, 464), - Trans(18, 21, 22, 464), - Trans(18, 22, 22, 464), - Trans(18, 23, 22, 464), - Trans(18, 24, 22, 464), - Trans(18, 25, 22, 464), - Trans(18, 26, 22, 464), - Trans(18, 30, 22, 464), - Trans(18, 31, 22, 464), - Trans(18, 32, 22, 464), - Trans(18, 33, 22, 464), - Trans(18, 39, 22, 464), - Trans(18, 41, 22, 464), - Trans(18, 42, 22, 464), - Trans(18, 43, 22, 464), - Trans(18, 44, 22, 464), - Trans(18, 45, 22, 464), - Trans(18, 46, 22, 464), - Trans(18, 47, 22, 464), - Trans(18, 51, 22, 464), - Trans(18, 93, 22, 464), - Trans(18, 102, 22, 464), - Trans(19, 5, 22, 464), - Trans(19, 6, 22, 464), - Trans(19, 7, 22, 464), - Trans(19, 8, 22, 464), - Trans(19, 9, 22, 464), - Trans(19, 10, 22, 464), - Trans(19, 11, 22, 464), - Trans(19, 18, 22, 464), - Trans(19, 24, 22, 464), - Trans(19, 25, 22, 464), - Trans(19, 26, 22, 464), - Trans(19, 27, 22, 464), - Trans(19, 30, 22, 464), - Trans(19, 36, 22, 464), - Trans(19, 38, 22, 464), - Trans(19, 39, 22, 464), - Trans(19, 41, 22, 464), - Trans(19, 43, 22, 464), - Trans(19, 48, 22, 464), - Trans(19, 49, 22, 464), - Trans(19, 50, 22, 464), - Trans(19, 53, 22, 464), - Trans(19, 57, 22, 464), - Trans(19, 60, 22, 464), - Trans(19, 61, 22, 464), - Trans(19, 64, 22, 464), - Trans(19, 65, 22, 464), - Trans(19, 66, 22, 464), - Trans(19, 69, 22, 464), - Trans(19, 70, 22, 464), - Trans(19, 71, 22, 464), - Trans(19, 73, 22, 464), - Trans(19, 76, 22, 464), - Trans(19, 77, 22, 464), - Trans(19, 80, 22, 464), - Trans(19, 81, 22, 464), - Trans(19, 83, 22, 464), - Trans(19, 84, 22, 464), - Trans(19, 86, 22, 464), - Trans(19, 88, 22, 464), - Trans(19, 99, 22, 464), - Trans(19, 100, 22, 464), - Trans(19, 104, 22, 464), - Trans(19, 106, 22, 464), - Trans(19, 109, 22, 464), - Trans(19, 110, 22, 464), - Trans(19, 111, 22, 464), - Trans(19, 112, 22, 464), - Trans(20, 5, 22, 464), - Trans(20, 111, 22, 464), - Trans(20, 112, 22, 464), - Trans(21, 5, 22, 464), - Trans(21, 6, 22, 464), - Trans(21, 7, 22, 464), - Trans(21, 8, 22, 464), - Trans(21, 9, 22, 464), - Trans(21, 10, 22, 464), - Trans(21, 11, 22, 464), - Trans(21, 15, 22, 464), - Trans(21, 18, 22, 464), - Trans(21, 24, 22, 464), - Trans(21, 25, 22, 464), - Trans(21, 26, 22, 464), - Trans(21, 27, 22, 464), - Trans(21, 38, 22, 464), - Trans(21, 39, 22, 464), - Trans(21, 41, 22, 464), - Trans(21, 53, 22, 464), - Trans(21, 70, 22, 464), - Trans(21, 76, 22, 464), - Trans(21, 83, 22, 464), - Trans(21, 86, 22, 464), - Trans(21, 88, 22, 464), - Trans(21, 111, 22, 464), - Trans(21, 112, 22, 464), - Trans(23, 5, 22, 464), - Trans(23, 12, 22, 464), - Trans(23, 14, 22, 464), - Trans(23, 16, 22, 464), - Trans(23, 17, 22, 464), - Trans(23, 18, 22, 464), - Trans(23, 19, 22, 464), - Trans(23, 20, 22, 464), - Trans(23, 21, 22, 464), - Trans(23, 22, 22, 464), - Trans(23, 23, 22, 464), - Trans(23, 24, 22, 464), - Trans(23, 25, 22, 464), - Trans(23, 26, 22, 464), - Trans(23, 30, 22, 464), - Trans(23, 31, 22, 464), - Trans(23, 32, 22, 464), - Trans(23, 33, 22, 464), - Trans(23, 39, 22, 464), - Trans(23, 42, 22, 464), - Trans(23, 43, 22, 464), - Trans(23, 44, 22, 464), - Trans(23, 45, 22, 464), - Trans(23, 46, 22, 464), - Trans(23, 47, 22, 464), - Trans(23, 51, 22, 464), - Trans(23, 93, 22, 464), - Trans(23, 102, 22, 464), + Trans(10, 12, 22, 460), + Trans(10, 14, 22, 460), + Trans(10, 16, 22, 460), + Trans(10, 17, 22, 460), + Trans(10, 18, 22, 460), + Trans(10, 19, 22, 460), + Trans(10, 20, 22, 460), + Trans(10, 21, 22, 460), + Trans(10, 22, 22, 460), + Trans(10, 23, 22, 460), + Trans(10, 24, 22, 460), + Trans(10, 25, 22, 460), + Trans(10, 26, 22, 460), + Trans(10, 30, 22, 460), + Trans(10, 31, 22, 460), + Trans(10, 32, 22, 460), + Trans(10, 33, 22, 460), + Trans(10, 39, 22, 460), + Trans(10, 42, 22, 460), + Trans(10, 43, 22, 460), + Trans(10, 44, 22, 460), + Trans(10, 45, 22, 460), + Trans(10, 46, 22, 460), + Trans(10, 47, 22, 460), + Trans(10, 51, 22, 460), + Trans(10, 93, 22, 460), + Trans(10, 102, 22, 460), + Trans(11, 5, 22, 460), + Trans(11, 6, 22, 460), + Trans(11, 7, 22, 460), + Trans(11, 8, 22, 460), + Trans(11, 9, 22, 460), + Trans(11, 10, 22, 460), + Trans(11, 11, 22, 460), + Trans(11, 18, 22, 460), + Trans(11, 24, 22, 460), + Trans(11, 25, 22, 460), + Trans(11, 26, 22, 460), + Trans(11, 27, 22, 460), + Trans(11, 38, 22, 460), + Trans(11, 39, 22, 460), + Trans(11, 41, 22, 460), + Trans(11, 53, 22, 460), + Trans(11, 70, 22, 460), + Trans(11, 76, 22, 460), + Trans(11, 83, 22, 460), + Trans(11, 86, 22, 460), + Trans(11, 88, 22, 460), + Trans(11, 111, 22, 460), + Trans(11, 112, 22, 460), + Trans(12, 5, 22, 460), + Trans(12, 6, 22, 460), + Trans(12, 7, 22, 460), + Trans(12, 8, 22, 460), + Trans(12, 9, 22, 460), + Trans(12, 10, 22, 460), + Trans(12, 11, 22, 460), + Trans(12, 18, 22, 460), + Trans(12, 24, 22, 460), + Trans(12, 25, 22, 460), + Trans(12, 26, 22, 460), + Trans(12, 27, 22, 460), + Trans(12, 38, 22, 460), + Trans(12, 39, 22, 460), + Trans(12, 41, 22, 460), + Trans(12, 53, 22, 460), + Trans(12, 65, 22, 460), + Trans(12, 69, 22, 460), + Trans(12, 70, 22, 460), + Trans(12, 76, 22, 460), + Trans(12, 80, 22, 460), + Trans(12, 83, 22, 460), + Trans(12, 86, 22, 460), + Trans(12, 88, 22, 460), + Trans(12, 99, 22, 460), + Trans(12, 100, 22, 460), + Trans(12, 111, 22, 460), + Trans(12, 112, 22, 460), + Trans(13, 5, 22, 460), + Trans(13, 6, 22, 460), + Trans(13, 7, 22, 460), + Trans(13, 8, 22, 460), + Trans(13, 9, 22, 460), + Trans(13, 10, 22, 460), + Trans(13, 11, 22, 460), + Trans(13, 18, 22, 460), + Trans(13, 24, 22, 460), + Trans(13, 25, 22, 460), + Trans(13, 26, 22, 460), + Trans(13, 27, 22, 460), + Trans(13, 36, 22, 460), + Trans(13, 38, 22, 460), + Trans(13, 39, 22, 460), + Trans(13, 41, 22, 460), + Trans(13, 43, 22, 460), + Trans(13, 45, 22, 460), + Trans(13, 53, 22, 460), + Trans(13, 57, 22, 460), + Trans(13, 70, 22, 460), + Trans(13, 76, 22, 460), + Trans(13, 81, 22, 460), + Trans(13, 83, 22, 460), + Trans(13, 86, 22, 460), + Trans(13, 88, 22, 460), + Trans(13, 90, 22, 460), + Trans(13, 111, 22, 460), + Trans(13, 112, 22, 460), + Trans(14, 5, 22, 460), + Trans(14, 6, 22, 460), + Trans(14, 7, 22, 460), + Trans(14, 8, 22, 460), + Trans(14, 9, 22, 460), + Trans(14, 10, 22, 460), + Trans(14, 11, 22, 460), + Trans(14, 18, 22, 460), + Trans(14, 24, 22, 460), + Trans(14, 25, 22, 460), + Trans(14, 26, 22, 460), + Trans(14, 27, 22, 460), + Trans(14, 30, 22, 460), + Trans(14, 36, 22, 460), + Trans(14, 38, 22, 460), + Trans(14, 39, 22, 460), + Trans(14, 41, 22, 460), + Trans(14, 43, 22, 460), + Trans(14, 48, 22, 460), + Trans(14, 49, 22, 460), + Trans(14, 50, 22, 460), + Trans(14, 53, 22, 460), + Trans(14, 57, 22, 460), + Trans(14, 60, 22, 460), + Trans(14, 64, 22, 460), + Trans(14, 65, 22, 460), + Trans(14, 66, 22, 460), + Trans(14, 69, 22, 460), + Trans(14, 70, 22, 460), + Trans(14, 71, 22, 460), + Trans(14, 73, 22, 460), + Trans(14, 76, 22, 460), + Trans(14, 77, 22, 460), + Trans(14, 80, 22, 460), + Trans(14, 81, 22, 460), + Trans(14, 83, 22, 460), + Trans(14, 84, 22, 460), + Trans(14, 86, 22, 460), + Trans(14, 88, 22, 460), + Trans(14, 99, 22, 460), + Trans(14, 100, 22, 460), + Trans(14, 104, 22, 460), + Trans(14, 106, 22, 460), + Trans(14, 109, 22, 460), + Trans(14, 110, 22, 460), + Trans(14, 111, 22, 460), + Trans(14, 112, 22, 460), + Trans(15, 5, 22, 460), + Trans(15, 31, 22, 460), + Trans(15, 35, 22, 460), + Trans(15, 39, 22, 460), + Trans(15, 40, 22, 460), + Trans(15, 43, 22, 460), + Trans(15, 45, 22, 460), + Trans(15, 46, 22, 460), + Trans(15, 79, 22, 460), + Trans(16, 5, 22, 460), + Trans(16, 12, 22, 460), + Trans(16, 14, 22, 460), + Trans(16, 16, 22, 460), + Trans(16, 17, 22, 460), + Trans(16, 18, 22, 460), + Trans(16, 19, 22, 460), + Trans(16, 20, 22, 460), + Trans(16, 21, 22, 460), + Trans(16, 22, 22, 460), + Trans(16, 23, 22, 460), + Trans(16, 24, 22, 460), + Trans(16, 25, 22, 460), + Trans(16, 26, 22, 460), + Trans(16, 30, 22, 460), + Trans(16, 31, 22, 460), + Trans(16, 32, 22, 460), + Trans(16, 33, 22, 460), + Trans(16, 36, 22, 460), + Trans(16, 39, 22, 460), + Trans(16, 42, 22, 460), + Trans(16, 43, 22, 460), + Trans(16, 44, 22, 460), + Trans(16, 45, 22, 460), + Trans(16, 46, 22, 460), + Trans(16, 47, 22, 460), + Trans(16, 48, 22, 460), + Trans(16, 49, 22, 460), + Trans(16, 50, 22, 460), + Trans(16, 51, 22, 460), + Trans(16, 58, 22, 460), + Trans(16, 60, 22, 460), + Trans(16, 61, 22, 460), + Trans(16, 64, 22, 460), + Trans(16, 65, 22, 460), + Trans(16, 66, 22, 460), + Trans(16, 70, 22, 460), + Trans(16, 71, 22, 460), + Trans(16, 73, 22, 460), + Trans(16, 77, 22, 460), + Trans(16, 80, 22, 460), + Trans(16, 81, 22, 460), + Trans(16, 84, 22, 460), + Trans(16, 93, 22, 460), + Trans(16, 102, 22, 460), + Trans(16, 104, 22, 460), + Trans(16, 106, 22, 460), + Trans(16, 109, 22, 460), + Trans(16, 110, 22, 460), + Trans(17, 5, 22, 460), + Trans(17, 12, 22, 460), + Trans(17, 14, 22, 460), + Trans(17, 15, 22, 460), + Trans(17, 16, 22, 460), + Trans(17, 17, 22, 460), + Trans(17, 18, 22, 460), + Trans(17, 19, 22, 460), + Trans(17, 20, 22, 460), + Trans(17, 21, 22, 460), + Trans(17, 22, 22, 460), + Trans(17, 23, 22, 460), + Trans(17, 24, 22, 460), + Trans(17, 25, 22, 460), + Trans(17, 26, 22, 460), + Trans(17, 30, 22, 460), + Trans(17, 31, 22, 460), + Trans(17, 32, 22, 460), + Trans(17, 33, 22, 460), + Trans(17, 34, 22, 460), + Trans(17, 35, 22, 460), + Trans(17, 36, 22, 460), + Trans(17, 39, 22, 460), + Trans(17, 40, 22, 460), + Trans(17, 41, 22, 460), + Trans(17, 42, 22, 460), + Trans(17, 43, 22, 460), + Trans(17, 44, 22, 460), + Trans(17, 45, 22, 460), + Trans(17, 46, 22, 460), + Trans(17, 47, 22, 460), + Trans(17, 51, 22, 460), + Trans(17, 93, 22, 460), + Trans(17, 102, 22, 460), + Trans(18, 5, 22, 460), + Trans(18, 12, 22, 460), + Trans(18, 14, 22, 460), + Trans(18, 16, 22, 460), + Trans(18, 17, 22, 460), + Trans(18, 18, 22, 460), + Trans(18, 19, 22, 460), + Trans(18, 20, 22, 460), + Trans(18, 21, 22, 460), + Trans(18, 22, 22, 460), + Trans(18, 23, 22, 460), + Trans(18, 24, 22, 460), + Trans(18, 25, 22, 460), + Trans(18, 26, 22, 460), + Trans(18, 30, 22, 460), + Trans(18, 31, 22, 460), + Trans(18, 32, 22, 460), + Trans(18, 33, 22, 460), + Trans(18, 39, 22, 460), + Trans(18, 41, 22, 460), + Trans(18, 42, 22, 460), + Trans(18, 43, 22, 460), + Trans(18, 44, 22, 460), + Trans(18, 45, 22, 460), + Trans(18, 46, 22, 460), + Trans(18, 47, 22, 460), + Trans(18, 51, 22, 460), + Trans(18, 93, 22, 460), + Trans(18, 102, 22, 460), + Trans(19, 5, 22, 460), + Trans(19, 6, 22, 460), + Trans(19, 7, 22, 460), + Trans(19, 8, 22, 460), + Trans(19, 9, 22, 460), + Trans(19, 10, 22, 460), + Trans(19, 11, 22, 460), + Trans(19, 18, 22, 460), + Trans(19, 24, 22, 460), + Trans(19, 25, 22, 460), + Trans(19, 26, 22, 460), + Trans(19, 27, 22, 460), + Trans(19, 30, 22, 460), + Trans(19, 36, 22, 460), + Trans(19, 38, 22, 460), + Trans(19, 39, 22, 460), + Trans(19, 41, 22, 460), + Trans(19, 43, 22, 460), + Trans(19, 48, 22, 460), + Trans(19, 49, 22, 460), + Trans(19, 50, 22, 460), + Trans(19, 53, 22, 460), + Trans(19, 57, 22, 460), + Trans(19, 60, 22, 460), + Trans(19, 61, 22, 460), + Trans(19, 64, 22, 460), + Trans(19, 65, 22, 460), + Trans(19, 66, 22, 460), + Trans(19, 69, 22, 460), + Trans(19, 70, 22, 460), + Trans(19, 71, 22, 460), + Trans(19, 73, 22, 460), + Trans(19, 76, 22, 460), + Trans(19, 77, 22, 460), + Trans(19, 80, 22, 460), + Trans(19, 81, 22, 460), + Trans(19, 83, 22, 460), + Trans(19, 84, 22, 460), + Trans(19, 86, 22, 460), + Trans(19, 88, 22, 460), + Trans(19, 99, 22, 460), + Trans(19, 100, 22, 460), + Trans(19, 104, 22, 460), + Trans(19, 106, 22, 460), + Trans(19, 109, 22, 460), + Trans(19, 110, 22, 460), + Trans(19, 111, 22, 460), + Trans(19, 112, 22, 460), + Trans(20, 5, 22, 460), + Trans(20, 111, 22, 460), + Trans(20, 112, 22, 460), + Trans(21, 5, 22, 460), + Trans(21, 6, 22, 460), + Trans(21, 7, 22, 460), + Trans(21, 8, 22, 460), + Trans(21, 9, 22, 460), + Trans(21, 10, 22, 460), + Trans(21, 11, 22, 460), + Trans(21, 15, 22, 460), + Trans(21, 18, 22, 460), + Trans(21, 24, 22, 460), + Trans(21, 25, 22, 460), + Trans(21, 26, 22, 460), + Trans(21, 27, 22, 460), + Trans(21, 38, 22, 460), + Trans(21, 39, 22, 460), + Trans(21, 41, 22, 460), + Trans(21, 53, 22, 460), + Trans(21, 70, 22, 460), + Trans(21, 76, 22, 460), + Trans(21, 83, 22, 460), + Trans(21, 86, 22, 460), + Trans(21, 88, 22, 460), + Trans(21, 111, 22, 460), + Trans(21, 112, 22, 460), + Trans(23, 5, 22, 460), + Trans(23, 12, 22, 460), + Trans(23, 14, 22, 460), + Trans(23, 16, 22, 460), + Trans(23, 17, 22, 460), + Trans(23, 18, 22, 460), + Trans(23, 19, 22, 460), + Trans(23, 20, 22, 460), + Trans(23, 21, 22, 460), + Trans(23, 22, 22, 460), + Trans(23, 23, 22, 460), + Trans(23, 24, 22, 460), + Trans(23, 25, 22, 460), + Trans(23, 26, 22, 460), + Trans(23, 30, 22, 460), + Trans(23, 31, 22, 460), + Trans(23, 32, 22, 460), + Trans(23, 33, 22, 460), + Trans(23, 39, 22, 460), + Trans(23, 42, 22, 460), + Trans(23, 43, 22, 460), + Trans(23, 44, 22, 460), + Trans(23, 45, 22, 460), + Trans(23, 46, 22, 460), + Trans(23, 47, 22, 460), + Trans(23, 51, 22, 460), + Trans(23, 93, 22, 460), + Trans(23, 102, 22, 460), ], k: 3, }, - /* 511 - "RangeListOpt" */ + /* 510 - "RangeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 465), Trans(0, 43, 2, 466)], + transitions: &[Trans(0, 31, 1, 461), Trans(0, 43, 2, 462)], k: 1, }, - /* 512 - "RangeOperator" */ + /* 511 - "RangeOperator" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 32, 2, 485), Trans(0, 33, 1, 484)], + transitions: &[Trans(0, 32, 2, 481), Trans(0, 33, 1, 480)], k: 1, }, - /* 513 - "RangeOpt" */ + /* 512 - "RangeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 483), - Trans(0, 31, 2, 483), - Trans(0, 32, 1, 482), - Trans(0, 33, 1, 482), - Trans(0, 39, 2, 483), - Trans(0, 43, 2, 483), - Trans(0, 102, 2, 483), + Trans(0, 30, 2, 479), + Trans(0, 31, 2, 479), + Trans(0, 32, 1, 478), + Trans(0, 33, 1, 478), + Trans(0, 39, 2, 479), + Trans(0, 43, 2, 479), + Trans(0, 102, 2, 479), ], k: 1, }, - /* 514 - "RealNumber" */ + /* 513 - "RealNumber" */ LookaheadDFA { prod0: -1, transitions: &[Trans(0, 7, 2, 334), Trans(0, 8, 1, 333)], k: 1, }, - /* 515 - "Ref" */ + /* 514 - "Ref" */ LookaheadDFA { prod0: 308, transitions: &[], k: 0, }, - /* 516 - "RefTerm" */ + /* 515 - "RefTerm" */ LookaheadDFA { prod0: 87, transitions: &[], k: 0, }, - /* 517 - "RefToken" */ + /* 516 - "RefToken" */ LookaheadDFA { prod0: 199, transitions: &[], k: 0, }, - /* 518 - "Repeat" */ + /* 517 - "Repeat" */ LookaheadDFA { prod0: 309, transitions: &[], k: 0, }, - /* 519 - "RepeatTerm" */ + /* 518 - "RepeatTerm" */ LookaheadDFA { prod0: 88, transitions: &[], k: 0, }, - /* 520 - "RepeatToken" */ + /* 519 - "RepeatToken" */ LookaheadDFA { prod0: 200, transitions: &[], k: 0, }, - /* 521 - "Reset" */ + /* 520 - "Reset" */ LookaheadDFA { prod0: 310, transitions: &[], k: 0, }, - /* 522 - "ResetAsyncHigh" */ + /* 521 - "ResetAsyncHigh" */ LookaheadDFA { prod0: 311, transitions: &[], k: 0, }, - /* 523 - "ResetAsyncHighTerm" */ + /* 522 - "ResetAsyncHighTerm" */ LookaheadDFA { prod0: 90, transitions: &[], k: 0, }, - /* 524 - "ResetAsyncHighToken" */ + /* 523 - "ResetAsyncHighToken" */ LookaheadDFA { prod0: 202, transitions: &[], k: 0, }, - /* 525 - "ResetAsyncLow" */ + /* 524 - "ResetAsyncLow" */ LookaheadDFA { prod0: 312, transitions: &[], k: 0, }, - /* 526 - "ResetAsyncLowTerm" */ + /* 525 - "ResetAsyncLowTerm" */ LookaheadDFA { prod0: 91, transitions: &[], k: 0, }, - /* 527 - "ResetAsyncLowToken" */ + /* 526 - "ResetAsyncLowToken" */ LookaheadDFA { prod0: 203, transitions: &[], k: 0, }, - /* 528 - "ResetSyncHigh" */ + /* 527 - "ResetSyncHigh" */ LookaheadDFA { prod0: 313, transitions: &[], k: 0, }, - /* 529 - "ResetSyncHighTerm" */ + /* 528 - "ResetSyncHighTerm" */ LookaheadDFA { prod0: 92, transitions: &[], k: 0, }, - /* 530 - "ResetSyncHighToken" */ + /* 529 - "ResetSyncHighToken" */ LookaheadDFA { prod0: 204, transitions: &[], k: 0, }, - /* 531 - "ResetSyncLow" */ + /* 530 - "ResetSyncLow" */ LookaheadDFA { prod0: 314, transitions: &[], k: 0, }, - /* 532 - "ResetSyncLowTerm" */ + /* 531 - "ResetSyncLowTerm" */ LookaheadDFA { prod0: 93, transitions: &[], k: 0, }, - /* 533 - "ResetSyncLowToken" */ + /* 532 - "ResetSyncLowToken" */ LookaheadDFA { prod0: 205, transitions: &[], k: 0, }, - /* 534 - "ResetTerm" */ + /* 533 - "ResetTerm" */ LookaheadDFA { prod0: 89, transitions: &[], k: 0, }, - /* 535 - "ResetToken" */ + /* 534 - "ResetToken" */ LookaheadDFA { prod0: 201, transitions: &[], k: 0, }, - /* 536 - "Return" */ + /* 535 - "Return" */ LookaheadDFA { prod0: 315, transitions: &[], k: 0, }, - /* 537 - "ReturnStatement" */ + /* 536 - "ReturnStatement" */ LookaheadDFA { - prod0: 554, + prod0: 550, transitions: &[], k: 0, }, - /* 538 - "ReturnTerm" */ + /* 537 - "ReturnTerm" */ LookaheadDFA { prod0: 94, transitions: &[], k: 0, }, - /* 539 - "ReturnToken" */ + /* 538 - "ReturnToken" */ LookaheadDFA { prod0: 206, transitions: &[], k: 0, }, - /* 540 - "ScalarType" */ + /* 539 - "ScalarType" */ LookaheadDFA { - prod0: 509, + prod0: 505, transitions: &[], k: 0, }, - /* 541 - "ScalarTypeGroup" */ + /* 540 - "ScalarTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 510), - Trans(0, 54, 1, 510), - Trans(0, 55, 1, 510), - Trans(0, 56, 1, 510), - Trans(0, 62, 2, 511), - Trans(0, 63, 2, 511), - Trans(0, 67, 2, 511), - Trans(0, 68, 2, 511), - Trans(0, 82, 1, 510), - Trans(0, 94, 1, 510), - Trans(0, 95, 1, 510), - Trans(0, 96, 1, 510), - Trans(0, 97, 1, 510), - Trans(0, 98, 1, 510), - Trans(0, 103, 2, 511), - Trans(0, 107, 2, 511), - Trans(0, 108, 2, 511), - Trans(0, 111, 1, 510), - Trans(0, 112, 1, 510), + Trans(0, 52, 1, 506), + Trans(0, 54, 1, 506), + Trans(0, 55, 1, 506), + Trans(0, 56, 1, 506), + Trans(0, 62, 2, 507), + Trans(0, 63, 2, 507), + Trans(0, 67, 2, 507), + Trans(0, 68, 2, 507), + Trans(0, 82, 1, 506), + Trans(0, 94, 1, 506), + Trans(0, 95, 1, 506), + Trans(0, 96, 1, 506), + Trans(0, 97, 1, 506), + Trans(0, 98, 1, 506), + Trans(0, 103, 2, 507), + Trans(0, 107, 2, 507), + Trans(0, 108, 2, 507), + Trans(0, 111, 1, 506), + Trans(0, 112, 1, 506), ], k: 1, }, - /* 542 - "ScalarTypeList" */ + /* 541 - "ScalarTypeList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 2, 513), - Trans(0, 54, 2, 513), - Trans(0, 55, 2, 513), - Trans(0, 56, 2, 513), - Trans(0, 62, 2, 513), - Trans(0, 63, 2, 513), - Trans(0, 67, 2, 513), - Trans(0, 68, 2, 513), - Trans(0, 82, 2, 513), - Trans(0, 94, 2, 513), - Trans(0, 95, 2, 513), - Trans(0, 96, 2, 513), - Trans(0, 97, 2, 513), - Trans(0, 98, 2, 513), - Trans(0, 101, 1, 512), - Trans(0, 103, 2, 513), - Trans(0, 105, 1, 512), - Trans(0, 107, 2, 513), - Trans(0, 108, 2, 513), - Trans(0, 111, 2, 513), - Trans(0, 112, 2, 513), + Trans(0, 52, 2, 509), + Trans(0, 54, 2, 509), + Trans(0, 55, 2, 509), + Trans(0, 56, 2, 509), + Trans(0, 62, 2, 509), + Trans(0, 63, 2, 509), + Trans(0, 67, 2, 509), + Trans(0, 68, 2, 509), + Trans(0, 82, 2, 509), + Trans(0, 94, 2, 509), + Trans(0, 95, 2, 509), + Trans(0, 96, 2, 509), + Trans(0, 97, 2, 509), + Trans(0, 98, 2, 509), + Trans(0, 101, 1, 508), + Trans(0, 103, 2, 509), + Trans(0, 105, 1, 508), + Trans(0, 107, 2, 509), + Trans(0, 108, 2, 509), + Trans(0, 111, 2, 509), + Trans(0, 112, 2, 509), ], k: 1, }, - /* 543 - "ScopedIdentifier" */ + /* 542 - "ScopedIdentifier" */ LookaheadDFA { prod0: 342, transitions: &[], k: 0, }, - /* 544 - "ScopedIdentifierGroup" */ + /* 543 - "ScopedIdentifierGroup" */ LookaheadDFA { prod0: -1, transitions: &[Trans(0, 111, 1, 343), Trans(0, 112, 2, 344)], k: 1, }, - /* 545 - "ScopedIdentifierList" */ + /* 544 - "ScopedIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -14969,7 +14962,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 3, }, - /* 546 - "ScopedIdentifierOpt" */ + /* 545 - "ScopedIdentifierOpt" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -15013,7 +15006,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 547 - "ScopedIdentifierOpt0" */ + /* 546 - "ScopedIdentifierOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -15057,242 +15050,242 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 548 - "Select" */ + /* 547 - "Select" */ LookaheadDFA { - prod0: 468, + prod0: 464, transitions: &[], k: 0, }, - /* 549 - "SelectOperator" */ + /* 548 - "SelectOperator" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 3, 473), - Trans(0, 14, 2, 472), - Trans(0, 30, 1, 471), - Trans(0, 102, 4, 474), + Trans(0, 12, 3, 469), + Trans(0, 14, 2, 468), + Trans(0, 30, 1, 467), + Trans(0, 102, 4, 470), ], k: 1, }, - /* 550 - "SelectOpt" */ + /* 549 - "SelectOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 1, 469), - Trans(0, 14, 1, 469), - Trans(0, 30, 1, 469), - Trans(0, 44, 2, 470), - Trans(0, 102, 1, 469), + Trans(0, 12, 1, 465), + Trans(0, 14, 1, 465), + Trans(0, 30, 1, 465), + Trans(0, 44, 2, 466), + Trans(0, 102, 1, 465), ], k: 1, }, - /* 551 - "Semicolon" */ + /* 550 - "Semicolon" */ LookaheadDFA { prod0: 261, transitions: &[], k: 0, }, - /* 552 - "SemicolonTerm" */ + /* 551 - "SemicolonTerm" */ LookaheadDFA { prod0: 41, transitions: &[], k: 0, }, - /* 553 - "SemicolonToken" */ + /* 552 - "SemicolonToken" */ LookaheadDFA { prod0: 153, transitions: &[], k: 0, }, - /* 554 - "Signed" */ + /* 553 - "Signed" */ LookaheadDFA { prod0: 316, transitions: &[], k: 0, }, - /* 555 - "SignedTerm" */ + /* 554 - "SignedTerm" */ LookaheadDFA { prod0: 96, transitions: &[], k: 0, }, - /* 556 - "SignedToken" */ + /* 555 - "SignedToken" */ LookaheadDFA { prod0: 208, transitions: &[], k: 0, }, - /* 557 - "Star" */ + /* 556 - "Star" */ LookaheadDFA { prod0: 262, transitions: &[], k: 0, }, - /* 558 - "StarTerm" */ + /* 557 - "StarTerm" */ LookaheadDFA { prod0: 42, transitions: &[], k: 0, }, - /* 559 - "StarToken" */ + /* 558 - "StarToken" */ LookaheadDFA { prod0: 154, transitions: &[], k: 0, }, - /* 560 - "Start" */ + /* 559 - "Start" */ LookaheadDFA { prod0: 220, transitions: &[], k: 0, }, - /* 561 - "StartToken" */ + /* 560 - "StartToken" */ LookaheadDFA { prod0: 112, transitions: &[], k: 0, }, - /* 562 - "Statement" */ + /* 561 - "Statement" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 8, 524), - Trans(0, 65, 7, 523), - Trans(0, 69, 4, 520), - Trans(0, 70, 3, 519), - Trans(0, 80, 1, 517), - Trans(0, 99, 5, 521), - Trans(0, 100, 6, 522), - Trans(0, 111, 2, 518), - Trans(0, 112, 2, 518), + Trans(0, 53, 8, 520), + Trans(0, 65, 7, 519), + Trans(0, 69, 4, 516), + Trans(0, 70, 3, 515), + Trans(0, 80, 1, 513), + Trans(0, 99, 5, 517), + Trans(0, 100, 6, 518), + Trans(0, 111, 2, 514), + Trans(0, 112, 2, 514), ], k: 1, }, - /* 563 - "Step" */ + /* 562 - "Step" */ LookaheadDFA { prod0: 317, transitions: &[], k: 0, }, - /* 564 - "StepTerm" */ + /* 563 - "StepTerm" */ LookaheadDFA { prod0: 97, transitions: &[], k: 0, }, - /* 565 - "StepToken" */ + /* 564 - "StepToken" */ LookaheadDFA { prod0: 209, transitions: &[], k: 0, }, - /* 566 - "Strin" */ + /* 565 - "Strin" */ LookaheadDFA { prod0: 318, transitions: &[], k: 0, }, - /* 567 - "StringLiteral" */ + /* 566 - "StringLiteral" */ LookaheadDFA { prod0: 221, transitions: &[], k: 0, }, - /* 568 - "StringLiteralTerm" */ + /* 567 - "StringLiteralTerm" */ LookaheadDFA { prod0: 1, transitions: &[], k: 0, }, - /* 569 - "StringLiteralToken" */ + /* 568 - "StringLiteralToken" */ LookaheadDFA { prod0: 113, transitions: &[], k: 0, }, - /* 570 - "StringTerm" */ + /* 569 - "StringTerm" */ LookaheadDFA { prod0: 98, transitions: &[], k: 0, }, - /* 571 - "StringToken" */ + /* 570 - "StringToken" */ LookaheadDFA { prod0: 210, transitions: &[], k: 0, }, - /* 572 - "Struct" */ + /* 571 - "Struct" */ LookaheadDFA { prod0: 319, transitions: &[], k: 0, }, - /* 573 - "StructTerm" */ + /* 572 - "StructTerm" */ LookaheadDFA { prod0: 99, transitions: &[], k: 0, }, - /* 574 - "StructToken" */ + /* 573 - "StructToken" */ LookaheadDFA { prod0: 211, transitions: &[], k: 0, }, - /* 575 - "StructUnion" */ + /* 574 - "StructUnion" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 104, 1, 629), Trans(0, 109, 2, 630)], + transitions: &[Trans(0, 104, 1, 626), Trans(0, 109, 2, 627)], k: 1, }, - /* 576 - "StructUnionDeclaration" */ + /* 575 - "StructUnionDeclaration" */ LookaheadDFA { - prod0: 631, + prod0: 628, transitions: &[], k: 0, }, - /* 577 - "StructUnionDeclarationOpt" */ + /* 576 - "StructUnionDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 632), Trans(0, 39, 2, 633)], + transitions: &[Trans(0, 28, 1, 629), Trans(0, 39, 2, 630)], k: 1, }, - /* 578 - "StructUnionGroup" */ + /* 577 - "StructUnionGroup" */ LookaheadDFA { - prod0: 639, + prod0: 636, transitions: &[], k: 0, }, - /* 579 - "StructUnionGroupGroup" */ + /* 578 - "StructUnionGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 640), Trans(0, 112, 2, 641)], + transitions: &[Trans(0, 39, 1, 637), Trans(0, 112, 2, 638)], k: 1, }, - /* 580 - "StructUnionGroupList" */ + /* 579 - "StructUnionGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 642), - Trans(0, 39, 2, 643), - Trans(0, 112, 2, 643), + Trans(0, 36, 1, 639), + Trans(0, 39, 2, 640), + Trans(0, 112, 2, 640), ], k: 1, }, - /* 581 - "StructUnionItem" */ + /* 580 - "StructUnionItem" */ LookaheadDFA { - prod0: 644, + prod0: 641, transitions: &[], k: 0, }, - /* 582 - "StructUnionList" */ + /* 581 - "StructUnionList" */ LookaheadDFA { - prod0: 634, + prod0: 631, transitions: &[], k: 0, }, - /* 583 - "StructUnionListList" */ + /* 582 - "StructUnionListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -15303,18 +15296,18 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 39, 4, -1), Trans(1, 43, 20, -1), Trans(1, 112, 5, -1), - Trans(2, 5, 3, 635), - Trans(2, 40, 3, 635), - Trans(4, 5, 3, 635), - Trans(4, 36, 3, 635), - Trans(4, 39, 3, 635), - Trans(4, 112, 3, 635), - Trans(5, 5, 3, 635), - Trans(5, 30, 3, 635), - Trans(6, 36, 3, 635), - Trans(6, 39, 3, 635), - Trans(6, 43, 19, 636), - Trans(6, 112, 3, 635), + Trans(2, 5, 3, 632), + Trans(2, 40, 3, 632), + Trans(4, 5, 3, 632), + Trans(4, 36, 3, 632), + Trans(4, 39, 3, 632), + Trans(4, 112, 3, 632), + Trans(5, 5, 3, 632), + Trans(5, 30, 3, 632), + Trans(6, 36, 3, 632), + Trans(6, 39, 3, 632), + Trans(6, 43, 19, 633), + Trans(6, 112, 3, 632), Trans(7, 5, 8, -1), Trans(7, 30, 9, -1), Trans(7, 31, 10, -1), @@ -15340,441 +15333,441 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 106, 9, -1), Trans(7, 109, 9, -1), Trans(7, 110, 9, -1), - Trans(8, 30, 19, 636), - Trans(8, 31, 19, 636), - Trans(8, 36, 19, 636), - Trans(8, 39, 19, 636), - Trans(8, 43, 19, 636), - Trans(8, 48, 19, 636), - Trans(8, 49, 19, 636), - Trans(8, 50, 19, 636), - Trans(8, 60, 19, 636), - Trans(8, 61, 19, 636), - Trans(8, 64, 19, 636), - Trans(8, 65, 19, 636), - Trans(8, 66, 19, 636), - Trans(8, 70, 19, 636), - Trans(8, 71, 19, 636), - Trans(8, 73, 19, 636), - Trans(8, 77, 19, 636), - Trans(8, 80, 19, 636), - Trans(8, 81, 19, 636), - Trans(8, 84, 19, 636), - Trans(8, 104, 19, 636), - Trans(8, 106, 19, 636), - Trans(8, 109, 19, 636), - Trans(8, 110, 19, 636), - Trans(9, 5, 19, 636), - Trans(9, 112, 19, 636), - Trans(10, 5, 19, 636), - Trans(10, 36, 19, 636), - Trans(10, 39, 19, 636), - Trans(10, 43, 19, 636), - Trans(10, 112, 19, 636), - Trans(11, 5, 19, 636), - Trans(11, 40, 19, 636), - Trans(12, 5, 19, 636), - Trans(12, 30, 19, 636), - Trans(12, 36, 19, 636), - Trans(12, 39, 19, 636), - Trans(12, 43, 19, 636), - Trans(12, 48, 19, 636), - Trans(12, 49, 19, 636), - Trans(12, 50, 19, 636), - Trans(12, 60, 19, 636), - Trans(12, 61, 19, 636), - Trans(12, 64, 19, 636), - Trans(12, 65, 19, 636), - Trans(12, 66, 19, 636), - Trans(12, 70, 19, 636), - Trans(12, 71, 19, 636), - Trans(12, 73, 19, 636), - Trans(12, 77, 19, 636), - Trans(12, 80, 19, 636), - Trans(12, 81, 19, 636), - Trans(12, 84, 19, 636), - Trans(12, 104, 19, 636), - Trans(12, 106, 19, 636), - Trans(12, 109, 19, 636), - Trans(12, 110, 19, 636), - Trans(13, 0, 19, 636), - Trans(13, 5, 19, 636), - Trans(13, 30, 19, 636), - Trans(13, 31, 19, 636), - Trans(13, 36, 19, 636), - Trans(13, 39, 19, 636), - Trans(13, 43, 19, 636), - Trans(13, 48, 19, 636), - Trans(13, 49, 19, 636), - Trans(13, 50, 19, 636), - Trans(13, 58, 19, 636), - Trans(13, 59, 19, 636), - Trans(13, 60, 19, 636), - Trans(13, 61, 19, 636), - Trans(13, 64, 19, 636), - Trans(13, 65, 19, 636), - Trans(13, 66, 19, 636), - Trans(13, 70, 19, 636), - Trans(13, 71, 19, 636), - Trans(13, 72, 19, 636), - Trans(13, 73, 19, 636), - Trans(13, 77, 19, 636), - Trans(13, 78, 19, 636), - Trans(13, 80, 19, 636), - Trans(13, 81, 19, 636), - Trans(13, 84, 19, 636), - Trans(13, 85, 19, 636), - Trans(13, 89, 19, 636), - Trans(13, 91, 19, 636), - Trans(13, 104, 19, 636), - Trans(13, 106, 19, 636), - Trans(13, 109, 19, 636), - Trans(13, 110, 19, 636), - Trans(14, 5, 19, 636), - Trans(14, 39, 19, 636), - Trans(15, 5, 19, 636), - Trans(15, 39, 19, 636), - Trans(15, 41, 19, 636), - Trans(16, 5, 19, 636), - Trans(16, 47, 19, 636), - Trans(16, 111, 19, 636), - Trans(16, 112, 19, 636), - Trans(17, 5, 19, 636), - Trans(17, 6, 19, 636), - Trans(17, 7, 19, 636), - Trans(17, 8, 19, 636), - Trans(17, 9, 19, 636), - Trans(17, 10, 19, 636), - Trans(17, 11, 19, 636), - Trans(17, 18, 19, 636), - Trans(17, 24, 19, 636), - Trans(17, 25, 19, 636), - Trans(17, 26, 19, 636), - Trans(17, 27, 19, 636), - Trans(17, 38, 19, 636), - Trans(17, 39, 19, 636), - Trans(17, 41, 19, 636), - Trans(17, 53, 19, 636), - Trans(17, 70, 19, 636), - Trans(17, 76, 19, 636), - Trans(17, 83, 19, 636), - Trans(17, 86, 19, 636), - Trans(17, 88, 19, 636), - Trans(17, 111, 19, 636), - Trans(17, 112, 19, 636), - Trans(18, 5, 19, 636), - Trans(18, 111, 19, 636), - Trans(18, 112, 19, 636), - Trans(20, 5, 19, 636), - Trans(20, 30, 19, 636), - Trans(20, 31, 19, 636), - Trans(20, 36, 19, 636), - Trans(20, 39, 19, 636), - Trans(20, 43, 19, 636), - Trans(20, 48, 19, 636), - Trans(20, 49, 19, 636), - Trans(20, 50, 19, 636), - Trans(20, 60, 19, 636), - Trans(20, 61, 19, 636), - Trans(20, 64, 19, 636), - Trans(20, 65, 19, 636), - Trans(20, 66, 19, 636), - Trans(20, 70, 19, 636), - Trans(20, 71, 19, 636), - Trans(20, 73, 19, 636), - Trans(20, 77, 19, 636), - Trans(20, 80, 19, 636), - Trans(20, 81, 19, 636), - Trans(20, 84, 19, 636), - Trans(20, 104, 19, 636), - Trans(20, 106, 19, 636), - Trans(20, 109, 19, 636), - Trans(20, 110, 19, 636), + Trans(8, 30, 19, 633), + Trans(8, 31, 19, 633), + Trans(8, 36, 19, 633), + Trans(8, 39, 19, 633), + Trans(8, 43, 19, 633), + Trans(8, 48, 19, 633), + Trans(8, 49, 19, 633), + Trans(8, 50, 19, 633), + Trans(8, 60, 19, 633), + Trans(8, 61, 19, 633), + Trans(8, 64, 19, 633), + Trans(8, 65, 19, 633), + Trans(8, 66, 19, 633), + Trans(8, 70, 19, 633), + Trans(8, 71, 19, 633), + Trans(8, 73, 19, 633), + Trans(8, 77, 19, 633), + Trans(8, 80, 19, 633), + Trans(8, 81, 19, 633), + Trans(8, 84, 19, 633), + Trans(8, 104, 19, 633), + Trans(8, 106, 19, 633), + Trans(8, 109, 19, 633), + Trans(8, 110, 19, 633), + Trans(9, 5, 19, 633), + Trans(9, 112, 19, 633), + Trans(10, 5, 19, 633), + Trans(10, 36, 19, 633), + Trans(10, 39, 19, 633), + Trans(10, 43, 19, 633), + Trans(10, 112, 19, 633), + Trans(11, 5, 19, 633), + Trans(11, 40, 19, 633), + Trans(12, 5, 19, 633), + Trans(12, 30, 19, 633), + Trans(12, 36, 19, 633), + Trans(12, 39, 19, 633), + Trans(12, 43, 19, 633), + Trans(12, 48, 19, 633), + Trans(12, 49, 19, 633), + Trans(12, 50, 19, 633), + Trans(12, 60, 19, 633), + Trans(12, 61, 19, 633), + Trans(12, 64, 19, 633), + Trans(12, 65, 19, 633), + Trans(12, 66, 19, 633), + Trans(12, 70, 19, 633), + Trans(12, 71, 19, 633), + Trans(12, 73, 19, 633), + Trans(12, 77, 19, 633), + Trans(12, 80, 19, 633), + Trans(12, 81, 19, 633), + Trans(12, 84, 19, 633), + Trans(12, 104, 19, 633), + Trans(12, 106, 19, 633), + Trans(12, 109, 19, 633), + Trans(12, 110, 19, 633), + Trans(13, 0, 19, 633), + Trans(13, 5, 19, 633), + Trans(13, 30, 19, 633), + Trans(13, 31, 19, 633), + Trans(13, 36, 19, 633), + Trans(13, 39, 19, 633), + Trans(13, 43, 19, 633), + Trans(13, 48, 19, 633), + Trans(13, 49, 19, 633), + Trans(13, 50, 19, 633), + Trans(13, 58, 19, 633), + Trans(13, 59, 19, 633), + Trans(13, 60, 19, 633), + Trans(13, 61, 19, 633), + Trans(13, 64, 19, 633), + Trans(13, 65, 19, 633), + Trans(13, 66, 19, 633), + Trans(13, 70, 19, 633), + Trans(13, 71, 19, 633), + Trans(13, 72, 19, 633), + Trans(13, 73, 19, 633), + Trans(13, 77, 19, 633), + Trans(13, 78, 19, 633), + Trans(13, 80, 19, 633), + Trans(13, 81, 19, 633), + Trans(13, 84, 19, 633), + Trans(13, 85, 19, 633), + Trans(13, 89, 19, 633), + Trans(13, 91, 19, 633), + Trans(13, 104, 19, 633), + Trans(13, 106, 19, 633), + Trans(13, 109, 19, 633), + Trans(13, 110, 19, 633), + Trans(14, 5, 19, 633), + Trans(14, 39, 19, 633), + Trans(15, 5, 19, 633), + Trans(15, 39, 19, 633), + Trans(15, 41, 19, 633), + Trans(16, 5, 19, 633), + Trans(16, 47, 19, 633), + Trans(16, 111, 19, 633), + Trans(16, 112, 19, 633), + Trans(17, 5, 19, 633), + Trans(17, 6, 19, 633), + Trans(17, 7, 19, 633), + Trans(17, 8, 19, 633), + Trans(17, 9, 19, 633), + Trans(17, 10, 19, 633), + Trans(17, 11, 19, 633), + Trans(17, 18, 19, 633), + Trans(17, 24, 19, 633), + Trans(17, 25, 19, 633), + Trans(17, 26, 19, 633), + Trans(17, 27, 19, 633), + Trans(17, 38, 19, 633), + Trans(17, 39, 19, 633), + Trans(17, 41, 19, 633), + Trans(17, 53, 19, 633), + Trans(17, 70, 19, 633), + Trans(17, 76, 19, 633), + Trans(17, 83, 19, 633), + Trans(17, 86, 19, 633), + Trans(17, 88, 19, 633), + Trans(17, 111, 19, 633), + Trans(17, 112, 19, 633), + Trans(18, 5, 19, 633), + Trans(18, 111, 19, 633), + Trans(18, 112, 19, 633), + Trans(20, 5, 19, 633), + Trans(20, 30, 19, 633), + Trans(20, 31, 19, 633), + Trans(20, 36, 19, 633), + Trans(20, 39, 19, 633), + Trans(20, 43, 19, 633), + Trans(20, 48, 19, 633), + Trans(20, 49, 19, 633), + Trans(20, 50, 19, 633), + Trans(20, 60, 19, 633), + Trans(20, 61, 19, 633), + Trans(20, 64, 19, 633), + Trans(20, 65, 19, 633), + Trans(20, 66, 19, 633), + Trans(20, 70, 19, 633), + Trans(20, 71, 19, 633), + Trans(20, 73, 19, 633), + Trans(20, 77, 19, 633), + Trans(20, 80, 19, 633), + Trans(20, 81, 19, 633), + Trans(20, 84, 19, 633), + Trans(20, 104, 19, 633), + Trans(20, 106, 19, 633), + Trans(20, 109, 19, 633), + Trans(20, 110, 19, 633), ], k: 3, }, - /* 584 - "StructUnionListOpt" */ + /* 583 - "StructUnionListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 637), Trans(0, 43, 2, 638)], + transitions: &[Trans(0, 31, 1, 634), Trans(0, 43, 2, 635)], k: 1, }, - /* 585 - "Tri" */ + /* 584 - "Tri" */ LookaheadDFA { prod0: 320, transitions: &[], k: 0, }, - /* 586 - "TriTerm" */ + /* 585 - "TriTerm" */ LookaheadDFA { prod0: 100, transitions: &[], k: 0, }, - /* 587 - "TriToken" */ + /* 586 - "TriToken" */ LookaheadDFA { prod0: 212, transitions: &[], k: 0, }, - /* 588 - "Type" */ + /* 587 - "Type" */ LookaheadDFA { prod0: 321, transitions: &[], k: 0, }, - /* 589 - "TypeDefDeclaration" */ + /* 588 - "TypeDefDeclaration" */ LookaheadDFA { - prod0: 588, + prod0: 585, transitions: &[], k: 0, }, - /* 590 - "TypeExpression" */ + /* 589 - "TypeExpression" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 458), - Trans(0, 54, 1, 458), - Trans(0, 55, 1, 458), - Trans(0, 56, 1, 458), - Trans(0, 62, 1, 458), - Trans(0, 63, 1, 458), - Trans(0, 67, 1, 458), - Trans(0, 68, 1, 458), - Trans(0, 82, 1, 458), - Trans(0, 94, 1, 458), - Trans(0, 95, 1, 458), - Trans(0, 96, 1, 458), - Trans(0, 97, 1, 458), - Trans(0, 98, 1, 458), - Trans(0, 101, 1, 458), - Trans(0, 103, 1, 458), - Trans(0, 105, 1, 458), - Trans(0, 106, 2, 459), - Trans(0, 107, 1, 458), - Trans(0, 108, 1, 458), - Trans(0, 111, 1, 458), - Trans(0, 112, 1, 458), + Trans(0, 52, 1, 454), + Trans(0, 54, 1, 454), + Trans(0, 55, 1, 454), + Trans(0, 56, 1, 454), + Trans(0, 62, 1, 454), + Trans(0, 63, 1, 454), + Trans(0, 67, 1, 454), + Trans(0, 68, 1, 454), + Trans(0, 82, 1, 454), + Trans(0, 94, 1, 454), + Trans(0, 95, 1, 454), + Trans(0, 96, 1, 454), + Trans(0, 97, 1, 454), + Trans(0, 98, 1, 454), + Trans(0, 101, 1, 454), + Trans(0, 103, 1, 454), + Trans(0, 105, 1, 454), + Trans(0, 106, 2, 455), + Trans(0, 107, 1, 454), + Trans(0, 108, 1, 454), + Trans(0, 111, 1, 454), + Trans(0, 112, 1, 454), ], k: 1, }, - /* 591 - "TypeModifier" */ + /* 590 - "TypeModifier" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 101, 2, 508), Trans(0, 105, 1, 507)], + transitions: &[Trans(0, 101, 2, 504), Trans(0, 105, 1, 503)], k: 1, }, - /* 592 - "TypeTerm" */ + /* 591 - "TypeTerm" */ LookaheadDFA { prod0: 101, transitions: &[], k: 0, }, - /* 593 - "TypeToken" */ + /* 592 - "TypeToken" */ LookaheadDFA { prod0: 213, transitions: &[], k: 0, }, - /* 594 - "U32" */ + /* 593 - "U32" */ LookaheadDFA { prod0: 322, transitions: &[], k: 0, }, - /* 595 - "U32Term" */ + /* 594 - "U32Term" */ LookaheadDFA { prod0: 102, transitions: &[], k: 0, }, - /* 596 - "U32Token" */ + /* 595 - "U32Token" */ LookaheadDFA { prod0: 214, transitions: &[], k: 0, }, - /* 597 - "U64" */ + /* 596 - "U64" */ LookaheadDFA { prod0: 323, transitions: &[], k: 0, }, - /* 598 - "U64Term" */ + /* 597 - "U64Term" */ LookaheadDFA { prod0: 103, transitions: &[], k: 0, }, - /* 599 - "U64Token" */ + /* 598 - "U64Token" */ LookaheadDFA { prod0: 215, transitions: &[], k: 0, }, - /* 600 - "UnaryOperator" */ + /* 599 - "UnaryOperator" */ LookaheadDFA { prod0: 239, transitions: &[], k: 0, }, - /* 601 - "UnaryOperatorTerm" */ + /* 600 - "UnaryOperatorTerm" */ LookaheadDFA { prod0: 22, transitions: &[], k: 0, }, - /* 602 - "UnaryOperatorToken" */ + /* 601 - "UnaryOperatorToken" */ LookaheadDFA { prod0: 131, transitions: &[], k: 0, }, - /* 603 - "Union" */ + /* 602 - "Union" */ LookaheadDFA { prod0: 324, transitions: &[], k: 0, }, - /* 604 - "UnionTerm" */ + /* 603 - "UnionTerm" */ LookaheadDFA { prod0: 104, transitions: &[], k: 0, }, - /* 605 - "UnionToken" */ + /* 604 - "UnionToken" */ LookaheadDFA { prod0: 216, transitions: &[], k: 0, }, - /* 606 - "Var" */ + /* 605 - "Var" */ LookaheadDFA { prod0: 325, transitions: &[], k: 0, }, - /* 607 - "VarDeclaration" */ + /* 606 - "VarDeclaration" */ LookaheadDFA { - prod0: 584, + prod0: 581, transitions: &[], k: 0, }, - /* 608 - "VarTerm" */ + /* 607 - "VarTerm" */ LookaheadDFA { prod0: 105, transitions: &[], k: 0, }, - /* 609 - "VarToken" */ + /* 608 - "VarToken" */ LookaheadDFA { prod0: 217, transitions: &[], k: 0, }, - /* 610 - "VariableType" */ + /* 609 - "VariableType" */ LookaheadDFA { - prod0: 493, + prod0: 489, transitions: &[], k: 0, }, - /* 611 - "VariableTypeGroup" */ + /* 610 - "VariableTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 10, 503), - Trans(0, 54, 1, 494), - Trans(0, 55, 2, 495), - Trans(0, 56, 3, 496), - Trans(0, 82, 9, 502), - Trans(0, 94, 4, 497), - Trans(0, 95, 5, 498), - Trans(0, 96, 6, 499), - Trans(0, 97, 7, 500), - Trans(0, 98, 8, 501), - Trans(0, 111, 11, 504), - Trans(0, 112, 11, 504), + Trans(0, 52, 10, 499), + Trans(0, 54, 1, 490), + Trans(0, 55, 2, 491), + Trans(0, 56, 3, 492), + Trans(0, 82, 9, 498), + Trans(0, 94, 4, 493), + Trans(0, 95, 5, 494), + Trans(0, 96, 6, 495), + Trans(0, 97, 7, 496), + Trans(0, 98, 8, 497), + Trans(0, 111, 11, 500), + Trans(0, 112, 11, 500), ], k: 1, }, - /* 612 - "VariableTypeOpt" */ + /* 611 - "VariableTypeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 506), - Trans(0, 35, 2, 506), - Trans(0, 37, 1, 505), - Trans(0, 39, 2, 506), - Trans(0, 40, 2, 506), - Trans(0, 43, 2, 506), - Trans(0, 45, 2, 506), - Trans(0, 46, 2, 506), - Trans(0, 79, 2, 506), + Trans(0, 31, 2, 502), + Trans(0, 35, 2, 502), + Trans(0, 37, 1, 501), + Trans(0, 39, 2, 502), + Trans(0, 40, 2, 502), + Trans(0, 43, 2, 502), + Trans(0, 45, 2, 502), + Trans(0, 46, 2, 502), + Trans(0, 79, 2, 502), ], k: 1, }, - /* 613 - "Veryl" */ + /* 612 - "Veryl" */ LookaheadDFA { - prod0: 913, + prod0: 910, transitions: &[], k: 0, }, - /* 614 - "VerylList" */ + /* 613 - "VerylList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 0, 2, 915), - Trans(0, 36, 1, 914), - Trans(0, 39, 1, 914), - Trans(0, 59, 1, 914), - Trans(0, 71, 1, 914), - Trans(0, 72, 1, 914), - Trans(0, 78, 1, 914), - Trans(0, 85, 1, 914), - Trans(0, 89, 1, 914), - Trans(0, 91, 1, 914), + Trans(0, 0, 2, 912), + Trans(0, 36, 1, 911), + Trans(0, 39, 1, 911), + Trans(0, 59, 1, 911), + Trans(0, 71, 1, 911), + Trans(0, 72, 1, 911), + Trans(0, 78, 1, 911), + Trans(0, 85, 1, 911), + Trans(0, 89, 1, 911), + Trans(0, 91, 1, 911), ], k: 1, }, - /* 615 - "Width" */ + /* 614 - "Width" */ LookaheadDFA { - prod0: 475, + prod0: 471, transitions: &[], k: 0, }, - /* 616 - "WidthList" */ + /* 615 - "WidthList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 476), Trans(0, 42, 2, 477)], + transitions: &[Trans(0, 31, 1, 472), Trans(0, 42, 2, 473)], k: 1, }, - /* 617 - "WithGenericArgument" */ + /* 616 - "WithGenericArgument" */ LookaheadDFA { - prod0: 716, + prod0: 713, transitions: &[], k: 0, }, - /* 618 - "WithGenericArgumentItem" */ + /* 617 - "WithGenericArgumentItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 7, 2, 725), - Trans(0, 8, 2, 725), - Trans(0, 9, 2, 725), - Trans(0, 10, 2, 725), - Trans(0, 11, 2, 725), - Trans(0, 111, 1, 724), - Trans(0, 112, 1, 724), + Trans(0, 7, 2, 722), + Trans(0, 8, 2, 722), + Trans(0, 9, 2, 722), + Trans(0, 10, 2, 722), + Trans(0, 11, 2, 722), + Trans(0, 111, 1, 721), + Trans(0, 112, 1, 721), ], k: 1, }, - /* 619 - "WithGenericArgumentList" */ + /* 618 - "WithGenericArgumentList" */ LookaheadDFA { - prod0: 719, + prod0: 716, transitions: &[], k: 0, }, - /* 620 - "WithGenericArgumentListList" */ + /* 619 - "WithGenericArgumentListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -15789,26 +15782,26 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 42, 25, -1), Trans(1, 111, 4, -1), Trans(1, 112, 5, -1), - Trans(2, 5, 3, 720), - Trans(2, 31, 3, 720), - Trans(2, 42, 3, 720), - Trans(4, 5, 3, 720), - Trans(4, 29, 3, 720), - Trans(4, 31, 3, 720), - Trans(4, 42, 3, 720), - Trans(5, 5, 3, 720), - Trans(5, 28, 3, 720), - Trans(5, 29, 3, 720), - Trans(5, 31, 3, 720), - Trans(5, 42, 3, 720), - Trans(6, 7, 3, 720), - Trans(6, 8, 3, 720), - Trans(6, 9, 3, 720), - Trans(6, 10, 3, 720), - Trans(6, 11, 3, 720), - Trans(6, 42, 24, 721), - Trans(6, 111, 3, 720), - Trans(6, 112, 3, 720), + Trans(2, 5, 3, 717), + Trans(2, 31, 3, 717), + Trans(2, 42, 3, 717), + Trans(4, 5, 3, 717), + Trans(4, 29, 3, 717), + Trans(4, 31, 3, 717), + Trans(4, 42, 3, 717), + Trans(5, 5, 3, 717), + Trans(5, 28, 3, 717), + Trans(5, 29, 3, 717), + Trans(5, 31, 3, 717), + Trans(5, 42, 3, 717), + Trans(6, 7, 3, 717), + Trans(6, 8, 3, 717), + Trans(6, 9, 3, 717), + Trans(6, 10, 3, 717), + Trans(6, 11, 3, 717), + Trans(6, 42, 24, 718), + Trans(6, 111, 3, 717), + Trans(6, 112, 3, 717), Trans(7, 5, 8, -1), Trans(7, 12, 9, -1), Trans(7, 14, 9, -1), @@ -15846,525 +15839,525 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 79, 9, -1), Trans(7, 93, 9, -1), Trans(7, 102, 23, -1), - Trans(8, 12, 24, 721), - Trans(8, 14, 24, 721), - Trans(8, 15, 24, 721), - Trans(8, 16, 24, 721), - Trans(8, 17, 24, 721), - Trans(8, 18, 24, 721), - Trans(8, 19, 24, 721), - Trans(8, 20, 24, 721), - Trans(8, 21, 24, 721), - Trans(8, 22, 24, 721), - Trans(8, 23, 24, 721), - Trans(8, 24, 24, 721), - Trans(8, 25, 24, 721), - Trans(8, 26, 24, 721), - Trans(8, 29, 24, 721), - Trans(8, 30, 24, 721), - Trans(8, 31, 24, 721), - Trans(8, 32, 24, 721), - Trans(8, 33, 24, 721), - Trans(8, 34, 24, 721), - Trans(8, 35, 24, 721), - Trans(8, 36, 24, 721), - Trans(8, 37, 24, 721), - Trans(8, 39, 24, 721), - Trans(8, 40, 24, 721), - Trans(8, 41, 24, 721), - Trans(8, 42, 24, 721), - Trans(8, 43, 24, 721), - Trans(8, 44, 24, 721), - Trans(8, 45, 24, 721), - Trans(8, 46, 24, 721), - Trans(8, 47, 24, 721), - Trans(8, 51, 24, 721), - Trans(8, 79, 24, 721), - Trans(8, 93, 24, 721), - Trans(8, 102, 24, 721), - Trans(9, 5, 24, 721), - Trans(9, 6, 24, 721), - Trans(9, 7, 24, 721), - Trans(9, 8, 24, 721), - Trans(9, 9, 24, 721), - Trans(9, 10, 24, 721), - Trans(9, 11, 24, 721), - Trans(9, 18, 24, 721), - Trans(9, 24, 24, 721), - Trans(9, 25, 24, 721), - Trans(9, 26, 24, 721), - Trans(9, 27, 24, 721), - Trans(9, 38, 24, 721), - Trans(9, 39, 24, 721), - Trans(9, 41, 24, 721), - Trans(9, 53, 24, 721), - Trans(9, 70, 24, 721), - Trans(9, 76, 24, 721), - Trans(9, 83, 24, 721), - Trans(9, 86, 24, 721), - Trans(9, 88, 24, 721), - Trans(9, 111, 24, 721), - Trans(9, 112, 24, 721), - Trans(10, 5, 24, 721), - Trans(10, 47, 24, 721), - Trans(10, 112, 24, 721), - Trans(11, 5, 24, 721), - Trans(11, 6, 24, 721), - Trans(11, 7, 24, 721), - Trans(11, 8, 24, 721), - Trans(11, 9, 24, 721), - Trans(11, 10, 24, 721), - Trans(11, 11, 24, 721), - Trans(11, 18, 24, 721), - Trans(11, 24, 24, 721), - Trans(11, 25, 24, 721), - Trans(11, 26, 24, 721), - Trans(11, 27, 24, 721), - Trans(11, 38, 24, 721), - Trans(11, 39, 24, 721), - Trans(11, 41, 24, 721), - Trans(11, 53, 24, 721), - Trans(11, 65, 24, 721), - Trans(11, 69, 24, 721), - Trans(11, 70, 24, 721), - Trans(11, 76, 24, 721), - Trans(11, 80, 24, 721), - Trans(11, 83, 24, 721), - Trans(11, 86, 24, 721), - Trans(11, 88, 24, 721), - Trans(11, 99, 24, 721), - Trans(11, 100, 24, 721), - Trans(11, 111, 24, 721), - Trans(11, 112, 24, 721), - Trans(12, 5, 24, 721), - Trans(12, 6, 24, 721), - Trans(12, 7, 24, 721), - Trans(12, 8, 24, 721), - Trans(12, 9, 24, 721), - Trans(12, 10, 24, 721), - Trans(12, 11, 24, 721), - Trans(12, 18, 24, 721), - Trans(12, 24, 24, 721), - Trans(12, 25, 24, 721), - Trans(12, 26, 24, 721), - Trans(12, 27, 24, 721), - Trans(12, 36, 24, 721), - Trans(12, 38, 24, 721), - Trans(12, 39, 24, 721), - Trans(12, 41, 24, 721), - Trans(12, 42, 24, 721), - Trans(12, 43, 24, 721), - Trans(12, 45, 24, 721), - Trans(12, 53, 24, 721), - Trans(12, 57, 24, 721), - Trans(12, 70, 24, 721), - Trans(12, 76, 24, 721), - Trans(12, 81, 24, 721), - Trans(12, 83, 24, 721), - Trans(12, 86, 24, 721), - Trans(12, 88, 24, 721), - Trans(12, 90, 24, 721), - Trans(12, 111, 24, 721), - Trans(12, 112, 24, 721), - Trans(13, 5, 24, 721), - Trans(13, 112, 24, 721), - Trans(14, 5, 24, 721), - Trans(14, 41, 24, 721), - Trans(15, 5, 24, 721), - Trans(15, 6, 24, 721), - Trans(15, 7, 24, 721), - Trans(15, 8, 24, 721), - Trans(15, 9, 24, 721), - Trans(15, 10, 24, 721), - Trans(15, 11, 24, 721), - Trans(15, 18, 24, 721), - Trans(15, 24, 24, 721), - Trans(15, 25, 24, 721), - Trans(15, 26, 24, 721), - Trans(15, 27, 24, 721), - Trans(15, 30, 24, 721), - Trans(15, 36, 24, 721), - Trans(15, 38, 24, 721), - Trans(15, 39, 24, 721), - Trans(15, 41, 24, 721), - Trans(15, 43, 24, 721), - Trans(15, 48, 24, 721), - Trans(15, 49, 24, 721), - Trans(15, 50, 24, 721), - Trans(15, 53, 24, 721), - Trans(15, 57, 24, 721), - Trans(15, 60, 24, 721), - Trans(15, 64, 24, 721), - Trans(15, 65, 24, 721), - Trans(15, 66, 24, 721), - Trans(15, 69, 24, 721), - Trans(15, 70, 24, 721), - Trans(15, 71, 24, 721), - Trans(15, 73, 24, 721), - Trans(15, 76, 24, 721), - Trans(15, 77, 24, 721), - Trans(15, 80, 24, 721), - Trans(15, 81, 24, 721), - Trans(15, 83, 24, 721), - Trans(15, 84, 24, 721), - Trans(15, 86, 24, 721), - Trans(15, 88, 24, 721), - Trans(15, 99, 24, 721), - Trans(15, 100, 24, 721), - Trans(15, 104, 24, 721), - Trans(15, 106, 24, 721), - Trans(15, 109, 24, 721), - Trans(15, 110, 24, 721), - Trans(15, 111, 24, 721), - Trans(15, 112, 24, 721), - Trans(16, 5, 24, 721), - Trans(16, 6, 24, 721), - Trans(16, 7, 24, 721), - Trans(16, 8, 24, 721), - Trans(16, 9, 24, 721), - Trans(16, 10, 24, 721), - Trans(16, 11, 24, 721), - Trans(16, 18, 24, 721), - Trans(16, 24, 24, 721), - Trans(16, 25, 24, 721), - Trans(16, 26, 24, 721), - Trans(16, 27, 24, 721), - Trans(16, 36, 24, 721), - Trans(16, 38, 24, 721), - Trans(16, 39, 24, 721), - Trans(16, 41, 24, 721), - Trans(16, 45, 24, 721), - Trans(16, 53, 24, 721), - Trans(16, 70, 24, 721), - Trans(16, 76, 24, 721), - Trans(16, 83, 24, 721), - Trans(16, 86, 24, 721), - Trans(16, 88, 24, 721), - Trans(16, 111, 24, 721), - Trans(16, 112, 24, 721), - Trans(17, 5, 24, 721), - Trans(17, 12, 24, 721), - Trans(17, 13, 24, 721), - Trans(17, 14, 24, 721), - Trans(17, 15, 24, 721), - Trans(17, 16, 24, 721), - Trans(17, 17, 24, 721), - Trans(17, 18, 24, 721), - Trans(17, 19, 24, 721), - Trans(17, 20, 24, 721), - Trans(17, 21, 24, 721), - Trans(17, 22, 24, 721), - Trans(17, 23, 24, 721), - Trans(17, 24, 24, 721), - Trans(17, 25, 24, 721), - Trans(17, 26, 24, 721), - Trans(17, 29, 24, 721), - Trans(17, 30, 24, 721), - Trans(17, 31, 24, 721), - Trans(17, 32, 24, 721), - Trans(17, 33, 24, 721), - Trans(17, 34, 24, 721), - Trans(17, 35, 24, 721), - Trans(17, 36, 24, 721), - Trans(17, 37, 24, 721), - Trans(17, 39, 24, 721), - Trans(17, 40, 24, 721), - Trans(17, 41, 24, 721), - Trans(17, 42, 24, 721), - Trans(17, 43, 24, 721), - Trans(17, 44, 24, 721), - Trans(17, 45, 24, 721), - Trans(17, 46, 24, 721), - Trans(17, 47, 24, 721), - Trans(17, 51, 24, 721), - Trans(17, 79, 24, 721), - Trans(17, 93, 24, 721), - Trans(17, 102, 24, 721), - Trans(18, 5, 24, 721), - Trans(18, 12, 24, 721), - Trans(18, 14, 24, 721), - Trans(18, 16, 24, 721), - Trans(18, 17, 24, 721), - Trans(18, 18, 24, 721), - Trans(18, 19, 24, 721), - Trans(18, 20, 24, 721), - Trans(18, 21, 24, 721), - Trans(18, 22, 24, 721), - Trans(18, 23, 24, 721), - Trans(18, 24, 24, 721), - Trans(18, 25, 24, 721), - Trans(18, 26, 24, 721), - Trans(18, 30, 24, 721), - Trans(18, 31, 24, 721), - Trans(18, 32, 24, 721), - Trans(18, 33, 24, 721), - Trans(18, 36, 24, 721), - Trans(18, 39, 24, 721), - Trans(18, 42, 24, 721), - Trans(18, 43, 24, 721), - Trans(18, 44, 24, 721), - Trans(18, 45, 24, 721), - Trans(18, 46, 24, 721), - Trans(18, 47, 24, 721), - Trans(18, 48, 24, 721), - Trans(18, 49, 24, 721), - Trans(18, 50, 24, 721), - Trans(18, 51, 24, 721), - Trans(18, 58, 24, 721), - Trans(18, 60, 24, 721), - Trans(18, 61, 24, 721), - Trans(18, 64, 24, 721), - Trans(18, 65, 24, 721), - Trans(18, 66, 24, 721), - Trans(18, 70, 24, 721), - Trans(18, 71, 24, 721), - Trans(18, 73, 24, 721), - Trans(18, 77, 24, 721), - Trans(18, 80, 24, 721), - Trans(18, 81, 24, 721), - Trans(18, 84, 24, 721), - Trans(18, 93, 24, 721), - Trans(18, 102, 24, 721), - Trans(18, 104, 24, 721), - Trans(18, 106, 24, 721), - Trans(18, 109, 24, 721), - Trans(18, 110, 24, 721), - Trans(19, 5, 24, 721), - Trans(19, 12, 24, 721), - Trans(19, 14, 24, 721), - Trans(19, 15, 24, 721), - Trans(19, 16, 24, 721), - Trans(19, 17, 24, 721), - Trans(19, 18, 24, 721), - Trans(19, 19, 24, 721), - Trans(19, 20, 24, 721), - Trans(19, 21, 24, 721), - Trans(19, 22, 24, 721), - Trans(19, 23, 24, 721), - Trans(19, 24, 24, 721), - Trans(19, 25, 24, 721), - Trans(19, 26, 24, 721), - Trans(19, 30, 24, 721), - Trans(19, 31, 24, 721), - Trans(19, 32, 24, 721), - Trans(19, 33, 24, 721), - Trans(19, 34, 24, 721), - Trans(19, 35, 24, 721), - Trans(19, 36, 24, 721), - Trans(19, 39, 24, 721), - Trans(19, 40, 24, 721), - Trans(19, 41, 24, 721), - Trans(19, 42, 24, 721), - Trans(19, 43, 24, 721), - Trans(19, 44, 24, 721), - Trans(19, 45, 24, 721), - Trans(19, 46, 24, 721), - Trans(19, 47, 24, 721), - Trans(19, 51, 24, 721), - Trans(19, 93, 24, 721), - Trans(19, 102, 24, 721), - Trans(20, 5, 24, 721), - Trans(20, 12, 24, 721), - Trans(20, 13, 24, 721), - Trans(20, 14, 24, 721), - Trans(20, 16, 24, 721), - Trans(20, 17, 24, 721), - Trans(20, 18, 24, 721), - Trans(20, 19, 24, 721), - Trans(20, 20, 24, 721), - Trans(20, 21, 24, 721), - Trans(20, 22, 24, 721), - Trans(20, 23, 24, 721), - Trans(20, 24, 24, 721), - Trans(20, 25, 24, 721), - Trans(20, 26, 24, 721), - Trans(20, 30, 24, 721), - Trans(20, 31, 24, 721), - Trans(20, 32, 24, 721), - Trans(20, 33, 24, 721), - Trans(20, 39, 24, 721), - Trans(20, 41, 24, 721), - Trans(20, 42, 24, 721), - Trans(20, 43, 24, 721), - Trans(20, 44, 24, 721), - Trans(20, 45, 24, 721), - Trans(20, 46, 24, 721), - Trans(20, 47, 24, 721), - Trans(20, 51, 24, 721), - Trans(20, 93, 24, 721), - Trans(20, 102, 24, 721), - Trans(21, 0, 24, 721), - Trans(21, 5, 24, 721), - Trans(21, 6, 24, 721), - Trans(21, 7, 24, 721), - Trans(21, 8, 24, 721), - Trans(21, 9, 24, 721), - Trans(21, 10, 24, 721), - Trans(21, 11, 24, 721), - Trans(21, 18, 24, 721), - Trans(21, 24, 24, 721), - Trans(21, 25, 24, 721), - Trans(21, 26, 24, 721), - Trans(21, 27, 24, 721), - Trans(21, 30, 24, 721), - Trans(21, 36, 24, 721), - Trans(21, 38, 24, 721), - Trans(21, 39, 24, 721), - Trans(21, 41, 24, 721), - Trans(21, 43, 24, 721), - Trans(21, 48, 24, 721), - Trans(21, 49, 24, 721), - Trans(21, 50, 24, 721), - Trans(21, 53, 24, 721), - Trans(21, 57, 24, 721), - Trans(21, 59, 24, 721), - Trans(21, 60, 24, 721), - Trans(21, 61, 24, 721), - Trans(21, 64, 24, 721), - Trans(21, 65, 24, 721), - Trans(21, 66, 24, 721), - Trans(21, 69, 24, 721), - Trans(21, 70, 24, 721), - Trans(21, 71, 24, 721), - Trans(21, 72, 24, 721), - Trans(21, 73, 24, 721), - Trans(21, 76, 24, 721), - Trans(21, 77, 24, 721), - Trans(21, 78, 24, 721), - Trans(21, 80, 24, 721), - Trans(21, 81, 24, 721), - Trans(21, 83, 24, 721), - Trans(21, 84, 24, 721), - Trans(21, 85, 24, 721), - Trans(21, 86, 24, 721), - Trans(21, 88, 24, 721), - Trans(21, 89, 24, 721), - Trans(21, 91, 24, 721), - Trans(21, 99, 24, 721), - Trans(21, 100, 24, 721), - Trans(21, 104, 24, 721), - Trans(21, 106, 24, 721), - Trans(21, 109, 24, 721), - Trans(21, 110, 24, 721), - Trans(21, 111, 24, 721), - Trans(21, 112, 24, 721), - Trans(22, 5, 24, 721), - Trans(22, 111, 24, 721), - Trans(22, 112, 24, 721), - Trans(23, 5, 24, 721), - Trans(23, 6, 24, 721), - Trans(23, 7, 24, 721), - Trans(23, 8, 24, 721), - Trans(23, 9, 24, 721), - Trans(23, 10, 24, 721), - Trans(23, 11, 24, 721), - Trans(23, 15, 24, 721), - Trans(23, 18, 24, 721), - Trans(23, 24, 24, 721), - Trans(23, 25, 24, 721), - Trans(23, 26, 24, 721), - Trans(23, 27, 24, 721), - Trans(23, 38, 24, 721), - Trans(23, 39, 24, 721), - Trans(23, 41, 24, 721), - Trans(23, 53, 24, 721), - Trans(23, 70, 24, 721), - Trans(23, 76, 24, 721), - Trans(23, 83, 24, 721), - Trans(23, 86, 24, 721), - Trans(23, 88, 24, 721), - Trans(23, 111, 24, 721), - Trans(23, 112, 24, 721), - Trans(25, 5, 24, 721), - Trans(25, 12, 24, 721), - Trans(25, 14, 24, 721), - Trans(25, 15, 24, 721), - Trans(25, 16, 24, 721), - Trans(25, 17, 24, 721), - Trans(25, 18, 24, 721), - Trans(25, 19, 24, 721), - Trans(25, 20, 24, 721), - Trans(25, 21, 24, 721), - Trans(25, 22, 24, 721), - Trans(25, 23, 24, 721), - Trans(25, 24, 24, 721), - Trans(25, 25, 24, 721), - Trans(25, 26, 24, 721), - Trans(25, 29, 24, 721), - Trans(25, 30, 24, 721), - Trans(25, 31, 24, 721), - Trans(25, 32, 24, 721), - Trans(25, 33, 24, 721), - Trans(25, 34, 24, 721), - Trans(25, 35, 24, 721), - Trans(25, 36, 24, 721), - Trans(25, 37, 24, 721), - Trans(25, 39, 24, 721), - Trans(25, 40, 24, 721), - Trans(25, 41, 24, 721), - Trans(25, 42, 24, 721), - Trans(25, 43, 24, 721), - Trans(25, 44, 24, 721), - Trans(25, 45, 24, 721), - Trans(25, 46, 24, 721), - Trans(25, 47, 24, 721), - Trans(25, 51, 24, 721), - Trans(25, 79, 24, 721), - Trans(25, 93, 24, 721), - Trans(25, 102, 24, 721), + Trans(8, 12, 24, 718), + Trans(8, 14, 24, 718), + Trans(8, 15, 24, 718), + Trans(8, 16, 24, 718), + Trans(8, 17, 24, 718), + Trans(8, 18, 24, 718), + Trans(8, 19, 24, 718), + Trans(8, 20, 24, 718), + Trans(8, 21, 24, 718), + Trans(8, 22, 24, 718), + Trans(8, 23, 24, 718), + Trans(8, 24, 24, 718), + Trans(8, 25, 24, 718), + Trans(8, 26, 24, 718), + Trans(8, 29, 24, 718), + Trans(8, 30, 24, 718), + Trans(8, 31, 24, 718), + Trans(8, 32, 24, 718), + Trans(8, 33, 24, 718), + Trans(8, 34, 24, 718), + Trans(8, 35, 24, 718), + Trans(8, 36, 24, 718), + Trans(8, 37, 24, 718), + Trans(8, 39, 24, 718), + Trans(8, 40, 24, 718), + Trans(8, 41, 24, 718), + Trans(8, 42, 24, 718), + Trans(8, 43, 24, 718), + Trans(8, 44, 24, 718), + Trans(8, 45, 24, 718), + Trans(8, 46, 24, 718), + Trans(8, 47, 24, 718), + Trans(8, 51, 24, 718), + Trans(8, 79, 24, 718), + Trans(8, 93, 24, 718), + Trans(8, 102, 24, 718), + Trans(9, 5, 24, 718), + Trans(9, 6, 24, 718), + Trans(9, 7, 24, 718), + Trans(9, 8, 24, 718), + Trans(9, 9, 24, 718), + Trans(9, 10, 24, 718), + Trans(9, 11, 24, 718), + Trans(9, 18, 24, 718), + Trans(9, 24, 24, 718), + Trans(9, 25, 24, 718), + Trans(9, 26, 24, 718), + Trans(9, 27, 24, 718), + Trans(9, 38, 24, 718), + Trans(9, 39, 24, 718), + Trans(9, 41, 24, 718), + Trans(9, 53, 24, 718), + Trans(9, 70, 24, 718), + Trans(9, 76, 24, 718), + Trans(9, 83, 24, 718), + Trans(9, 86, 24, 718), + Trans(9, 88, 24, 718), + Trans(9, 111, 24, 718), + Trans(9, 112, 24, 718), + Trans(10, 5, 24, 718), + Trans(10, 47, 24, 718), + Trans(10, 112, 24, 718), + Trans(11, 5, 24, 718), + Trans(11, 6, 24, 718), + Trans(11, 7, 24, 718), + Trans(11, 8, 24, 718), + Trans(11, 9, 24, 718), + Trans(11, 10, 24, 718), + Trans(11, 11, 24, 718), + Trans(11, 18, 24, 718), + Trans(11, 24, 24, 718), + Trans(11, 25, 24, 718), + Trans(11, 26, 24, 718), + Trans(11, 27, 24, 718), + Trans(11, 38, 24, 718), + Trans(11, 39, 24, 718), + Trans(11, 41, 24, 718), + Trans(11, 53, 24, 718), + Trans(11, 65, 24, 718), + Trans(11, 69, 24, 718), + Trans(11, 70, 24, 718), + Trans(11, 76, 24, 718), + Trans(11, 80, 24, 718), + Trans(11, 83, 24, 718), + Trans(11, 86, 24, 718), + Trans(11, 88, 24, 718), + Trans(11, 99, 24, 718), + Trans(11, 100, 24, 718), + Trans(11, 111, 24, 718), + Trans(11, 112, 24, 718), + Trans(12, 5, 24, 718), + Trans(12, 6, 24, 718), + Trans(12, 7, 24, 718), + Trans(12, 8, 24, 718), + Trans(12, 9, 24, 718), + Trans(12, 10, 24, 718), + Trans(12, 11, 24, 718), + Trans(12, 18, 24, 718), + Trans(12, 24, 24, 718), + Trans(12, 25, 24, 718), + Trans(12, 26, 24, 718), + Trans(12, 27, 24, 718), + Trans(12, 36, 24, 718), + Trans(12, 38, 24, 718), + Trans(12, 39, 24, 718), + Trans(12, 41, 24, 718), + Trans(12, 42, 24, 718), + Trans(12, 43, 24, 718), + Trans(12, 45, 24, 718), + Trans(12, 53, 24, 718), + Trans(12, 57, 24, 718), + Trans(12, 70, 24, 718), + Trans(12, 76, 24, 718), + Trans(12, 81, 24, 718), + Trans(12, 83, 24, 718), + Trans(12, 86, 24, 718), + Trans(12, 88, 24, 718), + Trans(12, 90, 24, 718), + Trans(12, 111, 24, 718), + Trans(12, 112, 24, 718), + Trans(13, 5, 24, 718), + Trans(13, 112, 24, 718), + Trans(14, 5, 24, 718), + Trans(14, 41, 24, 718), + Trans(15, 5, 24, 718), + Trans(15, 6, 24, 718), + Trans(15, 7, 24, 718), + Trans(15, 8, 24, 718), + Trans(15, 9, 24, 718), + Trans(15, 10, 24, 718), + Trans(15, 11, 24, 718), + Trans(15, 18, 24, 718), + Trans(15, 24, 24, 718), + Trans(15, 25, 24, 718), + Trans(15, 26, 24, 718), + Trans(15, 27, 24, 718), + Trans(15, 30, 24, 718), + Trans(15, 36, 24, 718), + Trans(15, 38, 24, 718), + Trans(15, 39, 24, 718), + Trans(15, 41, 24, 718), + Trans(15, 43, 24, 718), + Trans(15, 48, 24, 718), + Trans(15, 49, 24, 718), + Trans(15, 50, 24, 718), + Trans(15, 53, 24, 718), + Trans(15, 57, 24, 718), + Trans(15, 60, 24, 718), + Trans(15, 64, 24, 718), + Trans(15, 65, 24, 718), + Trans(15, 66, 24, 718), + Trans(15, 69, 24, 718), + Trans(15, 70, 24, 718), + Trans(15, 71, 24, 718), + Trans(15, 73, 24, 718), + Trans(15, 76, 24, 718), + Trans(15, 77, 24, 718), + Trans(15, 80, 24, 718), + Trans(15, 81, 24, 718), + Trans(15, 83, 24, 718), + Trans(15, 84, 24, 718), + Trans(15, 86, 24, 718), + Trans(15, 88, 24, 718), + Trans(15, 99, 24, 718), + Trans(15, 100, 24, 718), + Trans(15, 104, 24, 718), + Trans(15, 106, 24, 718), + Trans(15, 109, 24, 718), + Trans(15, 110, 24, 718), + Trans(15, 111, 24, 718), + Trans(15, 112, 24, 718), + Trans(16, 5, 24, 718), + Trans(16, 6, 24, 718), + Trans(16, 7, 24, 718), + Trans(16, 8, 24, 718), + Trans(16, 9, 24, 718), + Trans(16, 10, 24, 718), + Trans(16, 11, 24, 718), + Trans(16, 18, 24, 718), + Trans(16, 24, 24, 718), + Trans(16, 25, 24, 718), + Trans(16, 26, 24, 718), + Trans(16, 27, 24, 718), + Trans(16, 36, 24, 718), + Trans(16, 38, 24, 718), + Trans(16, 39, 24, 718), + Trans(16, 41, 24, 718), + Trans(16, 45, 24, 718), + Trans(16, 53, 24, 718), + Trans(16, 70, 24, 718), + Trans(16, 76, 24, 718), + Trans(16, 83, 24, 718), + Trans(16, 86, 24, 718), + Trans(16, 88, 24, 718), + Trans(16, 111, 24, 718), + Trans(16, 112, 24, 718), + Trans(17, 5, 24, 718), + Trans(17, 12, 24, 718), + Trans(17, 13, 24, 718), + Trans(17, 14, 24, 718), + Trans(17, 15, 24, 718), + Trans(17, 16, 24, 718), + Trans(17, 17, 24, 718), + Trans(17, 18, 24, 718), + Trans(17, 19, 24, 718), + Trans(17, 20, 24, 718), + Trans(17, 21, 24, 718), + Trans(17, 22, 24, 718), + Trans(17, 23, 24, 718), + Trans(17, 24, 24, 718), + Trans(17, 25, 24, 718), + Trans(17, 26, 24, 718), + Trans(17, 29, 24, 718), + Trans(17, 30, 24, 718), + Trans(17, 31, 24, 718), + Trans(17, 32, 24, 718), + Trans(17, 33, 24, 718), + Trans(17, 34, 24, 718), + Trans(17, 35, 24, 718), + Trans(17, 36, 24, 718), + Trans(17, 37, 24, 718), + Trans(17, 39, 24, 718), + Trans(17, 40, 24, 718), + Trans(17, 41, 24, 718), + Trans(17, 42, 24, 718), + Trans(17, 43, 24, 718), + Trans(17, 44, 24, 718), + Trans(17, 45, 24, 718), + Trans(17, 46, 24, 718), + Trans(17, 47, 24, 718), + Trans(17, 51, 24, 718), + Trans(17, 79, 24, 718), + Trans(17, 93, 24, 718), + Trans(17, 102, 24, 718), + Trans(18, 5, 24, 718), + Trans(18, 12, 24, 718), + Trans(18, 14, 24, 718), + Trans(18, 16, 24, 718), + Trans(18, 17, 24, 718), + Trans(18, 18, 24, 718), + Trans(18, 19, 24, 718), + Trans(18, 20, 24, 718), + Trans(18, 21, 24, 718), + Trans(18, 22, 24, 718), + Trans(18, 23, 24, 718), + Trans(18, 24, 24, 718), + Trans(18, 25, 24, 718), + Trans(18, 26, 24, 718), + Trans(18, 30, 24, 718), + Trans(18, 31, 24, 718), + Trans(18, 32, 24, 718), + Trans(18, 33, 24, 718), + Trans(18, 36, 24, 718), + Trans(18, 39, 24, 718), + Trans(18, 42, 24, 718), + Trans(18, 43, 24, 718), + Trans(18, 44, 24, 718), + Trans(18, 45, 24, 718), + Trans(18, 46, 24, 718), + Trans(18, 47, 24, 718), + Trans(18, 48, 24, 718), + Trans(18, 49, 24, 718), + Trans(18, 50, 24, 718), + Trans(18, 51, 24, 718), + Trans(18, 58, 24, 718), + Trans(18, 60, 24, 718), + Trans(18, 61, 24, 718), + Trans(18, 64, 24, 718), + Trans(18, 65, 24, 718), + Trans(18, 66, 24, 718), + Trans(18, 70, 24, 718), + Trans(18, 71, 24, 718), + Trans(18, 73, 24, 718), + Trans(18, 77, 24, 718), + Trans(18, 80, 24, 718), + Trans(18, 81, 24, 718), + Trans(18, 84, 24, 718), + Trans(18, 93, 24, 718), + Trans(18, 102, 24, 718), + Trans(18, 104, 24, 718), + Trans(18, 106, 24, 718), + Trans(18, 109, 24, 718), + Trans(18, 110, 24, 718), + Trans(19, 5, 24, 718), + Trans(19, 12, 24, 718), + Trans(19, 14, 24, 718), + Trans(19, 15, 24, 718), + Trans(19, 16, 24, 718), + Trans(19, 17, 24, 718), + Trans(19, 18, 24, 718), + Trans(19, 19, 24, 718), + Trans(19, 20, 24, 718), + Trans(19, 21, 24, 718), + Trans(19, 22, 24, 718), + Trans(19, 23, 24, 718), + Trans(19, 24, 24, 718), + Trans(19, 25, 24, 718), + Trans(19, 26, 24, 718), + Trans(19, 30, 24, 718), + Trans(19, 31, 24, 718), + Trans(19, 32, 24, 718), + Trans(19, 33, 24, 718), + Trans(19, 34, 24, 718), + Trans(19, 35, 24, 718), + Trans(19, 36, 24, 718), + Trans(19, 39, 24, 718), + Trans(19, 40, 24, 718), + Trans(19, 41, 24, 718), + Trans(19, 42, 24, 718), + Trans(19, 43, 24, 718), + Trans(19, 44, 24, 718), + Trans(19, 45, 24, 718), + Trans(19, 46, 24, 718), + Trans(19, 47, 24, 718), + Trans(19, 51, 24, 718), + Trans(19, 93, 24, 718), + Trans(19, 102, 24, 718), + Trans(20, 5, 24, 718), + Trans(20, 12, 24, 718), + Trans(20, 13, 24, 718), + Trans(20, 14, 24, 718), + Trans(20, 16, 24, 718), + Trans(20, 17, 24, 718), + Trans(20, 18, 24, 718), + Trans(20, 19, 24, 718), + Trans(20, 20, 24, 718), + Trans(20, 21, 24, 718), + Trans(20, 22, 24, 718), + Trans(20, 23, 24, 718), + Trans(20, 24, 24, 718), + Trans(20, 25, 24, 718), + Trans(20, 26, 24, 718), + Trans(20, 30, 24, 718), + Trans(20, 31, 24, 718), + Trans(20, 32, 24, 718), + Trans(20, 33, 24, 718), + Trans(20, 39, 24, 718), + Trans(20, 41, 24, 718), + Trans(20, 42, 24, 718), + Trans(20, 43, 24, 718), + Trans(20, 44, 24, 718), + Trans(20, 45, 24, 718), + Trans(20, 46, 24, 718), + Trans(20, 47, 24, 718), + Trans(20, 51, 24, 718), + Trans(20, 93, 24, 718), + Trans(20, 102, 24, 718), + Trans(21, 0, 24, 718), + Trans(21, 5, 24, 718), + Trans(21, 6, 24, 718), + Trans(21, 7, 24, 718), + Trans(21, 8, 24, 718), + Trans(21, 9, 24, 718), + Trans(21, 10, 24, 718), + Trans(21, 11, 24, 718), + Trans(21, 18, 24, 718), + Trans(21, 24, 24, 718), + Trans(21, 25, 24, 718), + Trans(21, 26, 24, 718), + Trans(21, 27, 24, 718), + Trans(21, 30, 24, 718), + Trans(21, 36, 24, 718), + Trans(21, 38, 24, 718), + Trans(21, 39, 24, 718), + Trans(21, 41, 24, 718), + Trans(21, 43, 24, 718), + Trans(21, 48, 24, 718), + Trans(21, 49, 24, 718), + Trans(21, 50, 24, 718), + Trans(21, 53, 24, 718), + Trans(21, 57, 24, 718), + Trans(21, 59, 24, 718), + Trans(21, 60, 24, 718), + Trans(21, 61, 24, 718), + Trans(21, 64, 24, 718), + Trans(21, 65, 24, 718), + Trans(21, 66, 24, 718), + Trans(21, 69, 24, 718), + Trans(21, 70, 24, 718), + Trans(21, 71, 24, 718), + Trans(21, 72, 24, 718), + Trans(21, 73, 24, 718), + Trans(21, 76, 24, 718), + Trans(21, 77, 24, 718), + Trans(21, 78, 24, 718), + Trans(21, 80, 24, 718), + Trans(21, 81, 24, 718), + Trans(21, 83, 24, 718), + Trans(21, 84, 24, 718), + Trans(21, 85, 24, 718), + Trans(21, 86, 24, 718), + Trans(21, 88, 24, 718), + Trans(21, 89, 24, 718), + Trans(21, 91, 24, 718), + Trans(21, 99, 24, 718), + Trans(21, 100, 24, 718), + Trans(21, 104, 24, 718), + Trans(21, 106, 24, 718), + Trans(21, 109, 24, 718), + Trans(21, 110, 24, 718), + Trans(21, 111, 24, 718), + Trans(21, 112, 24, 718), + Trans(22, 5, 24, 718), + Trans(22, 111, 24, 718), + Trans(22, 112, 24, 718), + Trans(23, 5, 24, 718), + Trans(23, 6, 24, 718), + Trans(23, 7, 24, 718), + Trans(23, 8, 24, 718), + Trans(23, 9, 24, 718), + Trans(23, 10, 24, 718), + Trans(23, 11, 24, 718), + Trans(23, 15, 24, 718), + Trans(23, 18, 24, 718), + Trans(23, 24, 24, 718), + Trans(23, 25, 24, 718), + Trans(23, 26, 24, 718), + Trans(23, 27, 24, 718), + Trans(23, 38, 24, 718), + Trans(23, 39, 24, 718), + Trans(23, 41, 24, 718), + Trans(23, 53, 24, 718), + Trans(23, 70, 24, 718), + Trans(23, 76, 24, 718), + Trans(23, 83, 24, 718), + Trans(23, 86, 24, 718), + Trans(23, 88, 24, 718), + Trans(23, 111, 24, 718), + Trans(23, 112, 24, 718), + Trans(25, 5, 24, 718), + Trans(25, 12, 24, 718), + Trans(25, 14, 24, 718), + Trans(25, 15, 24, 718), + Trans(25, 16, 24, 718), + Trans(25, 17, 24, 718), + Trans(25, 18, 24, 718), + Trans(25, 19, 24, 718), + Trans(25, 20, 24, 718), + Trans(25, 21, 24, 718), + Trans(25, 22, 24, 718), + Trans(25, 23, 24, 718), + Trans(25, 24, 24, 718), + Trans(25, 25, 24, 718), + Trans(25, 26, 24, 718), + Trans(25, 29, 24, 718), + Trans(25, 30, 24, 718), + Trans(25, 31, 24, 718), + Trans(25, 32, 24, 718), + Trans(25, 33, 24, 718), + Trans(25, 34, 24, 718), + Trans(25, 35, 24, 718), + Trans(25, 36, 24, 718), + Trans(25, 37, 24, 718), + Trans(25, 39, 24, 718), + Trans(25, 40, 24, 718), + Trans(25, 41, 24, 718), + Trans(25, 42, 24, 718), + Trans(25, 43, 24, 718), + Trans(25, 44, 24, 718), + Trans(25, 45, 24, 718), + Trans(25, 46, 24, 718), + Trans(25, 47, 24, 718), + Trans(25, 51, 24, 718), + Trans(25, 79, 24, 718), + Trans(25, 93, 24, 718), + Trans(25, 102, 24, 718), ], k: 3, }, - /* 621 - "WithGenericArgumentListOpt" */ + /* 620 - "WithGenericArgumentListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 722), Trans(0, 42, 2, 723)], + transitions: &[Trans(0, 31, 1, 719), Trans(0, 42, 2, 720)], k: 1, }, - /* 622 - "WithGenericArgumentOpt" */ + /* 621 - "WithGenericArgumentOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 7, 1, 717), - Trans(0, 8, 1, 717), - Trans(0, 9, 1, 717), - Trans(0, 10, 1, 717), - Trans(0, 11, 1, 717), - Trans(0, 42, 2, 718), - Trans(0, 111, 1, 717), - Trans(0, 112, 1, 717), + Trans(0, 7, 1, 714), + Trans(0, 8, 1, 714), + Trans(0, 9, 1, 714), + Trans(0, 10, 1, 714), + Trans(0, 11, 1, 714), + Trans(0, 42, 2, 715), + Trans(0, 111, 1, 714), + Trans(0, 112, 1, 714), ], k: 1, }, - /* 623 - "WithGenericParameter" */ + /* 622 - "WithGenericParameter" */ LookaheadDFA { - prod0: 707, + prod0: 704, transitions: &[], k: 0, }, - /* 624 - "WithGenericParameterItem" */ + /* 623 - "WithGenericParameterItem" */ LookaheadDFA { - prod0: 713, + prod0: 710, transitions: &[], k: 0, }, - /* 625 - "WithGenericParameterItemOpt" */ + /* 624 - "WithGenericParameterItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 715), - Trans(0, 35, 1, 714), - Trans(0, 42, 2, 715), + Trans(0, 31, 2, 712), + Trans(0, 35, 1, 711), + Trans(0, 42, 2, 712), ], k: 1, }, - /* 626 - "WithGenericParameterList" */ + /* 625 - "WithGenericParameterList" */ LookaheadDFA { - prod0: 708, + prod0: 705, transitions: &[], k: 0, }, - /* 627 - "WithGenericParameterListList" */ + /* 626 - "WithGenericParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -16373,175 +16366,175 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 5, 4, -1), Trans(1, 42, 12, -1), Trans(1, 112, 2, -1), - Trans(2, 5, 3, 709), - Trans(2, 31, 3, 709), - Trans(2, 35, 3, 709), - Trans(2, 42, 3, 709), - Trans(4, 42, 11, 710), - Trans(4, 112, 3, 709), + Trans(2, 5, 3, 706), + Trans(2, 31, 3, 706), + Trans(2, 35, 3, 706), + Trans(2, 42, 3, 706), + Trans(4, 42, 11, 707), + Trans(4, 112, 3, 706), Trans(5, 5, 6, -1), Trans(5, 13, 7, -1), Trans(5, 36, 8, -1), Trans(5, 39, 9, -1), Trans(5, 41, 10, -1), - Trans(6, 13, 11, 710), - Trans(6, 36, 11, 710), - Trans(6, 39, 11, 710), - Trans(6, 41, 11, 710), - Trans(7, 5, 11, 710), - Trans(7, 52, 11, 710), - Trans(7, 54, 11, 710), - Trans(7, 55, 11, 710), - Trans(7, 56, 11, 710), - Trans(7, 62, 11, 710), - Trans(7, 63, 11, 710), - Trans(7, 67, 11, 710), - Trans(7, 68, 11, 710), - Trans(7, 82, 11, 710), - Trans(7, 94, 11, 710), - Trans(7, 95, 11, 710), - Trans(7, 96, 11, 710), - Trans(7, 97, 11, 710), - Trans(7, 98, 11, 710), - Trans(7, 101, 11, 710), - Trans(7, 103, 11, 710), - Trans(7, 105, 11, 710), - Trans(7, 107, 11, 710), - Trans(7, 108, 11, 710), - Trans(7, 111, 11, 710), - Trans(7, 112, 11, 710), - Trans(8, 5, 11, 710), - Trans(8, 41, 11, 710), - Trans(9, 5, 11, 710), - Trans(9, 30, 11, 710), - Trans(9, 36, 11, 710), - Trans(9, 39, 11, 710), - Trans(9, 43, 11, 710), - Trans(9, 48, 11, 710), - Trans(9, 49, 11, 710), - Trans(9, 50, 11, 710), - Trans(9, 53, 11, 710), - Trans(9, 60, 11, 710), - Trans(9, 61, 11, 710), - Trans(9, 64, 11, 710), - Trans(9, 65, 11, 710), - Trans(9, 66, 11, 710), - Trans(9, 69, 11, 710), - Trans(9, 70, 11, 710), - Trans(9, 71, 11, 710), - Trans(9, 73, 11, 710), - Trans(9, 77, 11, 710), - Trans(9, 80, 11, 710), - Trans(9, 81, 11, 710), - Trans(9, 84, 11, 710), - Trans(9, 99, 11, 710), - Trans(9, 100, 11, 710), - Trans(9, 104, 11, 710), - Trans(9, 106, 11, 710), - Trans(9, 109, 11, 710), - Trans(9, 110, 11, 710), - Trans(9, 111, 11, 710), - Trans(9, 112, 11, 710), - Trans(10, 5, 11, 710), - Trans(10, 36, 11, 710), - Trans(10, 39, 11, 710), - Trans(10, 45, 11, 710), - Trans(10, 112, 11, 710), - Trans(12, 5, 11, 710), - Trans(12, 13, 11, 710), - Trans(12, 36, 11, 710), - Trans(12, 39, 11, 710), - Trans(12, 41, 11, 710), + Trans(6, 13, 11, 707), + Trans(6, 36, 11, 707), + Trans(6, 39, 11, 707), + Trans(6, 41, 11, 707), + Trans(7, 5, 11, 707), + Trans(7, 52, 11, 707), + Trans(7, 54, 11, 707), + Trans(7, 55, 11, 707), + Trans(7, 56, 11, 707), + Trans(7, 62, 11, 707), + Trans(7, 63, 11, 707), + Trans(7, 67, 11, 707), + Trans(7, 68, 11, 707), + Trans(7, 82, 11, 707), + Trans(7, 94, 11, 707), + Trans(7, 95, 11, 707), + Trans(7, 96, 11, 707), + Trans(7, 97, 11, 707), + Trans(7, 98, 11, 707), + Trans(7, 101, 11, 707), + Trans(7, 103, 11, 707), + Trans(7, 105, 11, 707), + Trans(7, 107, 11, 707), + Trans(7, 108, 11, 707), + Trans(7, 111, 11, 707), + Trans(7, 112, 11, 707), + Trans(8, 5, 11, 707), + Trans(8, 41, 11, 707), + Trans(9, 5, 11, 707), + Trans(9, 30, 11, 707), + Trans(9, 36, 11, 707), + Trans(9, 39, 11, 707), + Trans(9, 43, 11, 707), + Trans(9, 48, 11, 707), + Trans(9, 49, 11, 707), + Trans(9, 50, 11, 707), + Trans(9, 53, 11, 707), + Trans(9, 60, 11, 707), + Trans(9, 61, 11, 707), + Trans(9, 64, 11, 707), + Trans(9, 65, 11, 707), + Trans(9, 66, 11, 707), + Trans(9, 69, 11, 707), + Trans(9, 70, 11, 707), + Trans(9, 71, 11, 707), + Trans(9, 73, 11, 707), + Trans(9, 77, 11, 707), + Trans(9, 80, 11, 707), + Trans(9, 81, 11, 707), + Trans(9, 84, 11, 707), + Trans(9, 99, 11, 707), + Trans(9, 100, 11, 707), + Trans(9, 104, 11, 707), + Trans(9, 106, 11, 707), + Trans(9, 109, 11, 707), + Trans(9, 110, 11, 707), + Trans(9, 111, 11, 707), + Trans(9, 112, 11, 707), + Trans(10, 5, 11, 707), + Trans(10, 36, 11, 707), + Trans(10, 39, 11, 707), + Trans(10, 45, 11, 707), + Trans(10, 112, 11, 707), + Trans(12, 5, 11, 707), + Trans(12, 13, 11, 707), + Trans(12, 36, 11, 707), + Trans(12, 39, 11, 707), + Trans(12, 41, 11, 707), ], k: 3, }, - /* 628 - "WithGenericParameterListOpt" */ + /* 627 - "WithGenericParameterListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 711), Trans(0, 42, 2, 712)], + transitions: &[Trans(0, 31, 1, 708), Trans(0, 42, 2, 709)], k: 1, }, - /* 629 - "WithParameter" */ + /* 628 - "WithParameter" */ LookaheadDFA { - prod0: 689, + prod0: 686, transitions: &[], k: 0, }, - /* 630 - "WithParameterGroup" */ + /* 629 - "WithParameterGroup" */ LookaheadDFA { - prod0: 697, + prod0: 694, transitions: &[], k: 0, }, - /* 631 - "WithParameterGroupGroup" */ + /* 630 - "WithParameterGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 698), - Trans(0, 81, 2, 699), - Trans(0, 90, 2, 699), + Trans(0, 39, 1, 695), + Trans(0, 81, 2, 696), + Trans(0, 90, 2, 696), ], k: 1, }, - /* 632 - "WithParameterGroupList" */ + /* 631 - "WithParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 700), - Trans(0, 39, 2, 701), - Trans(0, 81, 2, 701), - Trans(0, 90, 2, 701), + Trans(0, 36, 1, 697), + Trans(0, 39, 2, 698), + Trans(0, 81, 2, 698), + Trans(0, 90, 2, 698), ], k: 1, }, - /* 633 - "WithParameterItem" */ + /* 632 - "WithParameterItem" */ LookaheadDFA { - prod0: 702, + prod0: 699, transitions: &[], k: 0, }, - /* 634 - "WithParameterItemGroup" */ + /* 633 - "WithParameterItemGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 81, 2, 706), Trans(0, 90, 1, 705)], + transitions: &[Trans(0, 81, 2, 703), Trans(0, 90, 1, 702)], k: 1, }, - /* 635 - "WithParameterItemGroup0" */ + /* 634 - "WithParameterItemGroup0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 703), - Trans(0, 54, 1, 703), - Trans(0, 55, 1, 703), - Trans(0, 56, 1, 703), - Trans(0, 62, 1, 703), - Trans(0, 63, 1, 703), - Trans(0, 67, 1, 703), - Trans(0, 68, 1, 703), - Trans(0, 82, 1, 703), - Trans(0, 94, 1, 703), - Trans(0, 95, 1, 703), - Trans(0, 96, 1, 703), - Trans(0, 97, 1, 703), - Trans(0, 98, 1, 703), - Trans(0, 101, 1, 703), - Trans(0, 103, 1, 703), - Trans(0, 105, 1, 703), - Trans(0, 106, 2, 704), - Trans(0, 107, 1, 703), - Trans(0, 108, 1, 703), - Trans(0, 111, 1, 703), - Trans(0, 112, 1, 703), + Trans(0, 52, 1, 700), + Trans(0, 54, 1, 700), + Trans(0, 55, 1, 700), + Trans(0, 56, 1, 700), + Trans(0, 62, 1, 700), + Trans(0, 63, 1, 700), + Trans(0, 67, 1, 700), + Trans(0, 68, 1, 700), + Trans(0, 82, 1, 700), + Trans(0, 94, 1, 700), + Trans(0, 95, 1, 700), + Trans(0, 96, 1, 700), + Trans(0, 97, 1, 700), + Trans(0, 98, 1, 700), + Trans(0, 101, 1, 700), + Trans(0, 103, 1, 700), + Trans(0, 105, 1, 700), + Trans(0, 106, 2, 701), + Trans(0, 107, 1, 700), + Trans(0, 108, 1, 700), + Trans(0, 111, 1, 700), + Trans(0, 112, 1, 700), ], k: 1, }, - /* 636 - "WithParameterList" */ + /* 635 - "WithParameterList" */ LookaheadDFA { - prod0: 692, + prod0: 689, transitions: &[], k: 0, }, - /* 637 - "WithParameterListList" */ + /* 636 - "WithParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -16555,21 +16548,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 45, 12, -1), Trans(1, 81, 5, -1), Trans(1, 90, 5, -1), - Trans(2, 5, 3, 693), - Trans(2, 40, 3, 693), - Trans(4, 5, 3, 693), - Trans(4, 36, 3, 693), - Trans(4, 39, 3, 693), - Trans(4, 81, 3, 693), - Trans(4, 90, 3, 693), - Trans(5, 5, 3, 693), - Trans(5, 112, 3, 693), - Trans(6, 36, 3, 693), - Trans(6, 39, 3, 693), - Trans(6, 43, 13, 694), - Trans(6, 45, 13, 694), - Trans(6, 81, 3, 693), - Trans(6, 90, 3, 693), + Trans(2, 5, 3, 690), + Trans(2, 40, 3, 690), + Trans(4, 5, 3, 690), + Trans(4, 36, 3, 690), + Trans(4, 39, 3, 690), + Trans(4, 81, 3, 690), + Trans(4, 90, 3, 690), + Trans(5, 5, 3, 690), + Trans(5, 112, 3, 690), + Trans(6, 36, 3, 690), + Trans(6, 39, 3, 690), + Trans(6, 43, 13, 691), + Trans(6, 45, 13, 691), + Trans(6, 81, 3, 690), + Trans(6, 90, 3, 690), Trans(7, 5, 9, -1), Trans(7, 31, 10, -1), Trans(7, 43, 11, -1), @@ -16577,99 +16570,99 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 5, 14, -1), Trans(8, 39, 15, -1), Trans(8, 41, 16, -1), - Trans(9, 31, 13, 694), - Trans(9, 43, 13, 694), - Trans(9, 45, 13, 694), - Trans(10, 5, 13, 694), - Trans(10, 36, 13, 694), - Trans(10, 39, 13, 694), - Trans(10, 43, 13, 694), - Trans(10, 45, 13, 694), - Trans(10, 81, 13, 694), - Trans(10, 90, 13, 694), - Trans(11, 5, 13, 694), - Trans(11, 31, 13, 694), - Trans(11, 43, 13, 694), - Trans(11, 45, 13, 694), - Trans(12, 5, 13, 694), - Trans(12, 39, 13, 694), - Trans(12, 41, 13, 694), - Trans(14, 39, 13, 694), - Trans(14, 41, 13, 694), - Trans(15, 5, 13, 694), - Trans(15, 30, 13, 694), - Trans(15, 36, 13, 694), - Trans(15, 39, 13, 694), - Trans(15, 43, 13, 694), - Trans(15, 48, 13, 694), - Trans(15, 49, 13, 694), - Trans(15, 50, 13, 694), - Trans(15, 60, 13, 694), - Trans(15, 64, 13, 694), - Trans(15, 65, 13, 694), - Trans(15, 66, 13, 694), - Trans(15, 70, 13, 694), - Trans(15, 71, 13, 694), - Trans(15, 73, 13, 694), - Trans(15, 77, 13, 694), - Trans(15, 80, 13, 694), - Trans(15, 81, 13, 694), - Trans(15, 84, 13, 694), - Trans(15, 104, 13, 694), - Trans(15, 106, 13, 694), - Trans(15, 109, 13, 694), - Trans(15, 110, 13, 694), - Trans(16, 5, 13, 694), - Trans(16, 36, 13, 694), - Trans(16, 39, 13, 694), - Trans(16, 45, 13, 694), - Trans(16, 112, 13, 694), + Trans(9, 31, 13, 691), + Trans(9, 43, 13, 691), + Trans(9, 45, 13, 691), + Trans(10, 5, 13, 691), + Trans(10, 36, 13, 691), + Trans(10, 39, 13, 691), + Trans(10, 43, 13, 691), + Trans(10, 45, 13, 691), + Trans(10, 81, 13, 691), + Trans(10, 90, 13, 691), + Trans(11, 5, 13, 691), + Trans(11, 31, 13, 691), + Trans(11, 43, 13, 691), + Trans(11, 45, 13, 691), + Trans(12, 5, 13, 691), + Trans(12, 39, 13, 691), + Trans(12, 41, 13, 691), + Trans(14, 39, 13, 691), + Trans(14, 41, 13, 691), + Trans(15, 5, 13, 691), + Trans(15, 30, 13, 691), + Trans(15, 36, 13, 691), + Trans(15, 39, 13, 691), + Trans(15, 43, 13, 691), + Trans(15, 48, 13, 691), + Trans(15, 49, 13, 691), + Trans(15, 50, 13, 691), + Trans(15, 60, 13, 691), + Trans(15, 64, 13, 691), + Trans(15, 65, 13, 691), + Trans(15, 66, 13, 691), + Trans(15, 70, 13, 691), + Trans(15, 71, 13, 691), + Trans(15, 73, 13, 691), + Trans(15, 77, 13, 691), + Trans(15, 80, 13, 691), + Trans(15, 81, 13, 691), + Trans(15, 84, 13, 691), + Trans(15, 104, 13, 691), + Trans(15, 106, 13, 691), + Trans(15, 109, 13, 691), + Trans(15, 110, 13, 691), + Trans(16, 5, 13, 691), + Trans(16, 36, 13, 691), + Trans(16, 39, 13, 691), + Trans(16, 45, 13, 691), + Trans(16, 112, 13, 691), ], k: 3, }, - /* 638 - "WithParameterListOpt" */ + /* 637 - "WithParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 695), - Trans(0, 43, 2, 696), - Trans(0, 45, 2, 696), + Trans(0, 31, 1, 692), + Trans(0, 43, 2, 693), + Trans(0, 45, 2, 693), ], k: 1, }, - /* 639 - "WithParameterOpt" */ + /* 638 - "WithParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 690), - Trans(0, 39, 1, 690), - Trans(0, 45, 2, 691), - Trans(0, 81, 1, 690), - Trans(0, 90, 1, 690), + Trans(0, 36, 1, 687), + Trans(0, 39, 1, 687), + Trans(0, 45, 2, 688), + Trans(0, 81, 1, 687), + Trans(0, 90, 1, 687), ], k: 1, }, ]; -pub const PRODUCTIONS: &[Production; 916] = &[ +pub const PRODUCTIONS: &[Production; 913] = &[ // 0 - CommentsTerm: "(?:(?:(?://.*(?:\r\n|\r|\n|$))|(?:(?ms)/\u{2a}.*?\u{2a}/))\s*)+"; Production { - lhs: 102, + lhs: 101, production: &[ParseType::T(5)], }, // 1 - StringLiteralTerm: "\u{0022}(?:\\[\u{0022}\\/bfnrt]|u[0-9a-fA-F]{4}|[^\u{0022}\\\u0000-\u001F])*\u{0022}"; Production { - lhs: 568, + lhs: 567, production: &[ParseType::T(6)], }, // 2 - ExponentTerm: /[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/; Production { - lhs: 157, + lhs: 156, production: &[ParseType::T(7)], }, // 3 - FixedPointTerm: /[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*/; Production { - lhs: 212, + lhs: 211, production: &[ParseType::T(8)], }, // 4 - BasedTerm: /(?:[0-9]+(?:_[0-9]+)*)?'s?[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/; @@ -16689,17 +16682,17 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 7 - MinusColonTerm: '-:'; Production { - lhs: 376, + lhs: 375, production: &[ParseType::T(12)], }, // 8 - MinusGTTerm: '->'; Production { - lhs: 379, + lhs: 378, production: &[ParseType::T(13)], }, // 9 - PlusColonTerm: '+:'; Production { - lhs: 476, + lhs: 475, production: &[ParseType::T(14)], }, // 10 - AssignmentOperatorTerm: "\+=|-=|\*=|/=|%=|&=|\|=|\^=|<<=|>>=|<<<=|>>>="; @@ -16709,162 +16702,162 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 11 - Operator11Term: "\*\*"; Production { - lhs: 451, + lhs: 450, production: &[ParseType::T(16)], }, // 12 - Operator10Term: "/|%"; Production { - lhs: 448, + lhs: 447, production: &[ParseType::T(17)], }, // 13 - Operator09Term: "\+|-"; Production { - lhs: 445, + lhs: 444, production: &[ParseType::T(18)], }, // 14 - Operator08Term: "<<<|>>>|<<|>>"; Production { - lhs: 442, + lhs: 441, production: &[ParseType::T(19)], }, // 15 - Operator07Term: "<=|>=|<:|>:"; Production { - lhs: 439, + lhs: 438, production: &[ParseType::T(20)], }, // 16 - Operator06Term: "===|==\?|!==|!=\?|==|!="; Production { - lhs: 436, + lhs: 435, production: &[ParseType::T(21)], }, // 17 - Operator02Term: "&&"; Production { - lhs: 424, + lhs: 423, production: &[ParseType::T(22)], }, // 18 - Operator01Term: "\|\|"; Production { - lhs: 421, + lhs: 420, production: &[ParseType::T(23)], }, // 19 - Operator05Term: "&"; Production { - lhs: 433, + lhs: 432, production: &[ParseType::T(24)], }, // 20 - Operator04Term: "\^~|\^|~\^"; Production { - lhs: 430, + lhs: 429, production: &[ParseType::T(25)], }, // 21 - Operator03Term: "\|"; Production { - lhs: 427, + lhs: 426, production: &[ParseType::T(26)], }, // 22 - UnaryOperatorTerm: "~&|~\||!|~"; Production { - lhs: 601, + lhs: 600, production: &[ParseType::T(27)], }, // 23 - ColonColonLAngleTerm: '::<'; Production { - lhs: 91, + lhs: 90, production: &[ParseType::T(28)], }, // 24 - ColonColonTerm: '::'; Production { - lhs: 93, + lhs: 92, production: &[ParseType::T(29)], }, // 25 - ColonTerm: ':'; Production { - lhs: 95, + lhs: 94, production: &[ParseType::T(30)], }, // 26 - CommaTerm: ','; Production { - lhs: 98, + lhs: 97, production: &[ParseType::T(31)], }, // 27 - DotDotEquTerm: '..='; Production { - lhs: 123, + lhs: 122, production: &[ParseType::T(32)], }, // 28 - DotDotTerm: '..'; Production { - lhs: 125, + lhs: 124, production: &[ParseType::T(33)], }, // 29 - DotTerm: '.'; Production { - lhs: 127, + lhs: 126, production: &[ParseType::T(34)], }, // 30 - EquTerm: '='; Production { - lhs: 154, + lhs: 153, production: &[ParseType::T(35)], }, // 31 - HashTerm: '#'; Production { - lhs: 233, + lhs: 232, production: &[ParseType::T(36)], }, // 32 - LAngleTerm: '<'; Production { - lhs: 348, + lhs: 347, production: &[ParseType::T(37)], }, // 33 - QuoteLBraceTerm: "'\{"; Production { - lhs: 493, + lhs: 492, production: &[ParseType::T(38)], }, // 34 - LBraceTerm: '{'; Production { - lhs: 351, + lhs: 350, production: &[ParseType::T(39)], }, // 35 - LBracketTerm: '['; Production { - lhs: 354, + lhs: 353, production: &[ParseType::T(40)], }, // 36 - LParenTerm: '('; Production { - lhs: 357, + lhs: 356, production: &[ParseType::T(41)], }, // 37 - RAngleTerm: '>'; Production { - lhs: 496, + lhs: 495, production: &[ParseType::T(42)], }, // 38 - RBraceTerm: '}'; Production { - lhs: 499, + lhs: 498, production: &[ParseType::T(43)], }, // 39 - RBracketTerm: ']'; Production { - lhs: 502, + lhs: 501, production: &[ParseType::T(44)], }, // 40 - RParenTerm: ')'; Production { - lhs: 505, + lhs: 504, production: &[ParseType::T(45)], }, // 41 - SemicolonTerm: ';'; Production { - lhs: 552, + lhs: 551, production: &[ParseType::T(46)], }, // 42 - StarTerm: '*'; Production { - lhs: 558, + lhs: 557, production: &[ParseType::T(47)], }, // 43 - AlwaysCombTerm: /(?-u:\b)always_comb(?-u:\b)/; @@ -16894,237 +16887,237 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 48 - CaseTerm: /(?-u:\b)case(?-u:\b)/; Production { - lhs: 77, + lhs: 76, production: &[ParseType::T(53)], }, // 49 - ClockTerm: /(?-u:\b)clock(?-u:\b)/; Production { - lhs: 86, + lhs: 85, production: &[ParseType::T(54)], }, // 50 - ClockPosedgeTerm: /(?-u:\b)clock_posedge(?-u:\b)/; Production { - lhs: 84, + lhs: 83, production: &[ParseType::T(55)], }, // 51 - ClockNegedgeTerm: /(?-u:\b)clock_negedge(?-u:\b)/; Production { - lhs: 81, + lhs: 80, production: &[ParseType::T(56)], }, // 52 - DefaultTerm: /(?-u:\b)default(?-u:\b)/; Production { - lhs: 109, + lhs: 108, production: &[ParseType::T(57)], }, // 53 - ElseTerm: /(?-u:\b)else(?-u:\b)/; Production { - lhs: 130, + lhs: 129, production: &[ParseType::T(58)], }, // 54 - EmbedTerm: /(?-u:\b)embed(?-u:\b)/; Production { - lhs: 139, + lhs: 138, production: &[ParseType::T(59)], }, // 55 - EnumTerm: /(?-u:\b)enum(?-u:\b)/; Production { - lhs: 151, + lhs: 150, production: &[ParseType::T(60)], }, // 56 - ExportTerm: /(?-u:\b)export(?-u:\b)/; Production { - lhs: 163, + lhs: 162, production: &[ParseType::T(61)], }, // 57 - F32Term: /(?-u:\b)f32(?-u:\b)/; Production { - lhs: 198, + lhs: 197, production: &[ParseType::T(62)], }, // 58 - F64Term: /(?-u:\b)f64(?-u:\b)/; Production { - lhs: 201, + lhs: 200, production: &[ParseType::T(63)], }, // 59 - FinalTerm: /(?-u:\b)final(?-u:\b)/; Production { - lhs: 209, + lhs: 208, production: &[ParseType::T(64)], }, // 60 - ForTerm: /(?-u:\b)for(?-u:\b)/; Production { - lhs: 219, + lhs: 218, production: &[ParseType::T(65)], }, // 61 - FunctionTerm: /(?-u:\b)function(?-u:\b)/; Production { - lhs: 230, + lhs: 229, production: &[ParseType::T(66)], }, // 62 - I32Term: /(?-u:\b)i32(?-u:\b)/; Production { - lhs: 240, + lhs: 239, production: &[ParseType::T(67)], }, // 63 - I64Term: /(?-u:\b)i64(?-u:\b)/; Production { - lhs: 243, + lhs: 242, production: &[ParseType::T(68)], }, // 64 - IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/; Production { - lhs: 260, + lhs: 259, production: &[ParseType::T(69)], }, // 65 - IfTerm: /(?-u:\b)if(?-u:\b)/; Production { - lhs: 268, + lhs: 267, production: &[ParseType::T(70)], }, // 66 - ImportTerm: /(?-u:\b)import(?-u:\b)/; Production { - lhs: 273, + lhs: 272, production: &[ParseType::T(71)], }, // 67 - IncludeTerm: /(?-u:\b)include(?-u:\b)/; Production { - lhs: 280, + lhs: 279, production: &[ParseType::T(72)], }, // 68 - InitialTerm: /(?-u:\b)initial(?-u:\b)/; Production { - lhs: 285, + lhs: 284, production: &[ParseType::T(73)], }, // 69 - InoutTerm: /(?-u:\b)inout(?-u:\b)/; Production { - lhs: 288, + lhs: 287, production: &[ParseType::T(74)], }, // 70 - InputTerm: /(?-u:\b)input(?-u:\b)/; Production { - lhs: 291, + lhs: 290, production: &[ParseType::T(75)], }, // 71 - InsideTerm: /(?-u:\b)inside(?-u:\b)/; Production { - lhs: 295, + lhs: 294, production: &[ParseType::T(76)], }, // 72 - InstTerm: /(?-u:\b)inst(?-u:\b)/; Production { - lhs: 321, + lhs: 320, production: &[ParseType::T(77)], }, // 73 - InterfaceTerm: /(?-u:\b)interface(?-u:\b)/; Production { - lhs: 345, + lhs: 344, production: &[ParseType::T(78)], }, // 74 - InTerm: /(?-u:\b)in(?-u:\b)/; Production { - lhs: 276, + lhs: 275, production: &[ParseType::T(79)], }, // 75 - LetTerm: /(?-u:\b)let(?-u:\b)/; Production { - lhs: 362, + lhs: 361, production: &[ParseType::T(80)], }, // 76 - LocalTerm: /(?-u:\b)local(?-u:\b)/; Production { - lhs: 367, + lhs: 366, production: &[ParseType::T(81)], }, // 77 - LogicTerm: /(?-u:\b)logic(?-u:\b)/; Production { - lhs: 370, + lhs: 369, production: &[ParseType::T(82)], }, // 78 - LsbTerm: /(?-u:\b)lsb(?-u:\b)/; Production { - lhs: 373, + lhs: 372, production: &[ParseType::T(83)], }, // 79 - ModportTerm: /(?-u:\b)modport(?-u:\b)/; Production { - lhs: 390, + lhs: 389, production: &[ParseType::T(84)], }, // 80 - ModuleTerm: /(?-u:\b)module(?-u:\b)/; Production { - lhs: 414, + lhs: 413, production: &[ParseType::T(85)], }, // 81 - MsbTerm: /(?-u:\b)msb(?-u:\b)/; Production { - lhs: 417, + lhs: 416, production: &[ParseType::T(86)], }, // 82 - OutputTerm: /(?-u:\b)output(?-u:\b)/; Production { - lhs: 454, + lhs: 453, production: &[ParseType::T(87)], }, // 83 - OutsideTerm: /(?-u:\b)outside(?-u:\b)/; Production { - lhs: 458, + lhs: 457, production: &[ParseType::T(88)], }, // 84 - PackageTerm: /(?-u:\b)package(?-u:\b)/; Production { - lhs: 470, + lhs: 469, production: &[ParseType::T(89)], }, // 85 - ParamTerm: /(?-u:\b)param(?-u:\b)/; Production { - lhs: 473, + lhs: 472, production: &[ParseType::T(90)], }, // 86 - PubTerm: /(?-u:\b)pub(?-u:\b)/; Production { - lhs: 490, + lhs: 489, production: &[ParseType::T(91)], }, // 87 - RefTerm: /(?-u:\b)ref(?-u:\b)/; Production { - lhs: 516, + lhs: 515, production: &[ParseType::T(92)], }, // 88 - RepeatTerm: /(?-u:\b)repeat(?-u:\b)/; Production { - lhs: 519, + lhs: 518, production: &[ParseType::T(93)], }, // 89 - ResetTerm: /(?-u:\b)reset(?-u:\b)/; Production { - lhs: 534, + lhs: 533, production: &[ParseType::T(94)], }, // 90 - ResetAsyncHighTerm: /(?-u:\b)reset_async_high(?-u:\b)/; Production { - lhs: 523, + lhs: 522, production: &[ParseType::T(95)], }, // 91 - ResetAsyncLowTerm: /(?-u:\b)reset_async_low(?-u:\b)/; Production { - lhs: 526, + lhs: 525, production: &[ParseType::T(96)], }, // 92 - ResetSyncHighTerm: /(?-u:\b)reset_sync_high(?-u:\b)/; Production { - lhs: 529, + lhs: 528, production: &[ParseType::T(97)], }, // 93 - ResetSyncLowTerm: /(?-u:\b)reset_sync_low(?-u:\b)/; Production { - lhs: 532, + lhs: 531, production: &[ParseType::T(98)], }, // 94 - ReturnTerm: /(?-u:\b)return(?-u:\b)/; Production { - lhs: 538, + lhs: 537, production: &[ParseType::T(99)], }, // 95 - BreakTerm: /(?-u:\b)break(?-u:\b)/; @@ -17134,62 +17127,62 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 96 - SignedTerm: /(?-u:\b)signed(?-u:\b)/; Production { - lhs: 555, + lhs: 554, production: &[ParseType::T(101)], }, // 97 - StepTerm: /(?-u:\b)step(?-u:\b)/; Production { - lhs: 564, + lhs: 563, production: &[ParseType::T(102)], }, // 98 - StringTerm: /(?-u:\b)string(?-u:\b)/; Production { - lhs: 570, + lhs: 569, production: &[ParseType::T(103)], }, // 99 - StructTerm: /(?-u:\b)struct(?-u:\b)/; Production { - lhs: 573, + lhs: 572, production: &[ParseType::T(104)], }, // 100 - TriTerm: /(?-u:\b)tri(?-u:\b)/; Production { - lhs: 586, + lhs: 585, production: &[ParseType::T(105)], }, // 101 - TypeTerm: /(?-u:\b)type(?-u:\b)/; Production { - lhs: 592, + lhs: 591, production: &[ParseType::T(106)], }, // 102 - U32Term: /(?-u:\b)u32(?-u:\b)/; Production { - lhs: 595, + lhs: 594, production: &[ParseType::T(107)], }, // 103 - U64Term: /(?-u:\b)u64(?-u:\b)/; Production { - lhs: 598, + lhs: 597, production: &[ParseType::T(108)], }, // 104 - UnionTerm: /(?-u:\b)union(?-u:\b)/; Production { - lhs: 604, + lhs: 603, production: &[ParseType::T(109)], }, // 105 - VarTerm: /(?-u:\b)var(?-u:\b)/; Production { - lhs: 608, + lhs: 607, production: &[ParseType::T(110)], }, // 106 - DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/; Production { - lhs: 118, + lhs: 117, production: &[ParseType::T(111)], }, // 107 - IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/; Production { - lhs: 248, + lhs: 247, production: &[ParseType::T(112)], }, // 108 - AnyTerm: /[^{}]*/; @@ -17199,578 +17192,578 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 109 - Comments: CommentsOpt /* Option */; Production { - lhs: 100, - production: &[ParseType::N(101)], + lhs: 99, + production: &[ParseType::N(100)], }, // 110 - CommentsOpt: CommentsTerm; Production { - lhs: 101, - production: &[ParseType::N(102)], + lhs: 100, + production: &[ParseType::N(101)], }, // 111 - CommentsOpt: ; Production { - lhs: 101, + lhs: 100, production: &[], }, // 112 - StartToken: Comments; Production { - lhs: 561, - production: &[ParseType::N(100)], + lhs: 560, + production: &[ParseType::N(99)], }, // 113 - StringLiteralToken: StringLiteralTerm : crate::veryl_token::Token Comments; Production { - lhs: 569, - production: &[ParseType::N(100), ParseType::N(568)], + lhs: 568, + production: &[ParseType::N(99), ParseType::N(567)], }, // 114 - ExponentToken: ExponentTerm : crate::veryl_token::Token Comments; Production { - lhs: 158, - production: &[ParseType::N(100), ParseType::N(157)], + lhs: 157, + production: &[ParseType::N(99), ParseType::N(156)], }, // 115 - FixedPointToken: FixedPointTerm : crate::veryl_token::Token Comments; Production { - lhs: 213, - production: &[ParseType::N(100), ParseType::N(212)], + lhs: 212, + production: &[ParseType::N(99), ParseType::N(211)], }, // 116 - BasedToken: BasedTerm : crate::veryl_token::Token Comments; Production { lhs: 56, - production: &[ParseType::N(100), ParseType::N(55)], + production: &[ParseType::N(99), ParseType::N(55)], }, // 117 - BaseLessToken: BaseLessTerm : crate::veryl_token::Token Comments; Production { lhs: 53, - production: &[ParseType::N(100), ParseType::N(52)], + production: &[ParseType::N(99), ParseType::N(52)], }, // 118 - AllBitToken: AllBitTerm : crate::veryl_token::Token Comments; Production { lhs: 2, - production: &[ParseType::N(100), ParseType::N(1)], + production: &[ParseType::N(99), ParseType::N(1)], }, // 119 - AssignmentOperatorToken: AssignmentOperatorTerm : crate::veryl_token::Token Comments; Production { lhs: 44, - production: &[ParseType::N(100), ParseType::N(43)], + production: &[ParseType::N(99), ParseType::N(43)], }, // 120 - Operator01Token: Operator01Term : crate::veryl_token::Token Comments; Production { - lhs: 422, - production: &[ParseType::N(100), ParseType::N(421)], + lhs: 421, + production: &[ParseType::N(99), ParseType::N(420)], }, // 121 - Operator02Token: Operator02Term : crate::veryl_token::Token Comments; Production { - lhs: 425, - production: &[ParseType::N(100), ParseType::N(424)], + lhs: 424, + production: &[ParseType::N(99), ParseType::N(423)], }, // 122 - Operator03Token: Operator03Term : crate::veryl_token::Token Comments; Production { - lhs: 428, - production: &[ParseType::N(100), ParseType::N(427)], + lhs: 427, + production: &[ParseType::N(99), ParseType::N(426)], }, // 123 - Operator04Token: Operator04Term : crate::veryl_token::Token Comments; Production { - lhs: 431, - production: &[ParseType::N(100), ParseType::N(430)], + lhs: 430, + production: &[ParseType::N(99), ParseType::N(429)], }, // 124 - Operator05Token: Operator05Term : crate::veryl_token::Token Comments; Production { - lhs: 434, - production: &[ParseType::N(100), ParseType::N(433)], + lhs: 433, + production: &[ParseType::N(99), ParseType::N(432)], }, // 125 - Operator06Token: Operator06Term : crate::veryl_token::Token Comments; Production { - lhs: 437, - production: &[ParseType::N(100), ParseType::N(436)], + lhs: 436, + production: &[ParseType::N(99), ParseType::N(435)], }, // 126 - Operator07Token: Operator07Term : crate::veryl_token::Token Comments; Production { - lhs: 440, - production: &[ParseType::N(100), ParseType::N(439)], + lhs: 439, + production: &[ParseType::N(99), ParseType::N(438)], }, // 127 - Operator08Token: Operator08Term : crate::veryl_token::Token Comments; Production { - lhs: 443, - production: &[ParseType::N(100), ParseType::N(442)], + lhs: 442, + production: &[ParseType::N(99), ParseType::N(441)], }, // 128 - Operator09Token: Operator09Term : crate::veryl_token::Token Comments; Production { - lhs: 446, - production: &[ParseType::N(100), ParseType::N(445)], + lhs: 445, + production: &[ParseType::N(99), ParseType::N(444)], }, // 129 - Operator10Token: Operator10Term : crate::veryl_token::Token Comments; Production { - lhs: 449, - production: &[ParseType::N(100), ParseType::N(448)], + lhs: 448, + production: &[ParseType::N(99), ParseType::N(447)], }, // 130 - Operator11Token: Operator11Term : crate::veryl_token::Token Comments; Production { - lhs: 452, - production: &[ParseType::N(100), ParseType::N(451)], + lhs: 451, + production: &[ParseType::N(99), ParseType::N(450)], }, // 131 - UnaryOperatorToken: UnaryOperatorTerm : crate::veryl_token::Token Comments; Production { - lhs: 602, - production: &[ParseType::N(100), ParseType::N(601)], + lhs: 601, + production: &[ParseType::N(99), ParseType::N(600)], }, // 132 - ColonToken: ColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 96, - production: &[ParseType::N(100), ParseType::N(95)], + lhs: 95, + production: &[ParseType::N(99), ParseType::N(94)], }, // 133 - ColonColonLAngleToken: ColonColonLAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 92, - production: &[ParseType::N(100), ParseType::N(91)], + lhs: 91, + production: &[ParseType::N(99), ParseType::N(90)], }, // 134 - ColonColonToken: ColonColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 94, - production: &[ParseType::N(100), ParseType::N(93)], + lhs: 93, + production: &[ParseType::N(99), ParseType::N(92)], }, // 135 - CommaToken: CommaTerm : crate::veryl_token::Token Comments; Production { - lhs: 99, - production: &[ParseType::N(100), ParseType::N(98)], + lhs: 98, + production: &[ParseType::N(99), ParseType::N(97)], }, // 136 - DotDotToken: DotDotTerm : crate::veryl_token::Token Comments; Production { - lhs: 126, - production: &[ParseType::N(100), ParseType::N(125)], + lhs: 125, + production: &[ParseType::N(99), ParseType::N(124)], }, // 137 - DotDotEquToken: DotDotEquTerm : crate::veryl_token::Token Comments; Production { - lhs: 124, - production: &[ParseType::N(100), ParseType::N(123)], + lhs: 123, + production: &[ParseType::N(99), ParseType::N(122)], }, // 138 - DotToken: DotTerm : crate::veryl_token::Token Comments; Production { - lhs: 128, - production: &[ParseType::N(100), ParseType::N(127)], + lhs: 127, + production: &[ParseType::N(99), ParseType::N(126)], }, // 139 - EquToken: EquTerm : crate::veryl_token::Token Comments; Production { - lhs: 155, - production: &[ParseType::N(100), ParseType::N(154)], + lhs: 154, + production: &[ParseType::N(99), ParseType::N(153)], }, // 140 - HashToken: HashTerm : crate::veryl_token::Token Comments; Production { - lhs: 234, - production: &[ParseType::N(100), ParseType::N(233)], + lhs: 233, + production: &[ParseType::N(99), ParseType::N(232)], }, // 141 - QuoteLBraceToken: QuoteLBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 494, - production: &[ParseType::N(100), ParseType::N(493)], + lhs: 493, + production: &[ParseType::N(99), ParseType::N(492)], }, // 142 - LAngleToken: LAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 349, - production: &[ParseType::N(100), ParseType::N(348)], + lhs: 348, + production: &[ParseType::N(99), ParseType::N(347)], }, // 143 - LBraceToken: LBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 352, - production: &[ParseType::N(100), ParseType::N(351)], + lhs: 351, + production: &[ParseType::N(99), ParseType::N(350)], }, // 144 - LBracketToken: LBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 355, - production: &[ParseType::N(100), ParseType::N(354)], + lhs: 354, + production: &[ParseType::N(99), ParseType::N(353)], }, // 145 - LParenToken: LParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 358, - production: &[ParseType::N(100), ParseType::N(357)], + lhs: 357, + production: &[ParseType::N(99), ParseType::N(356)], }, // 146 - MinusColonToken: MinusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 377, - production: &[ParseType::N(100), ParseType::N(376)], + lhs: 376, + production: &[ParseType::N(99), ParseType::N(375)], }, // 147 - MinusGTToken: MinusGTTerm : crate::veryl_token::Token Comments; Production { - lhs: 380, - production: &[ParseType::N(100), ParseType::N(379)], + lhs: 379, + production: &[ParseType::N(99), ParseType::N(378)], }, // 148 - PlusColonToken: PlusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 477, - production: &[ParseType::N(100), ParseType::N(476)], + lhs: 476, + production: &[ParseType::N(99), ParseType::N(475)], }, // 149 - RAngleToken: RAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 497, - production: &[ParseType::N(100), ParseType::N(496)], + lhs: 496, + production: &[ParseType::N(99), ParseType::N(495)], }, // 150 - RBraceToken: RBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 500, - production: &[ParseType::N(100), ParseType::N(499)], + lhs: 499, + production: &[ParseType::N(99), ParseType::N(498)], }, // 151 - RBracketToken: RBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 503, - production: &[ParseType::N(100), ParseType::N(502)], + lhs: 502, + production: &[ParseType::N(99), ParseType::N(501)], }, // 152 - RParenToken: RParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 506, - production: &[ParseType::N(100), ParseType::N(505)], + lhs: 505, + production: &[ParseType::N(99), ParseType::N(504)], }, // 153 - SemicolonToken: SemicolonTerm : crate::veryl_token::Token Comments; Production { - lhs: 553, - production: &[ParseType::N(100), ParseType::N(552)], + lhs: 552, + production: &[ParseType::N(99), ParseType::N(551)], }, // 154 - StarToken: StarTerm : crate::veryl_token::Token Comments; Production { - lhs: 559, - production: &[ParseType::N(100), ParseType::N(558)], + lhs: 558, + production: &[ParseType::N(99), ParseType::N(557)], }, // 155 - AlwaysCombToken: AlwaysCombTerm : crate::veryl_token::Token Comments; Production { lhs: 9, - production: &[ParseType::N(100), ParseType::N(8)], + production: &[ParseType::N(99), ParseType::N(8)], }, // 156 - AlwaysFfToken: AlwaysFfTerm : crate::veryl_token::Token Comments; Production { lhs: 17, - production: &[ParseType::N(100), ParseType::N(16)], + production: &[ParseType::N(99), ParseType::N(16)], }, // 157 - AsToken: AsTerm : crate::veryl_token::Token Comments; Production { lhs: 35, - production: &[ParseType::N(100), ParseType::N(34)], + production: &[ParseType::N(99), ParseType::N(34)], }, // 158 - AssignToken: AssignTerm : crate::veryl_token::Token Comments; Production { lhs: 39, - production: &[ParseType::N(100), ParseType::N(38)], + production: &[ParseType::N(99), ParseType::N(38)], }, // 159 - BitToken: BitTerm : crate::veryl_token::Token Comments; Production { lhs: 59, - production: &[ParseType::N(100), ParseType::N(58)], + production: &[ParseType::N(99), ParseType::N(58)], }, // 160 - CaseToken: CaseTerm : crate::veryl_token::Token Comments; Production { - lhs: 78, - production: &[ParseType::N(100), ParseType::N(77)], + lhs: 77, + production: &[ParseType::N(99), ParseType::N(76)], }, // 161 - ClockToken: ClockTerm : crate::veryl_token::Token Comments; Production { - lhs: 87, - production: &[ParseType::N(100), ParseType::N(86)], + lhs: 86, + production: &[ParseType::N(99), ParseType::N(85)], }, // 162 - ClockPosedgeToken: ClockPosedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 85, - production: &[ParseType::N(100), ParseType::N(84)], + lhs: 84, + production: &[ParseType::N(99), ParseType::N(83)], }, // 163 - ClockNegedgeToken: ClockNegedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 82, - production: &[ParseType::N(100), ParseType::N(81)], + lhs: 81, + production: &[ParseType::N(99), ParseType::N(80)], }, // 164 - DefaultToken: DefaultTerm : crate::veryl_token::Token Comments; Production { - lhs: 110, - production: &[ParseType::N(100), ParseType::N(109)], + lhs: 109, + production: &[ParseType::N(99), ParseType::N(108)], }, // 165 - ElseToken: ElseTerm : crate::veryl_token::Token Comments; Production { - lhs: 131, - production: &[ParseType::N(100), ParseType::N(130)], + lhs: 130, + production: &[ParseType::N(99), ParseType::N(129)], }, // 166 - EmbedToken: EmbedTerm : crate::veryl_token::Token Comments; Production { - lhs: 140, - production: &[ParseType::N(100), ParseType::N(139)], + lhs: 139, + production: &[ParseType::N(99), ParseType::N(138)], }, // 167 - EnumToken: EnumTerm : crate::veryl_token::Token Comments; Production { - lhs: 152, - production: &[ParseType::N(100), ParseType::N(151)], + lhs: 151, + production: &[ParseType::N(99), ParseType::N(150)], }, // 168 - ExportToken: ExportTerm : crate::veryl_token::Token Comments; Production { - lhs: 164, - production: &[ParseType::N(100), ParseType::N(163)], + lhs: 163, + production: &[ParseType::N(99), ParseType::N(162)], }, // 169 - F32Token: F32Term : crate::veryl_token::Token Comments; Production { - lhs: 199, - production: &[ParseType::N(100), ParseType::N(198)], + lhs: 198, + production: &[ParseType::N(99), ParseType::N(197)], }, // 170 - F64Token: F64Term : crate::veryl_token::Token Comments; Production { - lhs: 202, - production: &[ParseType::N(100), ParseType::N(201)], + lhs: 201, + production: &[ParseType::N(99), ParseType::N(200)], }, // 171 - FinalToken: FinalTerm : crate::veryl_token::Token Comments; Production { - lhs: 210, - production: &[ParseType::N(100), ParseType::N(209)], + lhs: 209, + production: &[ParseType::N(99), ParseType::N(208)], }, // 172 - ForToken: ForTerm : crate::veryl_token::Token Comments; Production { - lhs: 220, - production: &[ParseType::N(100), ParseType::N(219)], + lhs: 219, + production: &[ParseType::N(99), ParseType::N(218)], }, // 173 - FunctionToken: FunctionTerm : crate::veryl_token::Token Comments; Production { - lhs: 231, - production: &[ParseType::N(100), ParseType::N(230)], + lhs: 230, + production: &[ParseType::N(99), ParseType::N(229)], }, // 174 - I32Token: I32Term : crate::veryl_token::Token Comments; Production { - lhs: 241, - production: &[ParseType::N(100), ParseType::N(240)], + lhs: 240, + production: &[ParseType::N(99), ParseType::N(239)], }, // 175 - I64Token: I64Term : crate::veryl_token::Token Comments; Production { - lhs: 244, - production: &[ParseType::N(100), ParseType::N(243)], + lhs: 243, + production: &[ParseType::N(99), ParseType::N(242)], }, // 176 - IfResetToken: IfResetTerm : crate::veryl_token::Token Comments; Production { - lhs: 261, - production: &[ParseType::N(100), ParseType::N(260)], + lhs: 260, + production: &[ParseType::N(99), ParseType::N(259)], }, // 177 - IfToken: IfTerm : crate::veryl_token::Token Comments; Production { - lhs: 269, - production: &[ParseType::N(100), ParseType::N(268)], + lhs: 268, + production: &[ParseType::N(99), ParseType::N(267)], }, // 178 - ImportToken: ImportTerm : crate::veryl_token::Token Comments; Production { - lhs: 274, - production: &[ParseType::N(100), ParseType::N(273)], + lhs: 273, + production: &[ParseType::N(99), ParseType::N(272)], }, // 179 - IncludeToken: IncludeTerm : crate::veryl_token::Token Comments; Production { - lhs: 281, - production: &[ParseType::N(100), ParseType::N(280)], + lhs: 280, + production: &[ParseType::N(99), ParseType::N(279)], }, // 180 - InitialToken: InitialTerm : crate::veryl_token::Token Comments; Production { - lhs: 286, - production: &[ParseType::N(100), ParseType::N(285)], + lhs: 285, + production: &[ParseType::N(99), ParseType::N(284)], }, // 181 - InoutToken: InoutTerm : crate::veryl_token::Token Comments; Production { - lhs: 289, - production: &[ParseType::N(100), ParseType::N(288)], + lhs: 288, + production: &[ParseType::N(99), ParseType::N(287)], }, // 182 - InputToken: InputTerm : crate::veryl_token::Token Comments; Production { - lhs: 292, - production: &[ParseType::N(100), ParseType::N(291)], + lhs: 291, + production: &[ParseType::N(99), ParseType::N(290)], }, // 183 - InsideToken: InsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 296, - production: &[ParseType::N(100), ParseType::N(295)], + lhs: 295, + production: &[ParseType::N(99), ParseType::N(294)], }, // 184 - InstToken: InstTerm : crate::veryl_token::Token Comments; Production { - lhs: 322, - production: &[ParseType::N(100), ParseType::N(321)], + lhs: 321, + production: &[ParseType::N(99), ParseType::N(320)], }, // 185 - InterfaceToken: InterfaceTerm : crate::veryl_token::Token Comments; Production { - lhs: 346, - production: &[ParseType::N(100), ParseType::N(345)], + lhs: 345, + production: &[ParseType::N(99), ParseType::N(344)], }, // 186 - InToken: InTerm : crate::veryl_token::Token Comments; Production { - lhs: 277, - production: &[ParseType::N(100), ParseType::N(276)], + lhs: 276, + production: &[ParseType::N(99), ParseType::N(275)], }, // 187 - LetToken: LetTerm : crate::veryl_token::Token Comments; Production { - lhs: 363, - production: &[ParseType::N(100), ParseType::N(362)], + lhs: 362, + production: &[ParseType::N(99), ParseType::N(361)], }, // 188 - LocalToken: LocalTerm : crate::veryl_token::Token Comments; Production { - lhs: 368, - production: &[ParseType::N(100), ParseType::N(367)], + lhs: 367, + production: &[ParseType::N(99), ParseType::N(366)], }, // 189 - LogicToken: LogicTerm : crate::veryl_token::Token Comments; Production { - lhs: 371, - production: &[ParseType::N(100), ParseType::N(370)], + lhs: 370, + production: &[ParseType::N(99), ParseType::N(369)], }, // 190 - LsbToken: LsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 374, - production: &[ParseType::N(100), ParseType::N(373)], + lhs: 373, + production: &[ParseType::N(99), ParseType::N(372)], }, // 191 - ModportToken: ModportTerm : crate::veryl_token::Token Comments; Production { - lhs: 391, - production: &[ParseType::N(100), ParseType::N(390)], + lhs: 390, + production: &[ParseType::N(99), ParseType::N(389)], }, // 192 - ModuleToken: ModuleTerm : crate::veryl_token::Token Comments; Production { - lhs: 415, - production: &[ParseType::N(100), ParseType::N(414)], + lhs: 414, + production: &[ParseType::N(99), ParseType::N(413)], }, // 193 - MsbToken: MsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 418, - production: &[ParseType::N(100), ParseType::N(417)], + lhs: 417, + production: &[ParseType::N(99), ParseType::N(416)], }, // 194 - OutputToken: OutputTerm : crate::veryl_token::Token Comments; Production { - lhs: 455, - production: &[ParseType::N(100), ParseType::N(454)], + lhs: 454, + production: &[ParseType::N(99), ParseType::N(453)], }, // 195 - OutsideToken: OutsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 459, - production: &[ParseType::N(100), ParseType::N(458)], + lhs: 458, + production: &[ParseType::N(99), ParseType::N(457)], }, // 196 - PackageToken: PackageTerm : crate::veryl_token::Token Comments; Production { - lhs: 471, - production: &[ParseType::N(100), ParseType::N(470)], + lhs: 470, + production: &[ParseType::N(99), ParseType::N(469)], }, // 197 - ParamToken: ParamTerm : crate::veryl_token::Token Comments; Production { - lhs: 474, - production: &[ParseType::N(100), ParseType::N(473)], + lhs: 473, + production: &[ParseType::N(99), ParseType::N(472)], }, // 198 - PubToken: PubTerm : crate::veryl_token::Token Comments; Production { - lhs: 491, - production: &[ParseType::N(100), ParseType::N(490)], + lhs: 490, + production: &[ParseType::N(99), ParseType::N(489)], }, // 199 - RefToken: RefTerm : crate::veryl_token::Token Comments; Production { - lhs: 517, - production: &[ParseType::N(100), ParseType::N(516)], + lhs: 516, + production: &[ParseType::N(99), ParseType::N(515)], }, // 200 - RepeatToken: RepeatTerm : crate::veryl_token::Token Comments; Production { - lhs: 520, - production: &[ParseType::N(100), ParseType::N(519)], + lhs: 519, + production: &[ParseType::N(99), ParseType::N(518)], }, // 201 - ResetToken: ResetTerm : crate::veryl_token::Token Comments; Production { - lhs: 535, - production: &[ParseType::N(100), ParseType::N(534)], + lhs: 534, + production: &[ParseType::N(99), ParseType::N(533)], }, // 202 - ResetAsyncHighToken: ResetAsyncHighTerm : crate::veryl_token::Token Comments; Production { - lhs: 524, - production: &[ParseType::N(100), ParseType::N(523)], + lhs: 523, + production: &[ParseType::N(99), ParseType::N(522)], }, // 203 - ResetAsyncLowToken: ResetAsyncLowTerm : crate::veryl_token::Token Comments; Production { - lhs: 527, - production: &[ParseType::N(100), ParseType::N(526)], + lhs: 526, + production: &[ParseType::N(99), ParseType::N(525)], }, // 204 - ResetSyncHighToken: ResetSyncHighTerm : crate::veryl_token::Token Comments; Production { - lhs: 530, - production: &[ParseType::N(100), ParseType::N(529)], + lhs: 529, + production: &[ParseType::N(99), ParseType::N(528)], }, // 205 - ResetSyncLowToken: ResetSyncLowTerm : crate::veryl_token::Token Comments; Production { - lhs: 533, - production: &[ParseType::N(100), ParseType::N(532)], + lhs: 532, + production: &[ParseType::N(99), ParseType::N(531)], }, // 206 - ReturnToken: ReturnTerm : crate::veryl_token::Token Comments; Production { - lhs: 539, - production: &[ParseType::N(100), ParseType::N(538)], + lhs: 538, + production: &[ParseType::N(99), ParseType::N(537)], }, // 207 - BreakToken: BreakTerm : crate::veryl_token::Token Comments; Production { lhs: 63, - production: &[ParseType::N(100), ParseType::N(62)], + production: &[ParseType::N(99), ParseType::N(62)], }, // 208 - SignedToken: SignedTerm : crate::veryl_token::Token Comments; Production { - lhs: 556, - production: &[ParseType::N(100), ParseType::N(555)], + lhs: 555, + production: &[ParseType::N(99), ParseType::N(554)], }, // 209 - StepToken: StepTerm : crate::veryl_token::Token Comments; Production { - lhs: 565, - production: &[ParseType::N(100), ParseType::N(564)], + lhs: 564, + production: &[ParseType::N(99), ParseType::N(563)], }, // 210 - StringToken: StringTerm : crate::veryl_token::Token Comments; Production { - lhs: 571, - production: &[ParseType::N(100), ParseType::N(570)], + lhs: 570, + production: &[ParseType::N(99), ParseType::N(569)], }, // 211 - StructToken: StructTerm : crate::veryl_token::Token Comments; Production { - lhs: 574, - production: &[ParseType::N(100), ParseType::N(573)], + lhs: 573, + production: &[ParseType::N(99), ParseType::N(572)], }, // 212 - TriToken: TriTerm : crate::veryl_token::Token Comments; Production { - lhs: 587, - production: &[ParseType::N(100), ParseType::N(586)], + lhs: 586, + production: &[ParseType::N(99), ParseType::N(585)], }, // 213 - TypeToken: TypeTerm : crate::veryl_token::Token Comments; Production { - lhs: 593, - production: &[ParseType::N(100), ParseType::N(592)], + lhs: 592, + production: &[ParseType::N(99), ParseType::N(591)], }, // 214 - U32Token: U32Term : crate::veryl_token::Token Comments; Production { - lhs: 596, - production: &[ParseType::N(100), ParseType::N(595)], + lhs: 595, + production: &[ParseType::N(99), ParseType::N(594)], }, // 215 - U64Token: U64Term : crate::veryl_token::Token Comments; Production { - lhs: 599, - production: &[ParseType::N(100), ParseType::N(598)], + lhs: 598, + production: &[ParseType::N(99), ParseType::N(597)], }, // 216 - UnionToken: UnionTerm : crate::veryl_token::Token Comments; Production { - lhs: 605, - production: &[ParseType::N(100), ParseType::N(604)], + lhs: 604, + production: &[ParseType::N(99), ParseType::N(603)], }, // 217 - VarToken: VarTerm : crate::veryl_token::Token Comments; Production { - lhs: 609, - production: &[ParseType::N(100), ParseType::N(608)], + lhs: 608, + production: &[ParseType::N(99), ParseType::N(607)], }, // 218 - DollarIdentifierToken: DollarIdentifierTerm : crate::veryl_token::Token Comments; Production { - lhs: 119, - production: &[ParseType::N(100), ParseType::N(118)], + lhs: 118, + production: &[ParseType::N(99), ParseType::N(117)], }, // 219 - IdentifierToken: IdentifierTerm : crate::veryl_token::Token Comments; Production { - lhs: 249, - production: &[ParseType::N(100), ParseType::N(248)], + lhs: 248, + production: &[ParseType::N(99), ParseType::N(247)], }, // 220 - Start: StartToken : crate::veryl_token::VerylToken ; Production { - lhs: 560, - production: &[ParseType::N(561)], + lhs: 559, + production: &[ParseType::N(560)], }, // 221 - StringLiteral: StringLiteralToken : crate::veryl_token::VerylToken ; Production { - lhs: 567, - production: &[ParseType::N(569)], + lhs: 566, + production: &[ParseType::N(568)], }, // 222 - Exponent: ExponentToken : crate::veryl_token::VerylToken ; Production { - lhs: 156, - production: &[ParseType::N(158)], + lhs: 155, + production: &[ParseType::N(157)], }, // 223 - FixedPoint: FixedPointToken : crate::veryl_token::VerylToken ; Production { - lhs: 211, - production: &[ParseType::N(213)], + lhs: 210, + production: &[ParseType::N(212)], }, // 224 - Based: BasedToken : crate::veryl_token::VerylToken ; Production { @@ -17794,178 +17787,178 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 228 - Operator01: Operator01Token : crate::veryl_token::VerylToken ; Production { - lhs: 420, - production: &[ParseType::N(422)], + lhs: 419, + production: &[ParseType::N(421)], }, // 229 - Operator02: Operator02Token : crate::veryl_token::VerylToken ; Production { - lhs: 423, - production: &[ParseType::N(425)], + lhs: 422, + production: &[ParseType::N(424)], }, // 230 - Operator03: Operator03Token : crate::veryl_token::VerylToken ; Production { - lhs: 426, - production: &[ParseType::N(428)], + lhs: 425, + production: &[ParseType::N(427)], }, // 231 - Operator04: Operator04Token : crate::veryl_token::VerylToken ; Production { - lhs: 429, - production: &[ParseType::N(431)], + lhs: 428, + production: &[ParseType::N(430)], }, // 232 - Operator05: Operator05Token : crate::veryl_token::VerylToken ; Production { - lhs: 432, - production: &[ParseType::N(434)], + lhs: 431, + production: &[ParseType::N(433)], }, // 233 - Operator06: Operator06Token : crate::veryl_token::VerylToken ; Production { - lhs: 435, - production: &[ParseType::N(437)], + lhs: 434, + production: &[ParseType::N(436)], }, // 234 - Operator07: Operator07Token : crate::veryl_token::VerylToken ; Production { - lhs: 438, - production: &[ParseType::N(440)], + lhs: 437, + production: &[ParseType::N(439)], }, // 235 - Operator08: Operator08Token : crate::veryl_token::VerylToken ; Production { - lhs: 441, - production: &[ParseType::N(443)], + lhs: 440, + production: &[ParseType::N(442)], }, // 236 - Operator09: Operator09Token : crate::veryl_token::VerylToken ; Production { - lhs: 444, - production: &[ParseType::N(446)], + lhs: 443, + production: &[ParseType::N(445)], }, // 237 - Operator10: Operator10Token : crate::veryl_token::VerylToken ; Production { - lhs: 447, - production: &[ParseType::N(449)], + lhs: 446, + production: &[ParseType::N(448)], }, // 238 - Operator11: Operator11Token : crate::veryl_token::VerylToken ; Production { - lhs: 450, - production: &[ParseType::N(452)], + lhs: 449, + production: &[ParseType::N(451)], }, // 239 - UnaryOperator: UnaryOperatorToken : crate::veryl_token::VerylToken ; Production { - lhs: 600, - production: &[ParseType::N(602)], + lhs: 599, + production: &[ParseType::N(601)], }, // 240 - Colon: ColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 88, - production: &[ParseType::N(96)], + lhs: 87, + production: &[ParseType::N(95)], }, // 241 - ColonColonLAngle: ColonColonLAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 90, - production: &[ParseType::N(92)], + lhs: 89, + production: &[ParseType::N(91)], }, // 242 - ColonColon: ColonColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 89, - production: &[ParseType::N(94)], + lhs: 88, + production: &[ParseType::N(93)], }, // 243 - Comma: CommaToken : crate::veryl_token::VerylToken ; Production { - lhs: 97, - production: &[ParseType::N(99)], + lhs: 96, + production: &[ParseType::N(98)], }, // 244 - DotDot: DotDotToken : crate::veryl_token::VerylToken ; Production { - lhs: 121, - production: &[ParseType::N(126)], + lhs: 120, + production: &[ParseType::N(125)], }, // 245 - DotDotEqu: DotDotEquToken : crate::veryl_token::VerylToken ; Production { - lhs: 122, - production: &[ParseType::N(124)], + lhs: 121, + production: &[ParseType::N(123)], }, // 246 - Dot: DotToken : crate::veryl_token::VerylToken ; Production { - lhs: 120, - production: &[ParseType::N(128)], + lhs: 119, + production: &[ParseType::N(127)], }, // 247 - Equ: EquToken : crate::veryl_token::VerylToken ; Production { - lhs: 153, - production: &[ParseType::N(155)], + lhs: 152, + production: &[ParseType::N(154)], }, // 248 - Hash: HashToken : crate::veryl_token::VerylToken ; Production { - lhs: 232, - production: &[ParseType::N(234)], + lhs: 231, + production: &[ParseType::N(233)], }, // 249 - QuoteLBrace: QuoteLBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 492, - production: &[ParseType::N(494)], + lhs: 491, + production: &[ParseType::N(493)], }, // 250 - LAngle: LAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 347, - production: &[ParseType::N(349)], + lhs: 346, + production: &[ParseType::N(348)], }, // 251 - LBrace: LBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 350, - production: &[ParseType::N(352)], + lhs: 349, + production: &[ParseType::N(351)], }, // 252 - LBracket: LBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 353, - production: &[ParseType::N(355)], + lhs: 352, + production: &[ParseType::N(354)], }, // 253 - LParen: LParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 356, - production: &[ParseType::N(358)], + lhs: 355, + production: &[ParseType::N(357)], }, // 254 - MinusColon: MinusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 375, - production: &[ParseType::N(377)], + lhs: 374, + production: &[ParseType::N(376)], }, // 255 - MinusGT: MinusGTToken : crate::veryl_token::VerylToken ; Production { - lhs: 378, - production: &[ParseType::N(380)], + lhs: 377, + production: &[ParseType::N(379)], }, // 256 - PlusColon: PlusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 475, - production: &[ParseType::N(477)], + lhs: 474, + production: &[ParseType::N(476)], }, // 257 - RAngle: RAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 495, - production: &[ParseType::N(497)], + lhs: 494, + production: &[ParseType::N(496)], }, // 258 - RBrace: RBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 498, - production: &[ParseType::N(500)], + lhs: 497, + production: &[ParseType::N(499)], }, // 259 - RBracket: RBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 501, - production: &[ParseType::N(503)], + lhs: 500, + production: &[ParseType::N(502)], }, // 260 - RParen: RParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 504, - production: &[ParseType::N(506)], + lhs: 503, + production: &[ParseType::N(505)], }, // 261 - Semicolon: SemicolonToken : crate::veryl_token::VerylToken ; Production { - lhs: 551, - production: &[ParseType::N(553)], + lhs: 550, + production: &[ParseType::N(552)], }, // 262 - Star: StarToken : crate::veryl_token::VerylToken ; Production { - lhs: 557, - production: &[ParseType::N(559)], + lhs: 556, + production: &[ParseType::N(558)], }, // 263 - AlwaysComb: AlwaysCombToken : crate::veryl_token::VerylToken ; Production { @@ -18000,781 +17993,781 @@ pub const PRODUCTIONS: &[Production; 916] = &[ // 269 - Case: CaseToken : crate::veryl_token::VerylToken ; Production { lhs: 64, - production: &[ParseType::N(78)], + production: &[ParseType::N(77)], }, // 270 - Clock: ClockToken : crate::veryl_token::VerylToken ; Production { - lhs: 79, - production: &[ParseType::N(87)], + lhs: 78, + production: &[ParseType::N(86)], }, // 271 - ClockPosedge: ClockPosedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 83, - production: &[ParseType::N(85)], + lhs: 82, + production: &[ParseType::N(84)], }, // 272 - ClockNegedge: ClockNegedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 80, - production: &[ParseType::N(82)], + lhs: 79, + production: &[ParseType::N(81)], }, // 273 - Defaul: DefaultToken : crate::veryl_token::VerylToken ; Production { - lhs: 108, - production: &[ParseType::N(110)], + lhs: 107, + production: &[ParseType::N(109)], }, // 274 - Else: ElseToken : crate::veryl_token::VerylToken ; Production { - lhs: 129, - production: &[ParseType::N(131)], + lhs: 128, + production: &[ParseType::N(130)], }, // 275 - Embed: EmbedToken : crate::veryl_token::VerylToken ; Production { - lhs: 132, - production: &[ParseType::N(140)], + lhs: 131, + production: &[ParseType::N(139)], }, // 276 - Enum: EnumToken : crate::veryl_token::VerylToken ; Production { - lhs: 141, - production: &[ParseType::N(152)], + lhs: 140, + production: &[ParseType::N(151)], }, // 277 - Export: ExportToken : crate::veryl_token::VerylToken ; Production { - lhs: 159, - production: &[ParseType::N(164)], + lhs: 158, + production: &[ParseType::N(163)], }, // 278 - F32: F32Token : crate::veryl_token::VerylToken ; Production { - lhs: 197, - production: &[ParseType::N(199)], + lhs: 196, + production: &[ParseType::N(198)], }, // 279 - F64: F64Token : crate::veryl_token::VerylToken ; Production { - lhs: 200, - production: &[ParseType::N(202)], + lhs: 199, + production: &[ParseType::N(201)], }, // 280 - Final: FinalToken : crate::veryl_token::VerylToken ; Production { - lhs: 206, - production: &[ParseType::N(210)], + lhs: 205, + production: &[ParseType::N(209)], }, // 281 - For: ForToken : crate::veryl_token::VerylToken ; Production { - lhs: 215, - production: &[ParseType::N(220)], + lhs: 214, + production: &[ParseType::N(219)], }, // 282 - Function: FunctionToken : crate::veryl_token::VerylToken ; Production { - lhs: 221, - production: &[ParseType::N(231)], + lhs: 220, + production: &[ParseType::N(230)], }, // 283 - I32: I32Token : crate::veryl_token::VerylToken ; Production { - lhs: 239, - production: &[ParseType::N(241)], + lhs: 238, + production: &[ParseType::N(240)], }, // 284 - I64: I64Token : crate::veryl_token::VerylToken ; Production { - lhs: 242, - production: &[ParseType::N(244)], + lhs: 241, + production: &[ParseType::N(243)], }, // 285 - If: IfToken : crate::veryl_token::VerylToken ; Production { - lhs: 250, - production: &[ParseType::N(269)], + lhs: 249, + production: &[ParseType::N(268)], }, // 286 - IfReset: IfResetToken : crate::veryl_token::VerylToken ; Production { - lhs: 253, - production: &[ParseType::N(261)], + lhs: 252, + production: &[ParseType::N(260)], }, // 287 - Import: ImportToken : crate::veryl_token::VerylToken ; Production { - lhs: 270, - production: &[ParseType::N(274)], + lhs: 269, + production: &[ParseType::N(273)], }, // 288 - In: InToken : crate::veryl_token::VerylToken ; Production { - lhs: 275, - production: &[ParseType::N(277)], + lhs: 274, + production: &[ParseType::N(276)], }, // 289 - Include: IncludeToken : crate::veryl_token::VerylToken ; Production { - lhs: 278, - production: &[ParseType::N(281)], + lhs: 277, + production: &[ParseType::N(280)], }, // 290 - Initial: InitialToken : crate::veryl_token::VerylToken ; Production { - lhs: 282, - production: &[ParseType::N(286)], + lhs: 281, + production: &[ParseType::N(285)], }, // 291 - Inout: InoutToken : crate::veryl_token::VerylToken ; Production { - lhs: 287, - production: &[ParseType::N(289)], + lhs: 286, + production: &[ParseType::N(288)], }, // 292 - Input: InputToken : crate::veryl_token::VerylToken ; Production { - lhs: 290, - production: &[ParseType::N(292)], + lhs: 289, + production: &[ParseType::N(291)], }, // 293 - Inside: InsideToken : crate::veryl_token::VerylToken ; Production { - lhs: 293, - production: &[ParseType::N(296)], + lhs: 292, + production: &[ParseType::N(295)], }, // 294 - Inst: InstToken : crate::veryl_token::VerylToken ; Production { - lhs: 297, - production: &[ParseType::N(322)], + lhs: 296, + production: &[ParseType::N(321)], }, // 295 - Interface: InterfaceToken : crate::veryl_token::VerylToken ; Production { - lhs: 324, - production: &[ParseType::N(346)], + lhs: 323, + production: &[ParseType::N(345)], }, // 296 - Let: LetToken : crate::veryl_token::VerylToken ; Production { - lhs: 359, - production: &[ParseType::N(363)], + lhs: 358, + production: &[ParseType::N(362)], }, // 297 - Local: LocalToken : crate::veryl_token::VerylToken ; Production { - lhs: 364, - production: &[ParseType::N(368)], + lhs: 363, + production: &[ParseType::N(367)], }, // 298 - Logic: LogicToken : crate::veryl_token::VerylToken ; Production { - lhs: 369, - production: &[ParseType::N(371)], + lhs: 368, + production: &[ParseType::N(370)], }, // 299 - Lsb: LsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 372, - production: &[ParseType::N(374)], + lhs: 371, + production: &[ParseType::N(373)], }, // 300 - Modport: ModportToken : crate::veryl_token::VerylToken ; Production { - lhs: 381, - production: &[ParseType::N(391)], + lhs: 380, + production: &[ParseType::N(390)], }, // 301 - Module: ModuleToken : crate::veryl_token::VerylToken ; Production { - lhs: 392, - production: &[ParseType::N(415)], + lhs: 391, + production: &[ParseType::N(414)], }, // 302 - Msb: MsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 416, - production: &[ParseType::N(418)], + lhs: 415, + production: &[ParseType::N(417)], }, // 303 - Output: OutputToken : crate::veryl_token::VerylToken ; Production { - lhs: 453, - production: &[ParseType::N(455)], + lhs: 452, + production: &[ParseType::N(454)], }, // 304 - Outside: OutsideToken : crate::veryl_token::VerylToken ; Production { - lhs: 456, - production: &[ParseType::N(459)], + lhs: 455, + production: &[ParseType::N(458)], }, // 305 - Package: PackageToken : crate::veryl_token::VerylToken ; Production { - lhs: 460, - production: &[ParseType::N(471)], + lhs: 459, + production: &[ParseType::N(470)], }, // 306 - Param: ParamToken : crate::veryl_token::VerylToken ; Production { - lhs: 472, - production: &[ParseType::N(474)], + lhs: 471, + production: &[ParseType::N(473)], }, // 307 - Pub: PubToken : crate::veryl_token::VerylToken ; Production { - lhs: 489, - production: &[ParseType::N(491)], + lhs: 488, + production: &[ParseType::N(490)], }, // 308 - Ref: RefToken : crate::veryl_token::VerylToken ; Production { - lhs: 515, - production: &[ParseType::N(517)], + lhs: 514, + production: &[ParseType::N(516)], }, // 309 - Repeat: RepeatToken : crate::veryl_token::VerylToken ; Production { - lhs: 518, - production: &[ParseType::N(520)], + lhs: 517, + production: &[ParseType::N(519)], }, // 310 - Reset: ResetToken : crate::veryl_token::VerylToken ; Production { - lhs: 521, - production: &[ParseType::N(535)], + lhs: 520, + production: &[ParseType::N(534)], }, // 311 - ResetAsyncHigh: ResetAsyncHighToken : crate::veryl_token::VerylToken ; Production { - lhs: 522, - production: &[ParseType::N(524)], + lhs: 521, + production: &[ParseType::N(523)], }, // 312 - ResetAsyncLow: ResetAsyncLowToken : crate::veryl_token::VerylToken ; Production { - lhs: 525, - production: &[ParseType::N(527)], + lhs: 524, + production: &[ParseType::N(526)], }, // 313 - ResetSyncHigh: ResetSyncHighToken : crate::veryl_token::VerylToken ; Production { - lhs: 528, - production: &[ParseType::N(530)], + lhs: 527, + production: &[ParseType::N(529)], }, // 314 - ResetSyncLow: ResetSyncLowToken : crate::veryl_token::VerylToken ; Production { - lhs: 531, - production: &[ParseType::N(533)], + lhs: 530, + production: &[ParseType::N(532)], }, // 315 - Return: ReturnToken : crate::veryl_token::VerylToken ; Production { - lhs: 536, - production: &[ParseType::N(539)], + lhs: 535, + production: &[ParseType::N(538)], }, // 316 - Signed: SignedToken : crate::veryl_token::VerylToken ; Production { - lhs: 554, - production: &[ParseType::N(556)], + lhs: 553, + production: &[ParseType::N(555)], }, // 317 - Step: StepToken : crate::veryl_token::VerylToken ; Production { - lhs: 563, - production: &[ParseType::N(565)], + lhs: 562, + production: &[ParseType::N(564)], }, // 318 - Strin: StringToken : crate::veryl_token::VerylToken ; Production { - lhs: 566, - production: &[ParseType::N(571)], + lhs: 565, + production: &[ParseType::N(570)], }, // 319 - Struct: StructToken : crate::veryl_token::VerylToken ; Production { - lhs: 572, - production: &[ParseType::N(574)], + lhs: 571, + production: &[ParseType::N(573)], }, // 320 - Tri: TriToken : crate::veryl_token::VerylToken ; Production { - lhs: 585, - production: &[ParseType::N(587)], + lhs: 584, + production: &[ParseType::N(586)], }, // 321 - Type: TypeToken : crate::veryl_token::VerylToken ; Production { - lhs: 588, - production: &[ParseType::N(593)], + lhs: 587, + production: &[ParseType::N(592)], }, // 322 - U32: U32Token : crate::veryl_token::VerylToken ; Production { - lhs: 594, - production: &[ParseType::N(596)], + lhs: 593, + production: &[ParseType::N(595)], }, // 323 - U64: U64Token : crate::veryl_token::VerylToken ; Production { - lhs: 597, - production: &[ParseType::N(599)], + lhs: 596, + production: &[ParseType::N(598)], }, // 324 - Union: UnionToken : crate::veryl_token::VerylToken ; Production { - lhs: 603, - production: &[ParseType::N(605)], + lhs: 602, + production: &[ParseType::N(604)], }, // 325 - Var: VarToken : crate::veryl_token::VerylToken ; Production { - lhs: 606, - production: &[ParseType::N(609)], + lhs: 605, + production: &[ParseType::N(608)], }, // 326 - DollarIdentifier: DollarIdentifierToken : crate::veryl_token::VerylToken ; Production { - lhs: 117, - production: &[ParseType::N(119)], + lhs: 116, + production: &[ParseType::N(118)], }, // 327 - Identifier: IdentifierToken : crate::veryl_token::VerylToken ; Production { - lhs: 245, - production: &[ParseType::N(249)], + lhs: 244, + production: &[ParseType::N(248)], }, // 328 - Number: IntegralNumber; Production { - lhs: 419, - production: &[ParseType::N(323)], + lhs: 418, + production: &[ParseType::N(322)], }, // 329 - Number: RealNumber; Production { - lhs: 419, - production: &[ParseType::N(514)], + lhs: 418, + production: &[ParseType::N(513)], }, // 330 - IntegralNumber: Based; Production { - lhs: 323, + lhs: 322, production: &[ParseType::N(54)], }, // 331 - IntegralNumber: BaseLess; Production { - lhs: 323, + lhs: 322, production: &[ParseType::N(51)], }, // 332 - IntegralNumber: AllBit; Production { - lhs: 323, + lhs: 322, production: &[ParseType::N(0)], }, // 333 - RealNumber: FixedPoint; Production { - lhs: 514, - production: &[ParseType::N(211)], + lhs: 513, + production: &[ParseType::N(210)], }, // 334 - RealNumber: Exponent; Production { - lhs: 514, - production: &[ParseType::N(156)], + lhs: 513, + production: &[ParseType::N(155)], }, // 335 - HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; Production { - lhs: 235, - production: &[ParseType::N(237), ParseType::N(236), ParseType::N(245)], + lhs: 234, + production: &[ParseType::N(236), ParseType::N(235), ParseType::N(244)], }, // 336 - HierarchicalIdentifierList0: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; Production { - lhs: 237, + lhs: 236, production: &[ + ParseType::N(236), ParseType::N(237), - ParseType::N(238), - ParseType::N(245), - ParseType::N(120), + ParseType::N(244), + ParseType::N(119), ], }, // 337 - HierarchicalIdentifierList0List: Select HierarchicalIdentifierList0List; Production { - lhs: 238, - production: &[ParseType::N(238), ParseType::N(548)], + lhs: 237, + production: &[ParseType::N(237), ParseType::N(547)], }, // 338 - HierarchicalIdentifierList0List: ; Production { - lhs: 238, + lhs: 237, production: &[], }, // 339 - HierarchicalIdentifierList0: ; Production { - lhs: 237, + lhs: 236, production: &[], }, // 340 - HierarchicalIdentifierList: Select HierarchicalIdentifierList; Production { - lhs: 236, - production: &[ParseType::N(236), ParseType::N(548)], + lhs: 235, + production: &[ParseType::N(235), ParseType::N(547)], }, // 341 - HierarchicalIdentifierList: ; Production { - lhs: 236, + lhs: 235, production: &[], }, // 342 - ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; Production { - lhs: 543, - production: &[ParseType::N(545), ParseType::N(544)], + lhs: 542, + production: &[ParseType::N(544), ParseType::N(543)], }, // 343 - ScopedIdentifierGroup: DollarIdentifier; Production { - lhs: 544, - production: &[ParseType::N(117)], + lhs: 543, + production: &[ParseType::N(116)], }, // 344 - ScopedIdentifierGroup: Identifier ScopedIdentifierOpt /* Option */; Production { - lhs: 544, - production: &[ParseType::N(546), ParseType::N(245)], + lhs: 543, + production: &[ParseType::N(545), ParseType::N(244)], }, // 345 - ScopedIdentifierList: ColonColon Identifier ScopedIdentifierOpt0 /* Option */ ScopedIdentifierList; Production { - lhs: 545, + lhs: 544, production: &[ - ParseType::N(545), - ParseType::N(547), - ParseType::N(245), - ParseType::N(89), + ParseType::N(544), + ParseType::N(546), + ParseType::N(244), + ParseType::N(88), ], }, // 346 - ScopedIdentifierList: ; Production { - lhs: 545, + lhs: 544, production: &[], }, // 347 - ScopedIdentifierOpt0: WithGenericArgument; Production { - lhs: 547, - production: &[ParseType::N(617)], + lhs: 546, + production: &[ParseType::N(616)], }, // 348 - ScopedIdentifierOpt0: ; Production { - lhs: 547, + lhs: 546, production: &[], }, // 349 - ScopedIdentifierOpt: WithGenericArgument; Production { - lhs: 546, - production: &[ParseType::N(617)], + lhs: 545, + production: &[ParseType::N(616)], }, // 350 - ScopedIdentifierOpt: ; Production { - lhs: 546, + lhs: 545, production: &[], }, // 351 - ExpressionIdentifier: ScopedIdentifier ExpressionIdentifierList /* Vec */ ExpressionIdentifierList0 /* Vec */; Production { - lhs: 192, - production: &[ParseType::N(194), ParseType::N(193), ParseType::N(543)], + lhs: 191, + production: &[ParseType::N(193), ParseType::N(192), ParseType::N(542)], }, // 352 - ExpressionIdentifierList0: Dot Identifier ExpressionIdentifierList0List /* Vec */ ExpressionIdentifierList0; Production { - lhs: 194, + lhs: 193, production: &[ + ParseType::N(193), ParseType::N(194), - ParseType::N(195), - ParseType::N(245), - ParseType::N(120), + ParseType::N(244), + ParseType::N(119), ], }, // 353 - ExpressionIdentifierList0List: Select ExpressionIdentifierList0List; Production { - lhs: 195, - production: &[ParseType::N(195), ParseType::N(548)], + lhs: 194, + production: &[ParseType::N(194), ParseType::N(547)], }, // 354 - ExpressionIdentifierList0List: ; Production { - lhs: 195, + lhs: 194, production: &[], }, // 355 - ExpressionIdentifierList0: ; Production { - lhs: 194, + lhs: 193, production: &[], }, // 356 - ExpressionIdentifierList: Select ExpressionIdentifierList; Production { - lhs: 193, - production: &[ParseType::N(193), ParseType::N(548)], + lhs: 192, + production: &[ParseType::N(192), ParseType::N(547)], }, // 357 - ExpressionIdentifierList: ; Production { - lhs: 193, + lhs: 192, production: &[], }, // 358 - Expression: Expression01 ExpressionList /* Vec */; Production { - lhs: 165, - production: &[ParseType::N(196), ParseType::N(166)], + lhs: 164, + production: &[ParseType::N(195), ParseType::N(165)], }, // 359 - ExpressionList: Operator01 Expression01 ExpressionList; Production { - lhs: 196, - production: &[ParseType::N(196), ParseType::N(166), ParseType::N(420)], + lhs: 195, + production: &[ParseType::N(195), ParseType::N(165), ParseType::N(419)], }, // 360 - ExpressionList: ; Production { - lhs: 196, + lhs: 195, production: &[], }, // 361 - Expression01: Expression02 Expression01List /* Vec */; Production { - lhs: 166, - production: &[ParseType::N(167), ParseType::N(168)], + lhs: 165, + production: &[ParseType::N(166), ParseType::N(167)], }, // 362 - Expression01List: Operator02 Expression02 Expression01List; Production { - lhs: 167, - production: &[ParseType::N(167), ParseType::N(168), ParseType::N(423)], + lhs: 166, + production: &[ParseType::N(166), ParseType::N(167), ParseType::N(422)], }, // 363 - Expression01List: ; Production { - lhs: 167, + lhs: 166, production: &[], }, // 364 - Expression02: Expression03 Expression02List /* Vec */; Production { - lhs: 168, - production: &[ParseType::N(169), ParseType::N(170)], + lhs: 167, + production: &[ParseType::N(168), ParseType::N(169)], }, // 365 - Expression02List: Operator03 Expression03 Expression02List; Production { - lhs: 169, - production: &[ParseType::N(169), ParseType::N(170), ParseType::N(426)], + lhs: 168, + production: &[ParseType::N(168), ParseType::N(169), ParseType::N(425)], }, // 366 - Expression02List: ; Production { - lhs: 169, + lhs: 168, production: &[], }, // 367 - Expression03: Expression04 Expression03List /* Vec */; Production { - lhs: 170, - production: &[ParseType::N(171), ParseType::N(172)], + lhs: 169, + production: &[ParseType::N(170), ParseType::N(171)], }, // 368 - Expression03List: Operator04 Expression04 Expression03List; Production { - lhs: 171, - production: &[ParseType::N(171), ParseType::N(172), ParseType::N(429)], + lhs: 170, + production: &[ParseType::N(170), ParseType::N(171), ParseType::N(428)], }, // 369 - Expression03List: ; Production { - lhs: 171, + lhs: 170, production: &[], }, // 370 - Expression04: Expression05 Expression04List /* Vec */; Production { - lhs: 172, - production: &[ParseType::N(173), ParseType::N(174)], + lhs: 171, + production: &[ParseType::N(172), ParseType::N(173)], }, // 371 - Expression04List: Operator05 Expression05 Expression04List; Production { - lhs: 173, - production: &[ParseType::N(173), ParseType::N(174), ParseType::N(432)], + lhs: 172, + production: &[ParseType::N(172), ParseType::N(173), ParseType::N(431)], }, // 372 - Expression04List: ; Production { - lhs: 173, + lhs: 172, production: &[], }, // 373 - Expression05: Expression06 Expression05List /* Vec */; Production { - lhs: 174, - production: &[ParseType::N(175), ParseType::N(176)], + lhs: 173, + production: &[ParseType::N(174), ParseType::N(175)], }, // 374 - Expression05List: Operator06 Expression06 Expression05List; Production { - lhs: 175, - production: &[ParseType::N(175), ParseType::N(176), ParseType::N(435)], + lhs: 174, + production: &[ParseType::N(174), ParseType::N(175), ParseType::N(434)], }, // 375 - Expression05List: ; Production { - lhs: 175, + lhs: 174, production: &[], }, // 376 - Expression06: Expression07 Expression06List /* Vec */; Production { - lhs: 176, - production: &[ParseType::N(177), ParseType::N(178)], + lhs: 175, + production: &[ParseType::N(176), ParseType::N(177)], }, // 377 - Expression06List: Operator07 Expression07 Expression06List; Production { - lhs: 177, - production: &[ParseType::N(177), ParseType::N(178), ParseType::N(438)], + lhs: 176, + production: &[ParseType::N(176), ParseType::N(177), ParseType::N(437)], }, // 378 - Expression06List: ; Production { - lhs: 177, + lhs: 176, production: &[], }, // 379 - Expression07: Expression08 Expression07List /* Vec */; Production { - lhs: 178, - production: &[ParseType::N(179), ParseType::N(180)], + lhs: 177, + production: &[ParseType::N(178), ParseType::N(179)], }, // 380 - Expression07List: Operator08 Expression08 Expression07List; Production { - lhs: 179, - production: &[ParseType::N(179), ParseType::N(180), ParseType::N(441)], + lhs: 178, + production: &[ParseType::N(178), ParseType::N(179), ParseType::N(440)], }, // 381 - Expression07List: ; Production { - lhs: 179, + lhs: 178, production: &[], }, // 382 - Expression08: Expression09 Expression08List /* Vec */; Production { - lhs: 180, - production: &[ParseType::N(181), ParseType::N(182)], + lhs: 179, + production: &[ParseType::N(180), ParseType::N(181)], }, // 383 - Expression08List: Operator09 Expression09 Expression08List; Production { - lhs: 181, - production: &[ParseType::N(181), ParseType::N(182), ParseType::N(444)], + lhs: 180, + production: &[ParseType::N(180), ParseType::N(181), ParseType::N(443)], }, // 384 - Expression08List: ; Production { - lhs: 181, + lhs: 180, production: &[], }, // 385 - Expression09: Expression10 Expression09List /* Vec */; Production { - lhs: 182, - production: &[ParseType::N(183), ParseType::N(185)], + lhs: 181, + production: &[ParseType::N(182), ParseType::N(184)], }, // 386 - Expression09List: Expression09ListGroup Expression10 Expression09List; Production { - lhs: 183, - production: &[ParseType::N(183), ParseType::N(185), ParseType::N(184)], + lhs: 182, + production: &[ParseType::N(182), ParseType::N(184), ParseType::N(183)], }, // 387 - Expression09ListGroup: Operator10; Production { - lhs: 184, - production: &[ParseType::N(447)], + lhs: 183, + production: &[ParseType::N(446)], }, // 388 - Expression09ListGroup: Star; Production { - lhs: 184, - production: &[ParseType::N(557)], + lhs: 183, + production: &[ParseType::N(556)], }, // 389 - Expression09List: ; Production { - lhs: 183, + lhs: 182, production: &[], }, // 390 - Expression10: Expression11 Expression10List /* Vec */; Production { - lhs: 185, - production: &[ParseType::N(186), ParseType::N(187)], + lhs: 184, + production: &[ParseType::N(185), ParseType::N(186)], }, // 391 - Expression10List: Operator11 Expression11 Expression10List; Production { - lhs: 186, - production: &[ParseType::N(186), ParseType::N(187), ParseType::N(450)], + lhs: 185, + production: &[ParseType::N(185), ParseType::N(186), ParseType::N(449)], }, // 392 - Expression10List: ; Production { - lhs: 186, + lhs: 185, production: &[], }, // 393 - Expression11: Expression12 Expression11List /* Vec */; Production { - lhs: 187, - production: &[ParseType::N(188), ParseType::N(189)], + lhs: 186, + production: &[ParseType::N(187), ParseType::N(188)], }, // 394 - Expression11List: As ScopedIdentifier Expression11List; Production { - lhs: 188, - production: &[ParseType::N(188), ParseType::N(543), ParseType::N(33)], + lhs: 187, + production: &[ParseType::N(187), ParseType::N(542), ParseType::N(33)], }, // 395 - Expression11List: ; Production { - lhs: 188, + lhs: 187, production: &[], }, // 396 - Expression12: Expression12List /* Vec */ Factor; Production { - lhs: 189, - production: &[ParseType::N(203), ParseType::N(190)], + lhs: 188, + production: &[ParseType::N(202), ParseType::N(189)], }, // 397 - Expression12List: Expression12ListGroup Expression12List; Production { - lhs: 190, - production: &[ParseType::N(190), ParseType::N(191)], + lhs: 189, + production: &[ParseType::N(189), ParseType::N(190)], }, // 398 - Expression12ListGroup: UnaryOperator; Production { - lhs: 191, - production: &[ParseType::N(600)], + lhs: 190, + production: &[ParseType::N(599)], }, // 399 - Expression12ListGroup: Operator09; Production { - lhs: 191, - production: &[ParseType::N(444)], + lhs: 190, + production: &[ParseType::N(443)], }, // 400 - Expression12ListGroup: Operator05; Production { - lhs: 191, - production: &[ParseType::N(432)], + lhs: 190, + production: &[ParseType::N(431)], }, // 401 - Expression12ListGroup: Operator03; Production { - lhs: 191, - production: &[ParseType::N(426)], + lhs: 190, + production: &[ParseType::N(425)], }, // 402 - Expression12ListGroup: Operator04; Production { - lhs: 191, - production: &[ParseType::N(429)], + lhs: 190, + production: &[ParseType::N(428)], }, // 403 - Expression12List: ; Production { - lhs: 190, + lhs: 189, production: &[], }, // 404 - Factor: Number; Production { - lhs: 203, - production: &[ParseType::N(419)], + lhs: 202, + production: &[ParseType::N(418)], }, // 405 - Factor: ExpressionIdentifier FactorOpt /* Option */; Production { - lhs: 203, - production: &[ParseType::N(205), ParseType::N(192)], + lhs: 202, + production: &[ParseType::N(204), ParseType::N(191)], }, // 406 - Factor: LParen Expression RParen; Production { - lhs: 203, - production: &[ParseType::N(504), ParseType::N(165), ParseType::N(356)], + lhs: 202, + production: &[ParseType::N(503), ParseType::N(164), ParseType::N(355)], }, // 407 - Factor: LBrace ConcatenationList RBrace; Production { - lhs: 203, - production: &[ParseType::N(498), ParseType::N(105), ParseType::N(350)], + lhs: 202, + production: &[ParseType::N(497), ParseType::N(104), ParseType::N(349)], }, // 408 - Factor: QuoteLBrace ArrayLiteralList RBrace; Production { - lhs: 203, - production: &[ParseType::N(498), ParseType::N(28), ParseType::N(492)], + lhs: 202, + production: &[ParseType::N(497), ParseType::N(28), ParseType::N(491)], }, // 409 - Factor: IfExpression; Production { - lhs: 203, - production: &[ParseType::N(251)], + lhs: 202, + production: &[ParseType::N(250)], }, // 410 - Factor: CaseExpression; Production { - lhs: 203, - production: &[ParseType::N(65)], + lhs: 202, + production: &[ParseType::N(67)], }, // 411 - Factor: StringLiteral; Production { - lhs: 203, - production: &[ParseType::N(567)], + lhs: 202, + production: &[ParseType::N(566)], }, // 412 - Factor: FactorGroup; Production { - lhs: 203, - production: &[ParseType::N(204)], + lhs: 202, + production: &[ParseType::N(203)], }, // 413 - FactorGroup: Msb; Production { - lhs: 204, - production: &[ParseType::N(416)], + lhs: 203, + production: &[ParseType::N(415)], }, // 414 - FactorGroup: Lsb; Production { - lhs: 204, - production: &[ParseType::N(372)], + lhs: 203, + production: &[ParseType::N(371)], }, // 415 - Factor: InsideExpression; Production { - lhs: 203, - production: &[ParseType::N(294)], + lhs: 202, + production: &[ParseType::N(293)], }, // 416 - Factor: OutsideExpression; Production { - lhs: 203, - production: &[ParseType::N(457)], + lhs: 202, + production: &[ParseType::N(456)], }, // 417 - FactorOpt: FunctionCall; Production { - lhs: 205, - production: &[ParseType::N(222)], + lhs: 204, + production: &[ParseType::N(221)], }, // 418 - FactorOpt: ; Production { - lhs: 205, + lhs: 204, production: &[], }, // 419 - FunctionCall: LParen FunctionCallOpt /* Option */ RParen; Production { - lhs: 222, - production: &[ParseType::N(504), ParseType::N(223), ParseType::N(356)], + lhs: 221, + production: &[ParseType::N(503), ParseType::N(222), ParseType::N(355)], }, // 420 - FunctionCallOpt: ArgumentList; Production { - lhs: 223, + lhs: 222, production: &[ParseType::N(20)], }, // 421 - FunctionCallOpt: ; Production { - lhs: 223, + lhs: 222, production: &[], }, // 422 - ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; @@ -18785,7 +18778,7 @@ pub const PRODUCTIONS: &[Production; 916] = &[ // 423 - ArgumentListList: Comma ArgumentItem ArgumentListList; Production { lhs: 21, - production: &[ParseType::N(21), ParseType::N(19), ParseType::N(97)], + production: &[ParseType::N(21), ParseType::N(19), ParseType::N(96)], }, // 424 - ArgumentListList: ; Production { @@ -18795,7 +18788,7 @@ pub const PRODUCTIONS: &[Production; 916] = &[ // 425 - ArgumentListOpt: Comma; Production { lhs: 22, - production: &[ParseType::N(97)], + production: &[ParseType::N(96)], }, // 426 - ArgumentListOpt: ; Production { @@ -18805,46 +18798,46 @@ pub const PRODUCTIONS: &[Production; 916] = &[ // 427 - ArgumentItem: Expression; Production { lhs: 19, - production: &[ParseType::N(165)], + production: &[ParseType::N(164)], }, // 428 - ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; Production { - lhs: 105, - production: &[ParseType::N(107), ParseType::N(106), ParseType::N(103)], + lhs: 104, + production: &[ParseType::N(106), ParseType::N(105), ParseType::N(102)], }, // 429 - ConcatenationListList: Comma ConcatenationItem ConcatenationListList; Production { - lhs: 106, - production: &[ParseType::N(106), ParseType::N(103), ParseType::N(97)], + lhs: 105, + production: &[ParseType::N(105), ParseType::N(102), ParseType::N(96)], }, // 430 - ConcatenationListList: ; Production { - lhs: 106, + lhs: 105, production: &[], }, // 431 - ConcatenationListOpt: Comma; Production { - lhs: 107, - production: &[ParseType::N(97)], + lhs: 106, + production: &[ParseType::N(96)], }, // 432 - ConcatenationListOpt: ; Production { - lhs: 107, + lhs: 106, production: &[], }, // 433 - ConcatenationItem: Expression ConcatenationItemOpt /* Option */; Production { - lhs: 103, - production: &[ParseType::N(104), ParseType::N(165)], + lhs: 102, + production: &[ParseType::N(103), ParseType::N(164)], }, // 434 - ConcatenationItemOpt: Repeat Expression; Production { - lhs: 104, - production: &[ParseType::N(165), ParseType::N(518)], + lhs: 103, + production: &[ParseType::N(164), ParseType::N(517)], }, // 435 - ConcatenationItemOpt: ; Production { - lhs: 104, + lhs: 103, production: &[], }, // 436 - ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; @@ -18855,7 +18848,7 @@ pub const PRODUCTIONS: &[Production; 916] = &[ // 437 - ArrayLiteralListList: Comma ArrayLiteralItem ArrayLiteralListList; Production { lhs: 29, - production: &[ParseType::N(29), ParseType::N(25), ParseType::N(97)], + production: &[ParseType::N(29), ParseType::N(25), ParseType::N(96)], }, // 438 - ArrayLiteralListList: ; Production { @@ -18865,7 +18858,7 @@ pub const PRODUCTIONS: &[Production; 916] = &[ // 439 - ArrayLiteralListOpt: Comma; Production { lhs: 30, - production: &[ParseType::N(97)], + production: &[ParseType::N(96)], }, // 440 - ArrayLiteralListOpt: ; Production { @@ -18880,17 +18873,17 @@ pub const PRODUCTIONS: &[Production; 916] = &[ // 442 - ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; Production { lhs: 26, - production: &[ParseType::N(27), ParseType::N(165)], + production: &[ParseType::N(27), ParseType::N(164)], }, // 443 - ArrayLiteralItemGroup: Defaul Colon Expression; Production { lhs: 26, - production: &[ParseType::N(165), ParseType::N(88), ParseType::N(108)], + production: &[ParseType::N(164), ParseType::N(87), ParseType::N(107)], }, // 444 - ArrayLiteralItemOpt: Repeat Expression; Production { lhs: 27, - production: &[ParseType::N(165), ParseType::N(518)], + production: &[ParseType::N(164), ParseType::N(517)], }, // 445 - ArrayLiteralItemOpt: ; Production { @@ -18899,2733 +18892,2716 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 446 - IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; Production { - lhs: 251, + lhs: 250, production: &[ - ParseType::N(498), - ParseType::N(165), - ParseType::N(350), - ParseType::N(129), - ParseType::N(252), - ParseType::N(498), - ParseType::N(165), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), + ParseType::N(497), + ParseType::N(164), + ParseType::N(349), + ParseType::N(128), + ParseType::N(251), + ParseType::N(497), + ParseType::N(164), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), ], }, // 447 - IfExpressionList: Else If Expression LBrace Expression RBrace IfExpressionList; Production { - lhs: 252, + lhs: 251, production: &[ - ParseType::N(252), - ParseType::N(498), - ParseType::N(165), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(251), + ParseType::N(497), + ParseType::N(164), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, // 448 - IfExpressionList: ; Production { - lhs: 252, + lhs: 251, production: &[], }, - // 449 - CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; + // 449 - CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma CaseExpressionList /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; Production { - lhs: 65, + lhs: 67, production: &[ - ParseType::N(498), + ParseType::N(497), ParseType::N(69), - ParseType::N(165), - ParseType::N(88), - ParseType::N(108), - ParseType::N(67), - ParseType::N(97), - ParseType::N(165), - ParseType::N(88), - ParseType::N(66), - ParseType::N(165), - ParseType::N(350), - ParseType::N(165), + ParseType::N(164), + ParseType::N(87), + ParseType::N(107), + ParseType::N(68), + ParseType::N(96), + ParseType::N(164), + ParseType::N(87), + ParseType::N(65), + ParseType::N(349), + ParseType::N(164), ParseType::N(64), ], }, - // 450 - CaseExpressionList0: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; + // 450 - CaseExpressionList: CaseCondition Colon Expression Comma CaseExpressionList; Production { - lhs: 67, + lhs: 68, production: &[ - ParseType::N(67), - ParseType::N(97), - ParseType::N(165), - ParseType::N(88), ParseType::N(68), - ParseType::N(165), + ParseType::N(96), + ParseType::N(164), + ParseType::N(87), + ParseType::N(65), ], }, - // 451 - CaseExpressionList0List: Comma Expression CaseExpressionList0List; + // 451 - CaseExpressionList: ; Production { lhs: 68, - production: &[ParseType::N(68), ParseType::N(165), ParseType::N(97)], - }, - // 452 - CaseExpressionList0List: ; - Production { - lhs: 68, - production: &[], - }, - // 453 - CaseExpressionList0: ; - Production { - lhs: 67, - production: &[], - }, - // 454 - CaseExpressionList: Comma Expression CaseExpressionList; - Production { - lhs: 66, - production: &[ParseType::N(66), ParseType::N(165), ParseType::N(97)], - }, - // 455 - CaseExpressionList: ; - Production { - lhs: 66, production: &[], }, - // 456 - CaseExpressionOpt: Comma; + // 452 - CaseExpressionOpt: Comma; Production { lhs: 69, - production: &[ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 457 - CaseExpressionOpt: ; + // 453 - CaseExpressionOpt: ; Production { lhs: 69, production: &[], }, - // 458 - TypeExpression: ScalarType; + // 454 - TypeExpression: ScalarType; Production { - lhs: 590, - production: &[ParseType::N(540)], + lhs: 589, + production: &[ParseType::N(539)], }, - // 459 - TypeExpression: Type LParen Expression RParen; + // 455 - TypeExpression: Type LParen Expression RParen; Production { - lhs: 590, + lhs: 589, production: &[ - ParseType::N(504), - ParseType::N(165), - ParseType::N(356), - ParseType::N(588), + ParseType::N(503), + ParseType::N(164), + ParseType::N(355), + ParseType::N(587), ], }, - // 460 - InsideExpression: Inside Expression LBrace RangeList RBrace; + // 456 - InsideExpression: Inside Expression LBrace RangeList RBrace; Production { - lhs: 294, + lhs: 293, production: &[ - ParseType::N(498), - ParseType::N(509), - ParseType::N(350), - ParseType::N(165), - ParseType::N(293), + ParseType::N(497), + ParseType::N(508), + ParseType::N(349), + ParseType::N(164), + ParseType::N(292), ], }, - // 461 - OutsideExpression: Outside Expression LBrace RangeList RBrace; + // 457 - OutsideExpression: Outside Expression LBrace RangeList RBrace; Production { - lhs: 457, + lhs: 456, production: &[ - ParseType::N(498), - ParseType::N(509), - ParseType::N(350), - ParseType::N(165), - ParseType::N(456), + ParseType::N(497), + ParseType::N(508), + ParseType::N(349), + ParseType::N(164), + ParseType::N(455), ], }, - // 462 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; + // 458 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; Production { - lhs: 509, - production: &[ParseType::N(511), ParseType::N(510), ParseType::N(508)], + lhs: 508, + production: &[ParseType::N(510), ParseType::N(509), ParseType::N(507)], }, - // 463 - RangeListList: Comma RangeItem RangeListList; + // 459 - RangeListList: Comma RangeItem RangeListList; Production { - lhs: 510, - production: &[ParseType::N(510), ParseType::N(508), ParseType::N(97)], + lhs: 509, + production: &[ParseType::N(509), ParseType::N(507), ParseType::N(96)], }, - // 464 - RangeListList: ; + // 460 - RangeListList: ; Production { - lhs: 510, + lhs: 509, production: &[], }, - // 465 - RangeListOpt: Comma; + // 461 - RangeListOpt: Comma; Production { - lhs: 511, - production: &[ParseType::N(97)], + lhs: 510, + production: &[ParseType::N(96)], }, - // 466 - RangeListOpt: ; + // 462 - RangeListOpt: ; Production { - lhs: 511, + lhs: 510, production: &[], }, - // 467 - RangeItem: Range; + // 463 - RangeItem: Range; Production { - lhs: 508, - production: &[ParseType::N(507)], + lhs: 507, + production: &[ParseType::N(506)], }, - // 468 - Select: LBracket Expression SelectOpt /* Option */ RBracket; + // 464 - Select: LBracket Expression SelectOpt /* Option */ RBracket; Production { - lhs: 548, + lhs: 547, production: &[ - ParseType::N(501), - ParseType::N(550), - ParseType::N(165), - ParseType::N(353), + ParseType::N(500), + ParseType::N(549), + ParseType::N(164), + ParseType::N(352), ], }, - // 469 - SelectOpt: SelectOperator Expression; + // 465 - SelectOpt: SelectOperator Expression; Production { - lhs: 550, - production: &[ParseType::N(165), ParseType::N(549)], + lhs: 549, + production: &[ParseType::N(164), ParseType::N(548)], }, - // 470 - SelectOpt: ; + // 466 - SelectOpt: ; Production { - lhs: 550, + lhs: 549, production: &[], }, - // 471 - SelectOperator: Colon; + // 467 - SelectOperator: Colon; Production { - lhs: 549, - production: &[ParseType::N(88)], + lhs: 548, + production: &[ParseType::N(87)], }, - // 472 - SelectOperator: PlusColon; + // 468 - SelectOperator: PlusColon; Production { - lhs: 549, - production: &[ParseType::N(475)], + lhs: 548, + production: &[ParseType::N(474)], }, - // 473 - SelectOperator: MinusColon; + // 469 - SelectOperator: MinusColon; Production { - lhs: 549, - production: &[ParseType::N(375)], + lhs: 548, + production: &[ParseType::N(374)], }, - // 474 - SelectOperator: Step; + // 470 - SelectOperator: Step; Production { - lhs: 549, - production: &[ParseType::N(563)], + lhs: 548, + production: &[ParseType::N(562)], }, - // 475 - Width: LAngle Expression WidthList /* Vec */ RAngle; + // 471 - Width: LAngle Expression WidthList /* Vec */ RAngle; Production { - lhs: 615, + lhs: 614, production: &[ - ParseType::N(495), - ParseType::N(616), - ParseType::N(165), - ParseType::N(347), + ParseType::N(494), + ParseType::N(615), + ParseType::N(164), + ParseType::N(346), ], }, - // 476 - WidthList: Comma Expression WidthList; + // 472 - WidthList: Comma Expression WidthList; Production { - lhs: 616, - production: &[ParseType::N(616), ParseType::N(165), ParseType::N(97)], + lhs: 615, + production: &[ParseType::N(615), ParseType::N(164), ParseType::N(96)], }, - // 477 - WidthList: ; + // 473 - WidthList: ; Production { - lhs: 616, + lhs: 615, production: &[], }, - // 478 - Array: LBracket Expression ArrayList /* Vec */ RBracket; + // 474 - Array: LBracket Expression ArrayList /* Vec */ RBracket; Production { lhs: 23, production: &[ - ParseType::N(501), + ParseType::N(500), ParseType::N(24), - ParseType::N(165), - ParseType::N(353), + ParseType::N(164), + ParseType::N(352), ], }, - // 479 - ArrayList: Comma Expression ArrayList; + // 475 - ArrayList: Comma Expression ArrayList; Production { lhs: 24, - production: &[ParseType::N(24), ParseType::N(165), ParseType::N(97)], + production: &[ParseType::N(24), ParseType::N(164), ParseType::N(96)], }, - // 480 - ArrayList: ; + // 476 - ArrayList: ; Production { lhs: 24, production: &[], }, - // 481 - Range: Expression RangeOpt /* Option */; + // 477 - Range: Expression RangeOpt /* Option */; Production { - lhs: 507, - production: &[ParseType::N(513), ParseType::N(165)], + lhs: 506, + production: &[ParseType::N(512), ParseType::N(164)], }, - // 482 - RangeOpt: RangeOperator Expression; + // 478 - RangeOpt: RangeOperator Expression; Production { - lhs: 513, - production: &[ParseType::N(165), ParseType::N(512)], + lhs: 512, + production: &[ParseType::N(164), ParseType::N(511)], }, - // 483 - RangeOpt: ; + // 479 - RangeOpt: ; Production { - lhs: 513, + lhs: 512, production: &[], }, - // 484 - RangeOperator: DotDot; + // 480 - RangeOperator: DotDot; Production { - lhs: 512, + lhs: 511, + production: &[ParseType::N(120)], + }, + // 481 - RangeOperator: DotDotEqu; + Production { + lhs: 511, production: &[ParseType::N(121)], }, - // 485 - RangeOperator: DotDotEqu; + // 482 - FixedType: U32; Production { - lhs: 512, - production: &[ParseType::N(122)], + lhs: 213, + production: &[ParseType::N(593)], }, - // 486 - FixedType: U32; + // 483 - FixedType: U64; Production { - lhs: 214, - production: &[ParseType::N(594)], + lhs: 213, + production: &[ParseType::N(596)], }, - // 487 - FixedType: U64; + // 484 - FixedType: I32; Production { - lhs: 214, - production: &[ParseType::N(597)], + lhs: 213, + production: &[ParseType::N(238)], }, - // 488 - FixedType: I32; + // 485 - FixedType: I64; Production { - lhs: 214, - production: &[ParseType::N(239)], + lhs: 213, + production: &[ParseType::N(241)], }, - // 489 - FixedType: I64; + // 486 - FixedType: F32; Production { - lhs: 214, - production: &[ParseType::N(242)], + lhs: 213, + production: &[ParseType::N(196)], }, - // 490 - FixedType: F32; + // 487 - FixedType: F64; Production { - lhs: 214, - production: &[ParseType::N(197)], + lhs: 213, + production: &[ParseType::N(199)], }, - // 491 - FixedType: F64; + // 488 - FixedType: Strin; Production { - lhs: 214, - production: &[ParseType::N(200)], + lhs: 213, + production: &[ParseType::N(565)], }, - // 492 - FixedType: Strin; + // 489 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; Production { - lhs: 214, - production: &[ParseType::N(566)], + lhs: 609, + production: &[ParseType::N(611), ParseType::N(610)], }, - // 493 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; + // 490 - VariableTypeGroup: Clock; Production { lhs: 610, - production: &[ParseType::N(612), ParseType::N(611)], + production: &[ParseType::N(78)], }, - // 494 - VariableTypeGroup: Clock; + // 491 - VariableTypeGroup: ClockPosedge; Production { - lhs: 611, - production: &[ParseType::N(79)], + lhs: 610, + production: &[ParseType::N(82)], }, - // 495 - VariableTypeGroup: ClockPosedge; + // 492 - VariableTypeGroup: ClockNegedge; Production { - lhs: 611, - production: &[ParseType::N(83)], + lhs: 610, + production: &[ParseType::N(79)], }, - // 496 - VariableTypeGroup: ClockNegedge; + // 493 - VariableTypeGroup: Reset; Production { - lhs: 611, - production: &[ParseType::N(80)], + lhs: 610, + production: &[ParseType::N(520)], }, - // 497 - VariableTypeGroup: Reset; + // 494 - VariableTypeGroup: ResetAsyncHigh; Production { - lhs: 611, + lhs: 610, production: &[ParseType::N(521)], }, - // 498 - VariableTypeGroup: ResetAsyncHigh; + // 495 - VariableTypeGroup: ResetAsyncLow; Production { - lhs: 611, - production: &[ParseType::N(522)], + lhs: 610, + production: &[ParseType::N(524)], }, - // 499 - VariableTypeGroup: ResetAsyncLow; + // 496 - VariableTypeGroup: ResetSyncHigh; Production { - lhs: 611, - production: &[ParseType::N(525)], + lhs: 610, + production: &[ParseType::N(527)], }, - // 500 - VariableTypeGroup: ResetSyncHigh; + // 497 - VariableTypeGroup: ResetSyncLow; Production { - lhs: 611, - production: &[ParseType::N(528)], + lhs: 610, + production: &[ParseType::N(530)], }, - // 501 - VariableTypeGroup: ResetSyncLow; + // 498 - VariableTypeGroup: Logic; Production { - lhs: 611, - production: &[ParseType::N(531)], + lhs: 610, + production: &[ParseType::N(368)], }, - // 502 - VariableTypeGroup: Logic; + // 499 - VariableTypeGroup: Bit; Production { - lhs: 611, - production: &[ParseType::N(369)], + lhs: 610, + production: &[ParseType::N(57)], }, - // 503 - VariableTypeGroup: Bit; + // 500 - VariableTypeGroup: ScopedIdentifier; Production { - lhs: 611, - production: &[ParseType::N(57)], + lhs: 610, + production: &[ParseType::N(542)], }, - // 504 - VariableTypeGroup: ScopedIdentifier; + // 501 - VariableTypeOpt: Width; Production { lhs: 611, - production: &[ParseType::N(543)], + production: &[ParseType::N(614)], }, - // 505 - VariableTypeOpt: Width; + // 502 - VariableTypeOpt: ; Production { - lhs: 612, - production: &[ParseType::N(615)], + lhs: 611, + production: &[], }, - // 506 - VariableTypeOpt: ; + // 503 - TypeModifier: Tri; Production { - lhs: 612, - production: &[], + lhs: 590, + production: &[ParseType::N(584)], }, - // 507 - TypeModifier: Tri; + // 504 - TypeModifier: Signed; Production { - lhs: 591, - production: &[ParseType::N(585)], + lhs: 590, + production: &[ParseType::N(553)], }, - // 508 - TypeModifier: Signed; + // 505 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; Production { - lhs: 591, - production: &[ParseType::N(554)], + lhs: 539, + production: &[ParseType::N(540), ParseType::N(541)], }, - // 509 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; + // 506 - ScalarTypeGroup: VariableType; Production { lhs: 540, - production: &[ParseType::N(541), ParseType::N(542)], + production: &[ParseType::N(609)], }, - // 510 - ScalarTypeGroup: VariableType; + // 507 - ScalarTypeGroup: FixedType; Production { - lhs: 541, - production: &[ParseType::N(610)], + lhs: 540, + production: &[ParseType::N(213)], }, - // 511 - ScalarTypeGroup: FixedType; + // 508 - ScalarTypeList: TypeModifier ScalarTypeList; Production { lhs: 541, - production: &[ParseType::N(214)], - }, - // 512 - ScalarTypeList: TypeModifier ScalarTypeList; - Production { - lhs: 542, - production: &[ParseType::N(542), ParseType::N(591)], + production: &[ParseType::N(541), ParseType::N(590)], }, - // 513 - ScalarTypeList: ; + // 509 - ScalarTypeList: ; Production { - lhs: 542, + lhs: 541, production: &[], }, - // 514 - ArrayType: ScalarType ArrayTypeOpt /* Option */; + // 510 - ArrayType: ScalarType ArrayTypeOpt /* Option */; Production { lhs: 31, - production: &[ParseType::N(32), ParseType::N(540)], + production: &[ParseType::N(32), ParseType::N(539)], }, - // 515 - ArrayTypeOpt: Array; + // 511 - ArrayTypeOpt: Array; Production { lhs: 32, production: &[ParseType::N(23)], }, - // 516 - ArrayTypeOpt: ; + // 512 - ArrayTypeOpt: ; Production { lhs: 32, production: &[], }, - // 517 - Statement: LetStatement; + // 513 - Statement: LetStatement; Production { - lhs: 562, - production: &[ParseType::N(361)], + lhs: 561, + production: &[ParseType::N(360)], }, - // 518 - Statement: IdentifierStatement; + // 514 - Statement: IdentifierStatement; Production { - lhs: 562, - production: &[ParseType::N(246)], + lhs: 561, + production: &[ParseType::N(245)], }, - // 519 - Statement: IfStatement; + // 515 - Statement: IfStatement; Production { - lhs: 562, - production: &[ParseType::N(262)], + lhs: 561, + production: &[ParseType::N(261)], }, - // 520 - Statement: IfResetStatement; + // 516 - Statement: IfResetStatement; Production { - lhs: 562, - production: &[ParseType::N(254)], + lhs: 561, + production: &[ParseType::N(253)], }, - // 521 - Statement: ReturnStatement; + // 517 - Statement: ReturnStatement; Production { - lhs: 562, - production: &[ParseType::N(537)], + lhs: 561, + production: &[ParseType::N(536)], }, - // 522 - Statement: BreakStatement; + // 518 - Statement: BreakStatement; Production { - lhs: 562, + lhs: 561, production: &[ParseType::N(61)], }, - // 523 - Statement: ForStatement; + // 519 - Statement: ForStatement; Production { - lhs: 562, - production: &[ParseType::N(216)], + lhs: 561, + production: &[ParseType::N(215)], }, - // 524 - Statement: CaseStatement; + // 520 - Statement: CaseStatement; Production { - lhs: 562, - production: &[ParseType::N(75)], + lhs: 561, + production: &[ParseType::N(74)], }, - // 525 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 521 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; Production { - lhs: 361, + lhs: 360, production: &[ - ParseType::N(551), - ParseType::N(165), - ParseType::N(153), + ParseType::N(550), + ParseType::N(164), + ParseType::N(152), ParseType::N(31), - ParseType::N(88), - ParseType::N(245), - ParseType::N(359), + ParseType::N(87), + ParseType::N(244), + ParseType::N(358), ], }, - // 526 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; + // 522 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; Production { - lhs: 246, - production: &[ParseType::N(551), ParseType::N(247), ParseType::N(192)], + lhs: 245, + production: &[ParseType::N(550), ParseType::N(246), ParseType::N(191)], }, - // 527 - IdentifierStatementGroup: FunctionCall; + // 523 - IdentifierStatementGroup: FunctionCall; Production { - lhs: 247, - production: &[ParseType::N(222)], + lhs: 246, + production: &[ParseType::N(221)], }, - // 528 - IdentifierStatementGroup: Assignment; + // 524 - IdentifierStatementGroup: Assignment; Production { - lhs: 247, + lhs: 246, production: &[ParseType::N(40)], }, - // 529 - Assignment: AssignmentGroup Expression; + // 525 - Assignment: AssignmentGroup Expression; Production { lhs: 40, - production: &[ParseType::N(165), ParseType::N(41)], + production: &[ParseType::N(164), ParseType::N(41)], }, - // 530 - AssignmentGroup: Equ; + // 526 - AssignmentGroup: Equ; Production { lhs: 41, - production: &[ParseType::N(153)], + production: &[ParseType::N(152)], }, - // 531 - AssignmentGroup: AssignmentOperator; + // 527 - AssignmentGroup: AssignmentOperator; Production { lhs: 41, production: &[ParseType::N(42)], }, - // 532 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; + // 528 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; Production { - lhs: 262, + lhs: 261, production: &[ - ParseType::N(266), - ParseType::N(264), - ParseType::N(498), + ParseType::N(265), ParseType::N(263), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), + ParseType::N(497), + ParseType::N(262), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), ], }, - // 533 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; + // 529 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; Production { - lhs: 264, + lhs: 263, production: &[ + ParseType::N(263), + ParseType::N(497), ParseType::N(264), - ParseType::N(498), - ParseType::N(265), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 534 - IfStatementList0List: Statement IfStatementList0List; + // 530 - IfStatementList0List: Statement IfStatementList0List; Production { - lhs: 265, - production: &[ParseType::N(265), ParseType::N(562)], + lhs: 264, + production: &[ParseType::N(264), ParseType::N(561)], }, - // 535 - IfStatementList0List: ; + // 531 - IfStatementList0List: ; Production { - lhs: 265, + lhs: 264, production: &[], }, - // 536 - IfStatementList0: ; + // 532 - IfStatementList0: ; Production { - lhs: 264, + lhs: 263, production: &[], }, - // 537 - IfStatementList: Statement IfStatementList; + // 533 - IfStatementList: Statement IfStatementList; Production { - lhs: 263, - production: &[ParseType::N(263), ParseType::N(562)], + lhs: 262, + production: &[ParseType::N(262), ParseType::N(561)], }, - // 538 - IfStatementList: ; + // 534 - IfStatementList: ; Production { - lhs: 263, + lhs: 262, production: &[], }, - // 539 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; + // 535 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; Production { - lhs: 266, + lhs: 265, production: &[ - ParseType::N(498), - ParseType::N(267), - ParseType::N(350), - ParseType::N(129), + ParseType::N(497), + ParseType::N(266), + ParseType::N(349), + ParseType::N(128), ], }, - // 540 - IfStatementOptList: Statement IfStatementOptList; + // 536 - IfStatementOptList: Statement IfStatementOptList; Production { - lhs: 267, - production: &[ParseType::N(267), ParseType::N(562)], + lhs: 266, + production: &[ParseType::N(266), ParseType::N(561)], }, - // 541 - IfStatementOptList: ; + // 537 - IfStatementOptList: ; Production { - lhs: 267, + lhs: 266, production: &[], }, - // 542 - IfStatementOpt: ; + // 538 - IfStatementOpt: ; Production { - lhs: 266, + lhs: 265, production: &[], }, - // 543 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; + // 539 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; Production { - lhs: 254, + lhs: 253, production: &[ - ParseType::N(258), - ParseType::N(256), - ParseType::N(498), + ParseType::N(257), ParseType::N(255), - ParseType::N(350), - ParseType::N(253), + ParseType::N(497), + ParseType::N(254), + ParseType::N(349), + ParseType::N(252), ], }, - // 544 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; + // 540 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; Production { - lhs: 256, + lhs: 255, production: &[ + ParseType::N(255), + ParseType::N(497), ParseType::N(256), - ParseType::N(498), - ParseType::N(257), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 545 - IfResetStatementList0List: Statement IfResetStatementList0List; - Production { - lhs: 257, - production: &[ParseType::N(257), ParseType::N(562)], - }, - // 546 - IfResetStatementList0List: ; + // 541 - IfResetStatementList0List: Statement IfResetStatementList0List; Production { - lhs: 257, - production: &[], + lhs: 256, + production: &[ParseType::N(256), ParseType::N(561)], }, - // 547 - IfResetStatementList0: ; + // 542 - IfResetStatementList0List: ; Production { lhs: 256, production: &[], }, - // 548 - IfResetStatementList: Statement IfResetStatementList; + // 543 - IfResetStatementList0: ; Production { lhs: 255, - production: &[ParseType::N(255), ParseType::N(562)], + production: &[], }, - // 549 - IfResetStatementList: ; + // 544 - IfResetStatementList: Statement IfResetStatementList; Production { - lhs: 255, + lhs: 254, + production: &[ParseType::N(254), ParseType::N(561)], + }, + // 545 - IfResetStatementList: ; + Production { + lhs: 254, production: &[], }, - // 550 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; + // 546 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; Production { - lhs: 258, + lhs: 257, production: &[ - ParseType::N(498), - ParseType::N(259), - ParseType::N(350), - ParseType::N(129), + ParseType::N(497), + ParseType::N(258), + ParseType::N(349), + ParseType::N(128), ], }, - // 551 - IfResetStatementOptList: Statement IfResetStatementOptList; + // 547 - IfResetStatementOptList: Statement IfResetStatementOptList; Production { - lhs: 259, - production: &[ParseType::N(259), ParseType::N(562)], + lhs: 258, + production: &[ParseType::N(258), ParseType::N(561)], }, - // 552 - IfResetStatementOptList: ; + // 548 - IfResetStatementOptList: ; Production { - lhs: 259, + lhs: 258, production: &[], }, - // 553 - IfResetStatementOpt: ; + // 549 - IfResetStatementOpt: ; Production { - lhs: 258, + lhs: 257, production: &[], }, - // 554 - ReturnStatement: Return Expression Semicolon; + // 550 - ReturnStatement: Return Expression Semicolon; Production { - lhs: 537, - production: &[ParseType::N(551), ParseType::N(165), ParseType::N(536)], + lhs: 536, + production: &[ParseType::N(550), ParseType::N(164), ParseType::N(535)], }, - // 555 - BreakStatement: Break Semicolon; + // 551 - BreakStatement: Break Semicolon; Production { lhs: 61, - production: &[ParseType::N(551), ParseType::N(60)], + production: &[ParseType::N(550), ParseType::N(60)], }, - // 556 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; + // 552 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; Production { - lhs: 216, + lhs: 215, production: &[ - ParseType::N(498), + ParseType::N(497), + ParseType::N(216), + ParseType::N(349), ParseType::N(217), - ParseType::N(350), - ParseType::N(218), - ParseType::N(507), - ParseType::N(275), - ParseType::N(540), - ParseType::N(88), - ParseType::N(245), - ParseType::N(215), + ParseType::N(506), + ParseType::N(274), + ParseType::N(539), + ParseType::N(87), + ParseType::N(244), + ParseType::N(214), ], }, - // 557 - ForStatementList: Statement ForStatementList; + // 553 - ForStatementList: Statement ForStatementList; Production { - lhs: 217, - production: &[ParseType::N(217), ParseType::N(562)], + lhs: 216, + production: &[ParseType::N(216), ParseType::N(561)], }, - // 558 - ForStatementList: ; + // 554 - ForStatementList: ; Production { - lhs: 217, + lhs: 216, production: &[], }, - // 559 - ForStatementOpt: Step AssignmentOperator Expression; + // 555 - ForStatementOpt: Step AssignmentOperator Expression; Production { - lhs: 218, - production: &[ParseType::N(165), ParseType::N(42), ParseType::N(563)], + lhs: 217, + production: &[ParseType::N(164), ParseType::N(42), ParseType::N(562)], }, - // 560 - ForStatementOpt: ; + // 556 - ForStatementOpt: ; Production { - lhs: 218, + lhs: 217, production: &[], }, - // 561 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; + // 557 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; Production { - lhs: 75, + lhs: 74, production: &[ - ParseType::N(498), - ParseType::N(76), - ParseType::N(350), - ParseType::N(165), + ParseType::N(497), + ParseType::N(75), + ParseType::N(349), + ParseType::N(164), ParseType::N(64), ], }, - // 562 - CaseStatementList: CaseItem CaseStatementList; + // 558 - CaseStatementList: CaseItem CaseStatementList; Production { - lhs: 76, - production: &[ParseType::N(76), ParseType::N(70)], + lhs: 75, + production: &[ParseType::N(75), ParseType::N(70)], }, - // 563 - CaseStatementList: ; + // 559 - CaseStatementList: ; Production { - lhs: 76, + lhs: 75, production: &[], }, - // 564 - CaseItem: CaseItemGroup Colon CaseItemGroup0; + // 560 - CaseItem: CaseItemGroup Colon CaseItemGroup0; Production { lhs: 70, - production: &[ParseType::N(72), ParseType::N(88), ParseType::N(71)], + production: &[ParseType::N(72), ParseType::N(87), ParseType::N(71)], }, - // 565 - CaseItemGroup0: Statement; + // 561 - CaseItemGroup0: Statement; Production { lhs: 72, - production: &[ParseType::N(562)], + production: &[ParseType::N(561)], }, - // 566 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; + // 562 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; Production { lhs: 72, - production: &[ParseType::N(498), ParseType::N(73), ParseType::N(350)], + production: &[ParseType::N(497), ParseType::N(73), ParseType::N(349)], }, - // 567 - CaseItemGroup0List: Statement CaseItemGroup0List; + // 563 - CaseItemGroup0List: Statement CaseItemGroup0List; Production { lhs: 73, - production: &[ParseType::N(73), ParseType::N(562)], + production: &[ParseType::N(73), ParseType::N(561)], }, - // 568 - CaseItemGroup0List: ; + // 564 - CaseItemGroup0List: ; Production { lhs: 73, production: &[], }, - // 569 - CaseItemGroup: Expression CaseItemGroupList /* Vec */; + // 565 - CaseItemGroup: CaseCondition; Production { lhs: 71, - production: &[ParseType::N(74), ParseType::N(165)], + production: &[ParseType::N(65)], }, - // 570 - CaseItemGroupList: Comma Expression CaseItemGroupList; + // 566 - CaseItemGroup: Defaul; Production { - lhs: 74, - production: &[ParseType::N(74), ParseType::N(165), ParseType::N(97)], + lhs: 71, + production: &[ParseType::N(107)], }, - // 571 - CaseItemGroupList: ; + // 567 - CaseCondition: Expression CaseConditionList /* Vec */; Production { - lhs: 74, - production: &[], + lhs: 65, + production: &[ParseType::N(66), ParseType::N(164)], }, - // 572 - CaseItemGroup: Defaul; + // 568 - CaseConditionList: Comma Expression CaseConditionList; Production { - lhs: 71, - production: &[ParseType::N(108)], + lhs: 66, + production: &[ParseType::N(66), ParseType::N(164), ParseType::N(96)], + }, + // 569 - CaseConditionList: ; + Production { + lhs: 66, + production: &[], }, - // 573 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; + // 570 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; Production { lhs: 45, production: &[ - ParseType::N(501), + ParseType::N(500), ParseType::N(50), - ParseType::N(245), - ParseType::N(353), - ParseType::N(232), + ParseType::N(244), + ParseType::N(352), + ParseType::N(231), ], }, - // 574 - AttributeOpt: LParen AttributeList RParen; + // 571 - AttributeOpt: LParen AttributeList RParen; Production { lhs: 50, - production: &[ParseType::N(504), ParseType::N(47), ParseType::N(356)], + production: &[ParseType::N(503), ParseType::N(47), ParseType::N(355)], }, - // 575 - AttributeOpt: ; + // 572 - AttributeOpt: ; Production { lhs: 50, production: &[], }, - // 576 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; + // 573 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; Production { lhs: 47, production: &[ParseType::N(49), ParseType::N(48), ParseType::N(46)], }, - // 577 - AttributeListList: Comma AttributeItem AttributeListList; + // 574 - AttributeListList: Comma AttributeItem AttributeListList; Production { lhs: 48, - production: &[ParseType::N(48), ParseType::N(46), ParseType::N(97)], + production: &[ParseType::N(48), ParseType::N(46), ParseType::N(96)], }, - // 578 - AttributeListList: ; + // 575 - AttributeListList: ; Production { lhs: 48, production: &[], }, - // 579 - AttributeListOpt: Comma; + // 576 - AttributeListOpt: Comma; Production { lhs: 49, - production: &[ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 580 - AttributeListOpt: ; + // 577 - AttributeListOpt: ; Production { lhs: 49, production: &[], }, - // 581 - AttributeItem: Identifier; + // 578 - AttributeItem: Identifier; Production { lhs: 46, - production: &[ParseType::N(245)], + production: &[ParseType::N(244)], }, - // 582 - AttributeItem: StringLiteral; + // 579 - AttributeItem: StringLiteral; Production { lhs: 46, - production: &[ParseType::N(567)], + production: &[ParseType::N(566)], }, - // 583 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 580 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; Production { - lhs: 360, + lhs: 359, production: &[ - ParseType::N(551), - ParseType::N(165), - ParseType::N(153), + ParseType::N(550), + ParseType::N(164), + ParseType::N(152), ParseType::N(31), - ParseType::N(88), - ParseType::N(245), - ParseType::N(359), + ParseType::N(87), + ParseType::N(244), + ParseType::N(358), ], }, - // 584 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; + // 581 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; Production { - lhs: 607, + lhs: 606, production: &[ - ParseType::N(551), + ParseType::N(550), ParseType::N(31), - ParseType::N(88), - ParseType::N(245), - ParseType::N(606), + ParseType::N(87), + ParseType::N(244), + ParseType::N(605), ], }, - // 585 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; + // 582 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; Production { - lhs: 365, + lhs: 364, production: &[ - ParseType::N(551), - ParseType::N(366), - ParseType::N(88), - ParseType::N(245), - ParseType::N(364), + ParseType::N(550), + ParseType::N(365), + ParseType::N(87), + ParseType::N(244), + ParseType::N(363), ], }, - // 586 - LocalDeclarationGroup: ArrayType Equ Expression; + // 583 - LocalDeclarationGroup: ArrayType Equ Expression; Production { - lhs: 366, - production: &[ParseType::N(165), ParseType::N(153), ParseType::N(31)], + lhs: 365, + production: &[ParseType::N(164), ParseType::N(152), ParseType::N(31)], }, - // 587 - LocalDeclarationGroup: Type Equ TypeExpression; + // 584 - LocalDeclarationGroup: Type Equ TypeExpression; Production { - lhs: 366, - production: &[ParseType::N(590), ParseType::N(153), ParseType::N(588)], + lhs: 365, + production: &[ParseType::N(589), ParseType::N(152), ParseType::N(587)], }, - // 588 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; + // 585 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; Production { - lhs: 589, + lhs: 588, production: &[ - ParseType::N(551), + ParseType::N(550), ParseType::N(31), - ParseType::N(153), - ParseType::N(245), - ParseType::N(588), + ParseType::N(152), + ParseType::N(244), + ParseType::N(587), ], }, - // 589 - AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; + // 586 - AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; Production { lhs: 12, production: &[ - ParseType::N(498), + ParseType::N(497), ParseType::N(13), - ParseType::N(350), + ParseType::N(349), ParseType::N(14), ParseType::N(10), ], }, - // 590 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; + // 587 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; Production { lhs: 13, - production: &[ParseType::N(13), ParseType::N(562)], + production: &[ParseType::N(13), ParseType::N(561)], }, - // 591 - AlwaysFfDeclarationList: ; + // 588 - AlwaysFfDeclarationList: ; Production { lhs: 13, production: &[], }, - // 592 - AlwaysFfDeclarationOpt: AlwayfFfEventList; + // 589 - AlwaysFfDeclarationOpt: AlwayfFfEventList; Production { lhs: 14, production: &[ParseType::N(3)], }, - // 593 - AlwaysFfDeclarationOpt: ; + // 590 - AlwaysFfDeclarationOpt: ; Production { lhs: 14, production: &[], }, - // 594 - AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; + // 591 - AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; Production { lhs: 3, production: &[ - ParseType::N(504), + ParseType::N(503), ParseType::N(4), ParseType::N(11), - ParseType::N(356), + ParseType::N(355), ], }, - // 595 - AlwayfFfEventListOpt: Comma AlwaysFfReset; + // 592 - AlwayfFfEventListOpt: Comma AlwaysFfReset; Production { lhs: 4, - production: &[ParseType::N(15), ParseType::N(97)], + production: &[ParseType::N(15), ParseType::N(96)], }, - // 596 - AlwayfFfEventListOpt: ; + // 593 - AlwayfFfEventListOpt: ; Production { lhs: 4, production: &[], }, - // 597 - AlwaysFfClock: HierarchicalIdentifier; + // 594 - AlwaysFfClock: HierarchicalIdentifier; Production { lhs: 11, - production: &[ParseType::N(235)], + production: &[ParseType::N(234)], }, - // 598 - AlwaysFfReset: HierarchicalIdentifier; + // 595 - AlwaysFfReset: HierarchicalIdentifier; Production { lhs: 15, - production: &[ParseType::N(235)], + production: &[ParseType::N(234)], }, - // 599 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; + // 596 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; Production { lhs: 6, production: &[ - ParseType::N(498), + ParseType::N(497), ParseType::N(7), - ParseType::N(350), + ParseType::N(349), ParseType::N(5), ], }, - // 600 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; + // 597 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; Production { lhs: 7, - production: &[ParseType::N(7), ParseType::N(562)], + production: &[ParseType::N(7), ParseType::N(561)], }, - // 601 - AlwaysCombDeclarationList: ; + // 598 - AlwaysCombDeclarationList: ; Production { lhs: 7, production: &[], }, - // 602 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; + // 599 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; Production { lhs: 37, production: &[ - ParseType::N(551), - ParseType::N(165), - ParseType::N(153), - ParseType::N(235), + ParseType::N(550), + ParseType::N(164), + ParseType::N(152), + ParseType::N(234), ParseType::N(36), ], }, - // 603 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; + // 600 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; Production { - lhs: 382, + lhs: 381, production: &[ - ParseType::N(498), - ParseType::N(387), - ParseType::N(350), - ParseType::N(245), - ParseType::N(381), + ParseType::N(497), + ParseType::N(386), + ParseType::N(349), + ParseType::N(244), + ParseType::N(380), ], }, - // 604 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; + // 601 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; + Production { + lhs: 386, + production: &[ParseType::N(388), ParseType::N(387), ParseType::N(382)], + }, + // 602 - ModportListList: Comma ModportGroup ModportListList; + Production { + lhs: 387, + production: &[ParseType::N(387), ParseType::N(382), ParseType::N(96)], + }, + // 603 - ModportListList: ; Production { lhs: 387, - production: &[ParseType::N(389), ParseType::N(388), ParseType::N(383)], + production: &[], }, - // 605 - ModportListList: Comma ModportGroup ModportListList; + // 604 - ModportListOpt: Comma; Production { lhs: 388, - production: &[ParseType::N(388), ParseType::N(383), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 606 - ModportListList: ; + // 605 - ModportListOpt: ; Production { lhs: 388, production: &[], }, - // 607 - ModportListOpt: Comma; + // 606 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; Production { - lhs: 389, - production: &[ParseType::N(97)], + lhs: 382, + production: &[ParseType::N(383), ParseType::N(384)], }, - // 608 - ModportListOpt: ; + // 607 - ModportGroupGroup: LBrace ModportList RBrace; Production { - lhs: 389, - production: &[], + lhs: 383, + production: &[ParseType::N(497), ParseType::N(386), ParseType::N(349)], }, - // 609 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; + // 608 - ModportGroupGroup: ModportItem; Production { lhs: 383, - production: &[ParseType::N(384), ParseType::N(385)], + production: &[ParseType::N(385)], }, - // 610 - ModportGroupGroup: LBrace ModportList RBrace; + // 609 - ModportGroupList: Attribute ModportGroupList; Production { lhs: 384, - production: &[ParseType::N(498), ParseType::N(387), ParseType::N(350)], + production: &[ParseType::N(384), ParseType::N(45)], }, - // 611 - ModportGroupGroup: ModportItem; + // 610 - ModportGroupList: ; Production { lhs: 384, - production: &[ParseType::N(386)], + production: &[], }, - // 612 - ModportGroupList: Attribute ModportGroupList; + // 611 - ModportItem: Identifier Colon Direction; Production { lhs: 385, - production: &[ParseType::N(385), ParseType::N(45)], + production: &[ParseType::N(115), ParseType::N(87), ParseType::N(244)], }, - // 613 - ModportGroupList: ; + // 612 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; Production { - lhs: 385, - production: &[], + lhs: 141, + production: &[ + ParseType::N(497), + ParseType::N(147), + ParseType::N(349), + ParseType::N(539), + ParseType::N(87), + ParseType::N(244), + ParseType::N(140), + ], }, - // 614 - ModportItem: Identifier Colon Direction; + // 613 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; Production { - lhs: 386, - production: &[ParseType::N(116), ParseType::N(88), ParseType::N(245)], + lhs: 147, + production: &[ParseType::N(149), ParseType::N(148), ParseType::N(142)], }, - // 615 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; + // 614 - EnumListList: Comma EnumGroup EnumListList; Production { - lhs: 142, - production: &[ - ParseType::N(498), - ParseType::N(148), - ParseType::N(350), - ParseType::N(540), - ParseType::N(88), - ParseType::N(245), - ParseType::N(141), - ], + lhs: 148, + production: &[ParseType::N(148), ParseType::N(142), ParseType::N(96)], }, - // 616 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; + // 615 - EnumListList: ; Production { lhs: 148, - production: &[ParseType::N(150), ParseType::N(149), ParseType::N(143)], + production: &[], }, - // 617 - EnumListList: Comma EnumGroup EnumListList; + // 616 - EnumListOpt: Comma; Production { lhs: 149, - production: &[ParseType::N(149), ParseType::N(143), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 618 - EnumListList: ; + // 617 - EnumListOpt: ; Production { lhs: 149, production: &[], }, - // 619 - EnumListOpt: Comma; + // 618 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; Production { - lhs: 150, - production: &[ParseType::N(97)], + lhs: 142, + production: &[ParseType::N(143), ParseType::N(144)], }, - // 620 - EnumListOpt: ; + // 619 - EnumGroupGroup: LBrace EnumList RBrace; Production { - lhs: 150, - production: &[], + lhs: 143, + production: &[ParseType::N(497), ParseType::N(147), ParseType::N(349)], }, - // 621 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; + // 620 - EnumGroupGroup: EnumItem; Production { lhs: 143, - production: &[ParseType::N(144), ParseType::N(145)], + production: &[ParseType::N(145)], }, - // 622 - EnumGroupGroup: LBrace EnumList RBrace; + // 621 - EnumGroupList: Attribute EnumGroupList; Production { lhs: 144, - production: &[ParseType::N(498), ParseType::N(148), ParseType::N(350)], + production: &[ParseType::N(144), ParseType::N(45)], }, - // 623 - EnumGroupGroup: EnumItem; + // 622 - EnumGroupList: ; Production { lhs: 144, - production: &[ParseType::N(146)], + production: &[], }, - // 624 - EnumGroupList: Attribute EnumGroupList; + // 623 - EnumItem: Identifier EnumItemOpt /* Option */; Production { lhs: 145, - production: &[ParseType::N(145), ParseType::N(45)], + production: &[ParseType::N(146), ParseType::N(244)], }, - // 625 - EnumGroupList: ; + // 624 - EnumItemOpt: Equ Expression; Production { - lhs: 145, - production: &[], + lhs: 146, + production: &[ParseType::N(164), ParseType::N(152)], }, - // 626 - EnumItem: Identifier EnumItemOpt /* Option */; + // 625 - EnumItemOpt: ; Production { lhs: 146, - production: &[ParseType::N(147), ParseType::N(245)], + production: &[], }, - // 627 - EnumItemOpt: Equ Expression; + // 626 - StructUnion: Struct; Production { - lhs: 147, - production: &[ParseType::N(165), ParseType::N(153)], + lhs: 574, + production: &[ParseType::N(571)], }, - // 628 - EnumItemOpt: ; + // 627 - StructUnion: Union; Production { - lhs: 147, - production: &[], + lhs: 574, + production: &[ParseType::N(602)], }, - // 629 - StructUnion: Struct; + // 628 - StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; Production { lhs: 575, - production: &[ParseType::N(572)], + production: &[ + ParseType::N(497), + ParseType::N(581), + ParseType::N(349), + ParseType::N(576), + ParseType::N(244), + ParseType::N(574), + ], }, - // 630 - StructUnion: Union; + // 629 - StructUnionDeclarationOpt: WithGenericParameter; Production { - lhs: 575, - production: &[ParseType::N(603)], + lhs: 576, + production: &[ParseType::N(622)], }, - // 631 - StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; + // 630 - StructUnionDeclarationOpt: ; Production { lhs: 576, - production: &[ - ParseType::N(498), - ParseType::N(582), - ParseType::N(350), - ParseType::N(577), - ParseType::N(245), - ParseType::N(575), - ], + production: &[], }, - // 632 - StructUnionDeclarationOpt: WithGenericParameter; + // 631 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; Production { - lhs: 577, - production: &[ParseType::N(623)], + lhs: 581, + production: &[ParseType::N(583), ParseType::N(582), ParseType::N(577)], }, - // 633 - StructUnionDeclarationOpt: ; + // 632 - StructUnionListList: Comma StructUnionGroup StructUnionListList; Production { - lhs: 577, - production: &[], + lhs: 582, + production: &[ParseType::N(582), ParseType::N(577), ParseType::N(96)], }, - // 634 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; + // 633 - StructUnionListList: ; Production { lhs: 582, - production: &[ParseType::N(584), ParseType::N(583), ParseType::N(578)], + production: &[], }, - // 635 - StructUnionListList: Comma StructUnionGroup StructUnionListList; + // 634 - StructUnionListOpt: Comma; Production { lhs: 583, - production: &[ParseType::N(583), ParseType::N(578), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 636 - StructUnionListList: ; + // 635 - StructUnionListOpt: ; Production { lhs: 583, production: &[], }, - // 637 - StructUnionListOpt: Comma; + // 636 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; Production { - lhs: 584, - production: &[ParseType::N(97)], + lhs: 577, + production: &[ParseType::N(578), ParseType::N(579)], }, - // 638 - StructUnionListOpt: ; + // 637 - StructUnionGroupGroup: LBrace StructUnionList RBrace; Production { - lhs: 584, - production: &[], + lhs: 578, + production: &[ParseType::N(497), ParseType::N(581), ParseType::N(349)], }, - // 639 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; + // 638 - StructUnionGroupGroup: StructUnionItem; Production { lhs: 578, - production: &[ParseType::N(579), ParseType::N(580)], + production: &[ParseType::N(580)], }, - // 640 - StructUnionGroupGroup: LBrace StructUnionList RBrace; + // 639 - StructUnionGroupList: Attribute StructUnionGroupList; Production { lhs: 579, - production: &[ParseType::N(498), ParseType::N(582), ParseType::N(350)], + production: &[ParseType::N(579), ParseType::N(45)], }, - // 641 - StructUnionGroupGroup: StructUnionItem; + // 640 - StructUnionGroupList: ; Production { lhs: 579, - production: &[ParseType::N(581)], - }, - // 642 - StructUnionGroupList: Attribute StructUnionGroupList; - Production { - lhs: 580, - production: &[ParseType::N(580), ParseType::N(45)], - }, - // 643 - StructUnionGroupList: ; - Production { - lhs: 580, production: &[], }, - // 644 - StructUnionItem: Identifier Colon ScalarType; + // 641 - StructUnionItem: Identifier Colon ScalarType; Production { - lhs: 581, - production: &[ParseType::N(540), ParseType::N(88), ParseType::N(245)], + lhs: 580, + production: &[ParseType::N(539), ParseType::N(87), ParseType::N(244)], }, - // 645 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; + // 642 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; Production { - lhs: 283, + lhs: 282, production: &[ - ParseType::N(498), - ParseType::N(284), - ParseType::N(350), - ParseType::N(282), + ParseType::N(497), + ParseType::N(283), + ParseType::N(349), + ParseType::N(281), ], }, - // 646 - InitialDeclarationList: Statement InitialDeclarationList; + // 643 - InitialDeclarationList: Statement InitialDeclarationList; Production { - lhs: 284, - production: &[ParseType::N(284), ParseType::N(562)], + lhs: 283, + production: &[ParseType::N(283), ParseType::N(561)], }, - // 647 - InitialDeclarationList: ; + // 644 - InitialDeclarationList: ; Production { - lhs: 284, + lhs: 283, production: &[], }, - // 648 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; + // 645 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; Production { - lhs: 207, + lhs: 206, production: &[ - ParseType::N(498), - ParseType::N(208), - ParseType::N(350), - ParseType::N(206), + ParseType::N(497), + ParseType::N(207), + ParseType::N(349), + ParseType::N(205), ], }, - // 649 - FinalDeclarationList: Statement FinalDeclarationList; + // 646 - FinalDeclarationList: Statement FinalDeclarationList; Production { - lhs: 208, - production: &[ParseType::N(208), ParseType::N(562)], + lhs: 207, + production: &[ParseType::N(207), ParseType::N(561)], }, - // 650 - FinalDeclarationList: ; + // 647 - FinalDeclarationList: ; Production { - lhs: 208, + lhs: 207, production: &[], }, - // 651 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; + // 648 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; Production { - lhs: 298, + lhs: 297, production: &[ - ParseType::N(551), - ParseType::N(301), + ParseType::N(550), ParseType::N(300), ParseType::N(299), - ParseType::N(543), - ParseType::N(88), - ParseType::N(245), - ParseType::N(297), + ParseType::N(298), + ParseType::N(542), + ParseType::N(87), + ParseType::N(244), + ParseType::N(296), ], }, - // 652 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; + // 649 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; Production { - lhs: 301, - production: &[ParseType::N(504), ParseType::N(302), ParseType::N(356)], + lhs: 300, + production: &[ParseType::N(503), ParseType::N(301), ParseType::N(355)], }, - // 653 - InstDeclarationOpt2: InstPortList; + // 650 - InstDeclarationOpt2: InstPortList; Production { - lhs: 302, - production: &[ParseType::N(318)], + lhs: 301, + production: &[ParseType::N(317)], }, - // 654 - InstDeclarationOpt2: ; + // 651 - InstDeclarationOpt2: ; Production { - lhs: 302, + lhs: 301, production: &[], }, - // 655 - InstDeclarationOpt1: ; + // 652 - InstDeclarationOpt1: ; Production { - lhs: 301, + lhs: 300, production: &[], }, - // 656 - InstDeclarationOpt0: InstParameter; + // 653 - InstDeclarationOpt0: InstParameter; Production { - lhs: 300, - production: &[ParseType::N(303)], + lhs: 299, + production: &[ParseType::N(302)], }, - // 657 - InstDeclarationOpt0: ; + // 654 - InstDeclarationOpt0: ; Production { - lhs: 300, + lhs: 299, production: &[], }, - // 658 - InstDeclarationOpt: Array; + // 655 - InstDeclarationOpt: Array; Production { - lhs: 299, + lhs: 298, production: &[ParseType::N(23)], }, - // 659 - InstDeclarationOpt: ; + // 656 - InstDeclarationOpt: ; Production { - lhs: 299, + lhs: 298, production: &[], }, - // 660 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; + // 657 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; Production { - lhs: 303, + lhs: 302, production: &[ - ParseType::N(504), - ParseType::N(312), - ParseType::N(356), - ParseType::N(232), + ParseType::N(503), + ParseType::N(311), + ParseType::N(355), + ParseType::N(231), ], }, - // 661 - InstParameterOpt: InstParameterList; + // 658 - InstParameterOpt: InstParameterList; Production { - lhs: 312, - production: &[ParseType::N(309)], + lhs: 311, + production: &[ParseType::N(308)], }, - // 662 - InstParameterOpt: ; + // 659 - InstParameterOpt: ; Production { - lhs: 312, + lhs: 311, production: &[], }, - // 663 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; + // 660 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; + Production { + lhs: 308, + production: &[ParseType::N(310), ParseType::N(309), ParseType::N(303)], + }, + // 661 - InstParameterListList: Comma InstParameterGroup InstParameterListList; Production { lhs: 309, - production: &[ParseType::N(311), ParseType::N(310), ParseType::N(304)], + production: &[ParseType::N(309), ParseType::N(303), ParseType::N(96)], }, - // 664 - InstParameterListList: Comma InstParameterGroup InstParameterListList; + // 662 - InstParameterListList: ; + Production { + lhs: 309, + production: &[], + }, + // 663 - InstParameterListOpt: Comma; Production { lhs: 310, - production: &[ParseType::N(310), ParseType::N(304), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 665 - InstParameterListList: ; + // 664 - InstParameterListOpt: ; Production { lhs: 310, production: &[], }, - // 666 - InstParameterListOpt: Comma; + // 665 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; Production { - lhs: 311, - production: &[ParseType::N(97)], + lhs: 303, + production: &[ParseType::N(304), ParseType::N(305)], }, - // 667 - InstParameterListOpt: ; + // 666 - InstParameterGroupGroup: LBrace InstParameterList RBrace; Production { - lhs: 311, - production: &[], + lhs: 304, + production: &[ParseType::N(497), ParseType::N(308), ParseType::N(349)], }, - // 668 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; + // 667 - InstParameterGroupGroup: InstParameterItem; Production { lhs: 304, - production: &[ParseType::N(305), ParseType::N(306)], + production: &[ParseType::N(306)], }, - // 669 - InstParameterGroupGroup: LBrace InstParameterList RBrace; + // 668 - InstParameterGroupList: Attribute InstParameterGroupList; Production { lhs: 305, - production: &[ParseType::N(498), ParseType::N(309), ParseType::N(350)], + production: &[ParseType::N(305), ParseType::N(45)], }, - // 670 - InstParameterGroupGroup: InstParameterItem; + // 669 - InstParameterGroupList: ; Production { lhs: 305, - production: &[ParseType::N(307)], + production: &[], }, - // 671 - InstParameterGroupList: Attribute InstParameterGroupList; + // 670 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; Production { lhs: 306, - production: &[ParseType::N(306), ParseType::N(45)], + production: &[ParseType::N(307), ParseType::N(244)], }, - // 672 - InstParameterGroupList: ; + // 671 - InstParameterItemOpt: Colon Expression; Production { - lhs: 306, - production: &[], + lhs: 307, + production: &[ParseType::N(164), ParseType::N(87)], }, - // 673 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; + // 672 - InstParameterItemOpt: ; Production { lhs: 307, - production: &[ParseType::N(308), ParseType::N(245)], + production: &[], }, - // 674 - InstParameterItemOpt: Colon Expression; + // 673 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; Production { - lhs: 308, - production: &[ParseType::N(165), ParseType::N(88)], + lhs: 317, + production: &[ParseType::N(319), ParseType::N(318), ParseType::N(312)], }, - // 675 - InstParameterItemOpt: ; + // 674 - InstPortListList: Comma InstPortGroup InstPortListList; Production { - lhs: 308, - production: &[], + lhs: 318, + production: &[ParseType::N(318), ParseType::N(312), ParseType::N(96)], }, - // 676 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; + // 675 - InstPortListList: ; Production { lhs: 318, - production: &[ParseType::N(320), ParseType::N(319), ParseType::N(313)], + production: &[], }, - // 677 - InstPortListList: Comma InstPortGroup InstPortListList; + // 676 - InstPortListOpt: Comma; Production { lhs: 319, - production: &[ParseType::N(319), ParseType::N(313), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 678 - InstPortListList: ; + // 677 - InstPortListOpt: ; Production { lhs: 319, production: &[], }, - // 679 - InstPortListOpt: Comma; + // 678 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; Production { - lhs: 320, - production: &[ParseType::N(97)], + lhs: 312, + production: &[ParseType::N(313), ParseType::N(314)], }, - // 680 - InstPortListOpt: ; + // 679 - InstPortGroupGroup: LBrace InstPortList RBrace; Production { - lhs: 320, - production: &[], + lhs: 313, + production: &[ParseType::N(497), ParseType::N(317), ParseType::N(349)], }, - // 681 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; + // 680 - InstPortGroupGroup: InstPortItem; Production { lhs: 313, - production: &[ParseType::N(314), ParseType::N(315)], + production: &[ParseType::N(315)], }, - // 682 - InstPortGroupGroup: LBrace InstPortList RBrace; + // 681 - InstPortGroupList: Attribute InstPortGroupList; Production { lhs: 314, - production: &[ParseType::N(498), ParseType::N(318), ParseType::N(350)], + production: &[ParseType::N(314), ParseType::N(45)], }, - // 683 - InstPortGroupGroup: InstPortItem; + // 682 - InstPortGroupList: ; Production { lhs: 314, - production: &[ParseType::N(316)], - }, - // 684 - InstPortGroupList: Attribute InstPortGroupList; - Production { - lhs: 315, - production: &[ParseType::N(315), ParseType::N(45)], + production: &[], }, - // 685 - InstPortGroupList: ; + // 683 - InstPortItem: Identifier InstPortItemOpt /* Option */; Production { lhs: 315, - production: &[], + production: &[ParseType::N(316), ParseType::N(244)], }, - // 686 - InstPortItem: Identifier InstPortItemOpt /* Option */; + // 684 - InstPortItemOpt: Colon Expression; Production { lhs: 316, - production: &[ParseType::N(317), ParseType::N(245)], + production: &[ParseType::N(164), ParseType::N(87)], }, - // 687 - InstPortItemOpt: Colon Expression; + // 685 - InstPortItemOpt: ; Production { - lhs: 317, - production: &[ParseType::N(165), ParseType::N(88)], - }, - // 688 - InstPortItemOpt: ; - Production { - lhs: 317, + lhs: 316, production: &[], }, - // 689 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; + // 686 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; Production { - lhs: 629, + lhs: 628, production: &[ - ParseType::N(504), - ParseType::N(639), - ParseType::N(356), - ParseType::N(232), + ParseType::N(503), + ParseType::N(638), + ParseType::N(355), + ParseType::N(231), ], }, - // 690 - WithParameterOpt: WithParameterList; + // 687 - WithParameterOpt: WithParameterList; Production { - lhs: 639, - production: &[ParseType::N(636)], + lhs: 638, + production: &[ParseType::N(635)], }, - // 691 - WithParameterOpt: ; + // 688 - WithParameterOpt: ; Production { - lhs: 639, + lhs: 638, production: &[], }, - // 692 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; + // 689 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; Production { - lhs: 636, - production: &[ParseType::N(638), ParseType::N(637), ParseType::N(630)], + lhs: 635, + production: &[ParseType::N(637), ParseType::N(636), ParseType::N(629)], }, - // 693 - WithParameterListList: Comma WithParameterGroup WithParameterListList; + // 690 - WithParameterListList: Comma WithParameterGroup WithParameterListList; Production { - lhs: 637, - production: &[ParseType::N(637), ParseType::N(630), ParseType::N(97)], + lhs: 636, + production: &[ParseType::N(636), ParseType::N(629), ParseType::N(96)], }, - // 694 - WithParameterListList: ; + // 691 - WithParameterListList: ; Production { - lhs: 637, + lhs: 636, production: &[], }, - // 695 - WithParameterListOpt: Comma; + // 692 - WithParameterListOpt: Comma; Production { - lhs: 638, - production: &[ParseType::N(97)], + lhs: 637, + production: &[ParseType::N(96)], }, - // 696 - WithParameterListOpt: ; + // 693 - WithParameterListOpt: ; Production { - lhs: 638, + lhs: 637, production: &[], }, - // 697 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; + // 694 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; Production { - lhs: 630, - production: &[ParseType::N(631), ParseType::N(632)], + lhs: 629, + production: &[ParseType::N(630), ParseType::N(631)], }, - // 698 - WithParameterGroupGroup: LBrace WithParameterList RBrace; + // 695 - WithParameterGroupGroup: LBrace WithParameterList RBrace; Production { - lhs: 631, - production: &[ParseType::N(498), ParseType::N(636), ParseType::N(350)], + lhs: 630, + production: &[ParseType::N(497), ParseType::N(635), ParseType::N(349)], }, - // 699 - WithParameterGroupGroup: WithParameterItem; + // 696 - WithParameterGroupGroup: WithParameterItem; Production { - lhs: 631, - production: &[ParseType::N(633)], + lhs: 630, + production: &[ParseType::N(632)], }, - // 700 - WithParameterGroupList: Attribute WithParameterGroupList; + // 697 - WithParameterGroupList: Attribute WithParameterGroupList; Production { - lhs: 632, - production: &[ParseType::N(632), ParseType::N(45)], + lhs: 631, + production: &[ParseType::N(631), ParseType::N(45)], }, - // 701 - WithParameterGroupList: ; + // 698 - WithParameterGroupList: ; Production { - lhs: 632, + lhs: 631, production: &[], }, - // 702 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; + // 699 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; Production { - lhs: 633, + lhs: 632, production: &[ - ParseType::N(635), - ParseType::N(88), - ParseType::N(245), ParseType::N(634), + ParseType::N(87), + ParseType::N(244), + ParseType::N(633), ], }, - // 703 - WithParameterItemGroup0: ArrayType Equ Expression; + // 700 - WithParameterItemGroup0: ArrayType Equ Expression; Production { - lhs: 635, - production: &[ParseType::N(165), ParseType::N(153), ParseType::N(31)], + lhs: 634, + production: &[ParseType::N(164), ParseType::N(152), ParseType::N(31)], }, - // 704 - WithParameterItemGroup0: Type Equ TypeExpression; + // 701 - WithParameterItemGroup0: Type Equ TypeExpression; Production { - lhs: 635, - production: &[ParseType::N(590), ParseType::N(153), ParseType::N(588)], + lhs: 634, + production: &[ParseType::N(589), ParseType::N(152), ParseType::N(587)], }, - // 705 - WithParameterItemGroup: Param; + // 702 - WithParameterItemGroup: Param; Production { - lhs: 634, - production: &[ParseType::N(472)], + lhs: 633, + production: &[ParseType::N(471)], }, - // 706 - WithParameterItemGroup: Local; + // 703 - WithParameterItemGroup: Local; Production { - lhs: 634, - production: &[ParseType::N(364)], + lhs: 633, + production: &[ParseType::N(363)], }, - // 707 - WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; + // 704 - WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; Production { - lhs: 623, - production: &[ParseType::N(495), ParseType::N(626), ParseType::N(90)], + lhs: 622, + production: &[ParseType::N(494), ParseType::N(625), ParseType::N(89)], }, - // 708 - WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; + // 705 - WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; Production { - lhs: 626, - production: &[ParseType::N(628), ParseType::N(627), ParseType::N(624)], + lhs: 625, + production: &[ParseType::N(627), ParseType::N(626), ParseType::N(623)], }, - // 709 - WithGenericParameterListList: Comma WithGenericParameterItem WithGenericParameterListList; + // 706 - WithGenericParameterListList: Comma WithGenericParameterItem WithGenericParameterListList; Production { - lhs: 627, - production: &[ParseType::N(627), ParseType::N(624), ParseType::N(97)], + lhs: 626, + production: &[ParseType::N(626), ParseType::N(623), ParseType::N(96)], }, - // 710 - WithGenericParameterListList: ; + // 707 - WithGenericParameterListList: ; Production { - lhs: 627, + lhs: 626, production: &[], }, - // 711 - WithGenericParameterListOpt: Comma; + // 708 - WithGenericParameterListOpt: Comma; Production { - lhs: 628, - production: &[ParseType::N(97)], + lhs: 627, + production: &[ParseType::N(96)], }, - // 712 - WithGenericParameterListOpt: ; + // 709 - WithGenericParameterListOpt: ; Production { - lhs: 628, + lhs: 627, production: &[], }, - // 713 - WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; + // 710 - WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; Production { - lhs: 624, - production: &[ParseType::N(625), ParseType::N(245)], + lhs: 623, + production: &[ParseType::N(624), ParseType::N(244)], }, - // 714 - WithGenericParameterItemOpt: Equ WithGenericArgumentItem; + // 711 - WithGenericParameterItemOpt: Equ WithGenericArgumentItem; Production { - lhs: 625, - production: &[ParseType::N(618), ParseType::N(153)], + lhs: 624, + production: &[ParseType::N(617), ParseType::N(152)], }, - // 715 - WithGenericParameterItemOpt: ; + // 712 - WithGenericParameterItemOpt: ; Production { - lhs: 625, + lhs: 624, production: &[], }, - // 716 - WithGenericArgument: ColonColonLAngle Push(2) WithGenericArgumentOpt /* Option */ RAngle Pop; + // 713 - WithGenericArgument: ColonColonLAngle Push(2) WithGenericArgumentOpt /* Option */ RAngle Pop; Production { - lhs: 617, + lhs: 616, production: &[ ParseType::Pop, - ParseType::N(495), - ParseType::N(622), + ParseType::N(494), + ParseType::N(621), ParseType::Push(2), - ParseType::N(90), + ParseType::N(89), ], }, - // 717 - WithGenericArgumentOpt: WithGenericArgumentList; + // 714 - WithGenericArgumentOpt: WithGenericArgumentList; Production { - lhs: 622, - production: &[ParseType::N(619)], + lhs: 621, + production: &[ParseType::N(618)], }, - // 718 - WithGenericArgumentOpt: ; + // 715 - WithGenericArgumentOpt: ; Production { - lhs: 622, + lhs: 621, production: &[], }, - // 719 - WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; + // 716 - WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; + Production { + lhs: 618, + production: &[ParseType::N(620), ParseType::N(619), ParseType::N(617)], + }, + // 717 - WithGenericArgumentListList: Comma WithGenericArgumentItem WithGenericArgumentListList; + Production { + lhs: 619, + production: &[ParseType::N(619), ParseType::N(617), ParseType::N(96)], + }, + // 718 - WithGenericArgumentListList: ; Production { lhs: 619, - production: &[ParseType::N(621), ParseType::N(620), ParseType::N(618)], + production: &[], }, - // 720 - WithGenericArgumentListList: Comma WithGenericArgumentItem WithGenericArgumentListList; + // 719 - WithGenericArgumentListOpt: Comma; Production { lhs: 620, - production: &[ParseType::N(620), ParseType::N(618), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 721 - WithGenericArgumentListList: ; + // 720 - WithGenericArgumentListOpt: ; Production { lhs: 620, production: &[], }, - // 722 - WithGenericArgumentListOpt: Comma; + // 721 - WithGenericArgumentItem: ScopedIdentifier; Production { - lhs: 621, - production: &[ParseType::N(97)], + lhs: 617, + production: &[ParseType::N(542)], }, - // 723 - WithGenericArgumentListOpt: ; + // 722 - WithGenericArgumentItem: Number; Production { - lhs: 621, - production: &[], + lhs: 617, + production: &[ParseType::N(418)], }, - // 724 - WithGenericArgumentItem: ScopedIdentifier; + // 723 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; Production { - lhs: 618, - production: &[ParseType::N(543)], + lhs: 477, + production: &[ParseType::N(503), ParseType::N(487), ParseType::N(355)], }, - // 725 - WithGenericArgumentItem: Number; + // 724 - PortDeclarationOpt: PortDeclarationList; Production { - lhs: 618, - production: &[ParseType::N(419)], + lhs: 487, + production: &[ParseType::N(484)], }, - // 726 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; + // 725 - PortDeclarationOpt: ; Production { - lhs: 478, - production: &[ParseType::N(504), ParseType::N(488), ParseType::N(356)], + lhs: 487, + production: &[], }, - // 727 - PortDeclarationOpt: PortDeclarationList; + // 726 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; Production { - lhs: 488, - production: &[ParseType::N(485)], + lhs: 484, + production: &[ParseType::N(486), ParseType::N(485), ParseType::N(478)], }, - // 728 - PortDeclarationOpt: ; + // 727 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; Production { - lhs: 488, - production: &[], + lhs: 485, + production: &[ParseType::N(485), ParseType::N(478), ParseType::N(96)], }, - // 729 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; + // 728 - PortDeclarationListList: ; Production { lhs: 485, - production: &[ParseType::N(487), ParseType::N(486), ParseType::N(479)], + production: &[], }, - // 730 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; + // 729 - PortDeclarationListOpt: Comma; Production { lhs: 486, - production: &[ParseType::N(486), ParseType::N(479), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 731 - PortDeclarationListList: ; + // 730 - PortDeclarationListOpt: ; Production { lhs: 486, production: &[], }, - // 732 - PortDeclarationListOpt: Comma; + // 731 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; Production { - lhs: 487, - production: &[ParseType::N(97)], + lhs: 478, + production: &[ParseType::N(479), ParseType::N(480)], }, - // 733 - PortDeclarationListOpt: ; + // 732 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; Production { - lhs: 487, - production: &[], + lhs: 479, + production: &[ParseType::N(497), ParseType::N(484), ParseType::N(349)], }, - // 734 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; + // 733 - PortDeclarationGroupGroup: PortDeclarationItem; Production { lhs: 479, - production: &[ParseType::N(480), ParseType::N(481)], + production: &[ParseType::N(481)], }, - // 735 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; + // 734 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; Production { lhs: 480, - production: &[ParseType::N(498), ParseType::N(485), ParseType::N(350)], + production: &[ParseType::N(480), ParseType::N(45)], }, - // 736 - PortDeclarationGroupGroup: PortDeclarationItem; + // 735 - PortDeclarationGroupList: ; Production { lhs: 480, - production: &[ParseType::N(482)], - }, - // 737 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; - Production { - lhs: 481, - production: &[ParseType::N(481), ParseType::N(45)], + production: &[], }, - // 738 - PortDeclarationGroupList: ; + // 736 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; Production { lhs: 481, - production: &[], + production: &[ParseType::N(482), ParseType::N(87), ParseType::N(244)], }, - // 739 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; + // 737 - PortDeclarationItemGroup: Direction ArrayType; Production { lhs: 482, - production: &[ParseType::N(483), ParseType::N(88), ParseType::N(245)], + production: &[ParseType::N(31), ParseType::N(115)], }, - // 740 - PortDeclarationItemGroup: Direction ArrayType; + // 738 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; Production { - lhs: 483, - production: &[ParseType::N(31), ParseType::N(116)], + lhs: 482, + production: &[ParseType::N(483), ParseType::N(323)], }, - // 741 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; + // 739 - PortDeclarationItemOpt: Array; Production { lhs: 483, - production: &[ParseType::N(484), ParseType::N(324)], - }, - // 742 - PortDeclarationItemOpt: Array; - Production { - lhs: 484, production: &[ParseType::N(23)], }, - // 743 - PortDeclarationItemOpt: ; + // 740 - PortDeclarationItemOpt: ; Production { - lhs: 484, + lhs: 483, production: &[], }, - // 744 - Direction: Input; + // 741 - Direction: Input; Production { - lhs: 116, - production: &[ParseType::N(290)], + lhs: 115, + production: &[ParseType::N(289)], }, - // 745 - Direction: Output; + // 742 - Direction: Output; Production { - lhs: 116, - production: &[ParseType::N(453)], + lhs: 115, + production: &[ParseType::N(452)], }, - // 746 - Direction: Inout; + // 743 - Direction: Inout; Production { - lhs: 116, - production: &[ParseType::N(287)], + lhs: 115, + production: &[ParseType::N(286)], }, - // 747 - Direction: Ref; + // 744 - Direction: Ref; Production { - lhs: 116, - production: &[ParseType::N(515)], + lhs: 115, + production: &[ParseType::N(514)], }, - // 748 - Direction: Modport; + // 745 - Direction: Modport; Production { - lhs: 116, - production: &[ParseType::N(381)], + lhs: 115, + production: &[ParseType::N(380)], }, - // 749 - Direction: Import; + // 746 - Direction: Import; Production { - lhs: 116, - production: &[ParseType::N(270)], + lhs: 115, + production: &[ParseType::N(269)], }, - // 750 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; + // 747 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; Production { - lhs: 224, + lhs: 223, production: &[ - ParseType::N(498), - ParseType::N(225), - ParseType::N(350), - ParseType::N(228), + ParseType::N(497), + ParseType::N(224), + ParseType::N(349), ParseType::N(227), ParseType::N(226), - ParseType::N(245), - ParseType::N(221), + ParseType::N(225), + ParseType::N(244), + ParseType::N(220), ], }, - // 751 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; + // 748 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; Production { - lhs: 225, - production: &[ParseType::N(225), ParseType::N(229)], + lhs: 224, + production: &[ParseType::N(224), ParseType::N(228)], }, - // 752 - FunctionDeclarationList: ; + // 749 - FunctionDeclarationList: ; Production { - lhs: 225, + lhs: 224, production: &[], }, - // 753 - FunctionDeclarationOpt1: MinusGT ScalarType; + // 750 - FunctionDeclarationOpt1: MinusGT ScalarType; Production { - lhs: 228, - production: &[ParseType::N(540), ParseType::N(378)], + lhs: 227, + production: &[ParseType::N(539), ParseType::N(377)], }, - // 754 - FunctionDeclarationOpt1: ; + // 751 - FunctionDeclarationOpt1: ; Production { - lhs: 228, + lhs: 227, production: &[], }, - // 755 - FunctionDeclarationOpt0: PortDeclaration; + // 752 - FunctionDeclarationOpt0: PortDeclaration; Production { - lhs: 227, - production: &[ParseType::N(478)], + lhs: 226, + production: &[ParseType::N(477)], }, - // 756 - FunctionDeclarationOpt0: ; + // 753 - FunctionDeclarationOpt0: ; Production { - lhs: 227, + lhs: 226, production: &[], }, - // 757 - FunctionDeclarationOpt: WithGenericParameter; + // 754 - FunctionDeclarationOpt: WithGenericParameter; Production { - lhs: 226, - production: &[ParseType::N(623)], + lhs: 225, + production: &[ParseType::N(622)], }, - // 758 - FunctionDeclarationOpt: ; + // 755 - FunctionDeclarationOpt: ; Production { - lhs: 226, + lhs: 225, production: &[], }, - // 759 - FunctionItem: VarDeclaration; + // 756 - FunctionItem: VarDeclaration; Production { - lhs: 229, - production: &[ParseType::N(607)], + lhs: 228, + production: &[ParseType::N(606)], }, - // 760 - FunctionItem: Statement; + // 757 - FunctionItem: Statement; Production { - lhs: 229, - production: &[ParseType::N(562)], + lhs: 228, + production: &[ParseType::N(561)], }, - // 761 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; + // 758 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; Production { - lhs: 271, + lhs: 270, production: &[ - ParseType::N(551), - ParseType::N(272), - ParseType::N(543), - ParseType::N(270), + ParseType::N(550), + ParseType::N(271), + ParseType::N(542), + ParseType::N(269), ], }, - // 762 - ImportDeclarationOpt: ColonColon Star; + // 759 - ImportDeclarationOpt: ColonColon Star; Production { - lhs: 272, - production: &[ParseType::N(557), ParseType::N(89)], + lhs: 271, + production: &[ParseType::N(556), ParseType::N(88)], }, - // 763 - ImportDeclarationOpt: ; + // 760 - ImportDeclarationOpt: ; Production { - lhs: 272, + lhs: 271, production: &[], }, - // 764 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; + // 761 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; Production { - lhs: 160, - production: &[ParseType::N(551), ParseType::N(161), ParseType::N(159)], + lhs: 159, + production: &[ParseType::N(550), ParseType::N(160), ParseType::N(158)], }, - // 765 - ExportDeclarationGroup: Star; + // 762 - ExportDeclarationGroup: Star; Production { - lhs: 161, - production: &[ParseType::N(557)], + lhs: 160, + production: &[ParseType::N(556)], }, - // 766 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; + // 763 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; Production { - lhs: 161, - production: &[ParseType::N(162), ParseType::N(543)], + lhs: 160, + production: &[ParseType::N(161), ParseType::N(542)], }, - // 767 - ExportDeclarationOpt: ColonColon Star; + // 764 - ExportDeclarationOpt: ColonColon Star; Production { - lhs: 162, - production: &[ParseType::N(557), ParseType::N(89)], + lhs: 161, + production: &[ParseType::N(556), ParseType::N(88)], }, - // 768 - ExportDeclarationOpt: ; + // 765 - ExportDeclarationOpt: ; Production { - lhs: 162, + lhs: 161, production: &[], }, - // 769 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; + // 766 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; Production { - lhs: 393, + lhs: 392, production: &[ - ParseType::N(498), - ParseType::N(394), - ParseType::N(350), - ParseType::N(398), + ParseType::N(497), + ParseType::N(393), + ParseType::N(349), ParseType::N(397), ParseType::N(396), - ParseType::N(245), - ParseType::N(392), ParseType::N(395), + ParseType::N(244), + ParseType::N(391), + ParseType::N(394), ], }, - // 770 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; - Production { - lhs: 394, - production: &[ParseType::N(394), ParseType::N(401)], - }, - // 771 - ModuleDeclarationList: ; - Production { - lhs: 394, - production: &[], - }, - // 772 - ModuleDeclarationOpt2: PortDeclaration; + // 767 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; Production { - lhs: 398, - production: &[ParseType::N(478)], + lhs: 393, + production: &[ParseType::N(393), ParseType::N(400)], }, - // 773 - ModuleDeclarationOpt2: ; + // 768 - ModuleDeclarationList: ; Production { - lhs: 398, + lhs: 393, production: &[], }, - // 774 - ModuleDeclarationOpt1: WithParameter; + // 769 - ModuleDeclarationOpt2: PortDeclaration; Production { lhs: 397, - production: &[ParseType::N(629)], + production: &[ParseType::N(477)], }, - // 775 - ModuleDeclarationOpt1: ; + // 770 - ModuleDeclarationOpt2: ; Production { lhs: 397, production: &[], }, - // 776 - ModuleDeclarationOpt0: WithGenericParameter; + // 771 - ModuleDeclarationOpt1: WithParameter; Production { lhs: 396, - production: &[ParseType::N(623)], + production: &[ParseType::N(628)], }, - // 777 - ModuleDeclarationOpt0: ; + // 772 - ModuleDeclarationOpt1: ; Production { lhs: 396, production: &[], }, - // 778 - ModuleDeclarationOpt: Pub; + // 773 - ModuleDeclarationOpt0: WithGenericParameter; Production { lhs: 395, - production: &[ParseType::N(489)], + production: &[ParseType::N(622)], }, - // 779 - ModuleDeclarationOpt: ; + // 774 - ModuleDeclarationOpt0: ; Production { lhs: 395, production: &[], }, - // 780 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; + // 775 - ModuleDeclarationOpt: Pub; Production { - lhs: 405, + lhs: 394, + production: &[ParseType::N(488)], + }, + // 776 - ModuleDeclarationOpt: ; + Production { + lhs: 394, + production: &[], + }, + // 777 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; + Production { + lhs: 404, production: &[ - ParseType::N(407), ParseType::N(406), - ParseType::N(409), - ParseType::N(165), - ParseType::N(250), + ParseType::N(405), + ParseType::N(408), + ParseType::N(164), + ParseType::N(249), ], }, - // 781 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; + // 778 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; Production { - lhs: 406, + lhs: 405, production: &[ - ParseType::N(406), - ParseType::N(411), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(405), + ParseType::N(410), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 782 - ModuleIfDeclarationList: ; + // 779 - ModuleIfDeclarationList: ; Production { - lhs: 406, + lhs: 405, production: &[], }, - // 783 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; + // 780 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; Production { - lhs: 407, - production: &[ParseType::N(411), ParseType::N(129)], + lhs: 406, + production: &[ParseType::N(410), ParseType::N(128)], }, - // 784 - ModuleIfDeclarationOpt: ; + // 781 - ModuleIfDeclarationOpt: ; Production { - lhs: 407, + lhs: 406, production: &[], }, - // 785 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; + // 782 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; Production { - lhs: 399, + lhs: 398, production: &[ - ParseType::N(409), - ParseType::N(400), - ParseType::N(507), - ParseType::N(275), - ParseType::N(245), - ParseType::N(215), + ParseType::N(408), + ParseType::N(399), + ParseType::N(506), + ParseType::N(274), + ParseType::N(244), + ParseType::N(214), ], }, - // 786 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; + // 783 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 400, - production: &[ParseType::N(165), ParseType::N(42), ParseType::N(563)], + lhs: 399, + production: &[ParseType::N(164), ParseType::N(42), ParseType::N(562)], }, - // 787 - ModuleForDeclarationOpt: ; + // 784 - ModuleForDeclarationOpt: ; Production { - lhs: 400, + lhs: 399, production: &[], }, - // 788 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; + // 785 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; Production { - lhs: 409, + lhs: 408, production: &[ - ParseType::N(498), - ParseType::N(410), - ParseType::N(350), - ParseType::N(245), - ParseType::N(88), + ParseType::N(497), + ParseType::N(409), + ParseType::N(349), + ParseType::N(244), + ParseType::N(87), ], }, - // 789 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; + // 786 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; Production { - lhs: 410, - production: &[ParseType::N(410), ParseType::N(401)], + lhs: 409, + production: &[ParseType::N(409), ParseType::N(400)], }, - // 790 - ModuleNamedBlockList: ; + // 787 - ModuleNamedBlockList: ; Production { - lhs: 410, + lhs: 409, production: &[], }, - // 791 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; + // 788 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 411, + lhs: 410, production: &[ - ParseType::N(498), + ParseType::N(497), + ParseType::N(411), + ParseType::N(349), ParseType::N(412), - ParseType::N(350), - ParseType::N(413), ], }, - // 792 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; + // 789 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; Production { - lhs: 412, - production: &[ParseType::N(412), ParseType::N(401)], + lhs: 411, + production: &[ParseType::N(411), ParseType::N(400)], }, - // 793 - ModuleOptionalNamedBlockList: ; + // 790 - ModuleOptionalNamedBlockList: ; Production { - lhs: 412, + lhs: 411, production: &[], }, - // 794 - ModuleOptionalNamedBlockOpt: Colon Identifier; + // 791 - ModuleOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 413, - production: &[ParseType::N(245), ParseType::N(88)], + lhs: 412, + production: &[ParseType::N(244), ParseType::N(87)], }, - // 795 - ModuleOptionalNamedBlockOpt: ; + // 792 - ModuleOptionalNamedBlockOpt: ; Production { - lhs: 413, + lhs: 412, production: &[], }, - // 796 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; + // 793 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; Production { - lhs: 401, - production: &[ParseType::N(402), ParseType::N(404)], + lhs: 400, + production: &[ParseType::N(401), ParseType::N(403)], }, - // 797 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; + // 794 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; Production { - lhs: 402, - production: &[ParseType::N(498), ParseType::N(403), ParseType::N(350)], + lhs: 401, + production: &[ParseType::N(497), ParseType::N(402), ParseType::N(349)], }, - // 798 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; + // 795 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; Production { - lhs: 403, - production: &[ParseType::N(403), ParseType::N(401)], + lhs: 402, + production: &[ParseType::N(402), ParseType::N(400)], }, - // 799 - ModuleGroupGroupList: ; + // 796 - ModuleGroupGroupList: ; Production { - lhs: 403, + lhs: 402, production: &[], }, - // 800 - ModuleGroupGroup: ModuleItem; + // 797 - ModuleGroupGroup: ModuleItem; Production { - lhs: 402, - production: &[ParseType::N(408)], + lhs: 401, + production: &[ParseType::N(407)], }, - // 801 - ModuleGroupList: Attribute ModuleGroupList; + // 798 - ModuleGroupList: Attribute ModuleGroupList; Production { - lhs: 404, - production: &[ParseType::N(404), ParseType::N(45)], + lhs: 403, + production: &[ParseType::N(403), ParseType::N(45)], }, - // 802 - ModuleGroupList: ; + // 799 - ModuleGroupList: ; Production { - lhs: 404, + lhs: 403, production: &[], }, - // 803 - ModuleItem: LetDeclaration; + // 800 - ModuleItem: LetDeclaration; Production { - lhs: 408, - production: &[ParseType::N(360)], + lhs: 407, + production: &[ParseType::N(359)], }, - // 804 - ModuleItem: VarDeclaration; + // 801 - ModuleItem: VarDeclaration; Production { - lhs: 408, - production: &[ParseType::N(607)], + lhs: 407, + production: &[ParseType::N(606)], }, - // 805 - ModuleItem: InstDeclaration; + // 802 - ModuleItem: InstDeclaration; Production { - lhs: 408, - production: &[ParseType::N(298)], + lhs: 407, + production: &[ParseType::N(297)], }, - // 806 - ModuleItem: TypeDefDeclaration; + // 803 - ModuleItem: TypeDefDeclaration; Production { - lhs: 408, - production: &[ParseType::N(589)], + lhs: 407, + production: &[ParseType::N(588)], }, - // 807 - ModuleItem: LocalDeclaration; + // 804 - ModuleItem: LocalDeclaration; Production { - lhs: 408, - production: &[ParseType::N(365)], + lhs: 407, + production: &[ParseType::N(364)], }, - // 808 - ModuleItem: AlwaysFfDeclaration; + // 805 - ModuleItem: AlwaysFfDeclaration; Production { - lhs: 408, + lhs: 407, production: &[ParseType::N(12)], }, - // 809 - ModuleItem: AlwaysCombDeclaration; + // 806 - ModuleItem: AlwaysCombDeclaration; Production { - lhs: 408, + lhs: 407, production: &[ParseType::N(6)], }, - // 810 - ModuleItem: AssignDeclaration; + // 807 - ModuleItem: AssignDeclaration; Production { - lhs: 408, + lhs: 407, production: &[ParseType::N(37)], }, - // 811 - ModuleItem: FunctionDeclaration; + // 808 - ModuleItem: FunctionDeclaration; Production { - lhs: 408, - production: &[ParseType::N(224)], + lhs: 407, + production: &[ParseType::N(223)], }, - // 812 - ModuleItem: ModuleIfDeclaration; + // 809 - ModuleItem: ModuleIfDeclaration; Production { - lhs: 408, - production: &[ParseType::N(405)], + lhs: 407, + production: &[ParseType::N(404)], }, - // 813 - ModuleItem: ModuleForDeclaration; + // 810 - ModuleItem: ModuleForDeclaration; Production { - lhs: 408, - production: &[ParseType::N(399)], + lhs: 407, + production: &[ParseType::N(398)], }, - // 814 - ModuleItem: EnumDeclaration; + // 811 - ModuleItem: EnumDeclaration; Production { - lhs: 408, - production: &[ParseType::N(142)], + lhs: 407, + production: &[ParseType::N(141)], }, - // 815 - ModuleItem: StructUnionDeclaration; + // 812 - ModuleItem: StructUnionDeclaration; Production { - lhs: 408, - production: &[ParseType::N(576)], + lhs: 407, + production: &[ParseType::N(575)], }, - // 816 - ModuleItem: ModuleNamedBlock; + // 813 - ModuleItem: ModuleNamedBlock; Production { - lhs: 408, - production: &[ParseType::N(409)], + lhs: 407, + production: &[ParseType::N(408)], }, - // 817 - ModuleItem: ImportDeclaration; + // 814 - ModuleItem: ImportDeclaration; Production { - lhs: 408, - production: &[ParseType::N(271)], + lhs: 407, + production: &[ParseType::N(270)], }, - // 818 - ModuleItem: InitialDeclaration; + // 815 - ModuleItem: InitialDeclaration; Production { - lhs: 408, - production: &[ParseType::N(283)], + lhs: 407, + production: &[ParseType::N(282)], }, - // 819 - ModuleItem: FinalDeclaration; + // 816 - ModuleItem: FinalDeclaration; Production { - lhs: 408, - production: &[ParseType::N(207)], + lhs: 407, + production: &[ParseType::N(206)], }, - // 820 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; + // 817 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; Production { - lhs: 325, + lhs: 324, production: &[ - ParseType::N(498), - ParseType::N(326), - ParseType::N(350), - ParseType::N(329), + ParseType::N(497), + ParseType::N(325), + ParseType::N(349), ParseType::N(328), - ParseType::N(245), - ParseType::N(324), ParseType::N(327), + ParseType::N(244), + ParseType::N(323), + ParseType::N(326), ], }, - // 821 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; + // 818 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; Production { - lhs: 326, - production: &[ParseType::N(326), ParseType::N(332)], + lhs: 325, + production: &[ParseType::N(325), ParseType::N(331)], }, - // 822 - InterfaceDeclarationList: ; + // 819 - InterfaceDeclarationList: ; Production { - lhs: 326, + lhs: 325, production: &[], }, - // 823 - InterfaceDeclarationOpt1: WithParameter; + // 820 - InterfaceDeclarationOpt1: WithParameter; Production { - lhs: 329, - production: &[ParseType::N(629)], + lhs: 328, + production: &[ParseType::N(628)], }, - // 824 - InterfaceDeclarationOpt1: ; + // 821 - InterfaceDeclarationOpt1: ; Production { - lhs: 329, + lhs: 328, production: &[], }, - // 825 - InterfaceDeclarationOpt0: WithGenericParameter; + // 822 - InterfaceDeclarationOpt0: WithGenericParameter; Production { - lhs: 328, - production: &[ParseType::N(623)], + lhs: 327, + production: &[ParseType::N(622)], }, - // 826 - InterfaceDeclarationOpt0: ; + // 823 - InterfaceDeclarationOpt0: ; Production { - lhs: 328, + lhs: 327, production: &[], }, - // 827 - InterfaceDeclarationOpt: Pub; + // 824 - InterfaceDeclarationOpt: Pub; Production { - lhs: 327, - production: &[ParseType::N(489)], + lhs: 326, + production: &[ParseType::N(488)], }, - // 828 - InterfaceDeclarationOpt: ; + // 825 - InterfaceDeclarationOpt: ; Production { - lhs: 327, + lhs: 326, production: &[], }, - // 829 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; + // 826 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; Production { - lhs: 336, + lhs: 335, production: &[ - ParseType::N(338), ParseType::N(337), - ParseType::N(340), - ParseType::N(165), - ParseType::N(250), + ParseType::N(336), + ParseType::N(339), + ParseType::N(164), + ParseType::N(249), ], }, - // 830 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; + // 827 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; Production { - lhs: 337, + lhs: 336, production: &[ - ParseType::N(337), - ParseType::N(342), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(336), + ParseType::N(341), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 831 - InterfaceIfDeclarationList: ; + // 828 - InterfaceIfDeclarationList: ; Production { - lhs: 337, + lhs: 336, production: &[], }, - // 832 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; + // 829 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; Production { - lhs: 338, - production: &[ParseType::N(342), ParseType::N(129)], + lhs: 337, + production: &[ParseType::N(341), ParseType::N(128)], }, - // 833 - InterfaceIfDeclarationOpt: ; + // 830 - InterfaceIfDeclarationOpt: ; Production { - lhs: 338, + lhs: 337, production: &[], }, - // 834 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; + // 831 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; Production { - lhs: 330, + lhs: 329, production: &[ - ParseType::N(340), - ParseType::N(331), - ParseType::N(507), - ParseType::N(275), - ParseType::N(245), - ParseType::N(215), + ParseType::N(339), + ParseType::N(330), + ParseType::N(506), + ParseType::N(274), + ParseType::N(244), + ParseType::N(214), ], }, - // 835 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; + // 832 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 331, - production: &[ParseType::N(165), ParseType::N(42), ParseType::N(563)], + lhs: 330, + production: &[ParseType::N(164), ParseType::N(42), ParseType::N(562)], }, - // 836 - InterfaceForDeclarationOpt: ; + // 833 - InterfaceForDeclarationOpt: ; Production { - lhs: 331, + lhs: 330, production: &[], }, - // 837 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; + // 834 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; Production { - lhs: 340, + lhs: 339, production: &[ - ParseType::N(498), - ParseType::N(341), - ParseType::N(350), - ParseType::N(245), - ParseType::N(88), + ParseType::N(497), + ParseType::N(340), + ParseType::N(349), + ParseType::N(244), + ParseType::N(87), ], }, - // 838 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; + // 835 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; Production { - lhs: 341, - production: &[ParseType::N(341), ParseType::N(332)], + lhs: 340, + production: &[ParseType::N(340), ParseType::N(331)], }, - // 839 - InterfaceNamedBlockList: ; + // 836 - InterfaceNamedBlockList: ; Production { - lhs: 341, + lhs: 340, production: &[], }, - // 840 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; + // 837 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 342, + lhs: 341, production: &[ - ParseType::N(498), + ParseType::N(497), + ParseType::N(342), + ParseType::N(349), ParseType::N(343), - ParseType::N(350), - ParseType::N(344), ], }, - // 841 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; + // 838 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; Production { - lhs: 343, - production: &[ParseType::N(343), ParseType::N(332)], + lhs: 342, + production: &[ParseType::N(342), ParseType::N(331)], }, - // 842 - InterfaceOptionalNamedBlockList: ; + // 839 - InterfaceOptionalNamedBlockList: ; Production { - lhs: 343, + lhs: 342, production: &[], }, - // 843 - InterfaceOptionalNamedBlockOpt: Colon Identifier; + // 840 - InterfaceOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 344, - production: &[ParseType::N(245), ParseType::N(88)], + lhs: 343, + production: &[ParseType::N(244), ParseType::N(87)], }, - // 844 - InterfaceOptionalNamedBlockOpt: ; + // 841 - InterfaceOptionalNamedBlockOpt: ; Production { - lhs: 344, + lhs: 343, production: &[], }, - // 845 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; + // 842 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; Production { - lhs: 332, - production: &[ParseType::N(333), ParseType::N(335)], + lhs: 331, + production: &[ParseType::N(332), ParseType::N(334)], }, - // 846 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; + // 843 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; Production { - lhs: 333, - production: &[ParseType::N(498), ParseType::N(334), ParseType::N(350)], + lhs: 332, + production: &[ParseType::N(497), ParseType::N(333), ParseType::N(349)], }, - // 847 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; + // 844 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; Production { - lhs: 334, - production: &[ParseType::N(334), ParseType::N(332)], + lhs: 333, + production: &[ParseType::N(333), ParseType::N(331)], }, - // 848 - InterfaceGroupGroupList: ; + // 845 - InterfaceGroupGroupList: ; Production { - lhs: 334, + lhs: 333, production: &[], }, - // 849 - InterfaceGroupGroup: InterfaceItem; + // 846 - InterfaceGroupGroup: InterfaceItem; Production { - lhs: 333, - production: &[ParseType::N(339)], + lhs: 332, + production: &[ParseType::N(338)], }, - // 850 - InterfaceGroupList: Attribute InterfaceGroupList; + // 847 - InterfaceGroupList: Attribute InterfaceGroupList; Production { - lhs: 335, - production: &[ParseType::N(335), ParseType::N(45)], + lhs: 334, + production: &[ParseType::N(334), ParseType::N(45)], }, - // 851 - InterfaceGroupList: ; + // 848 - InterfaceGroupList: ; Production { - lhs: 335, + lhs: 334, production: &[], }, - // 852 - InterfaceItem: LetDeclaration; + // 849 - InterfaceItem: LetDeclaration; Production { - lhs: 339, - production: &[ParseType::N(360)], + lhs: 338, + production: &[ParseType::N(359)], }, - // 853 - InterfaceItem: VarDeclaration; + // 850 - InterfaceItem: VarDeclaration; Production { - lhs: 339, - production: &[ParseType::N(607)], + lhs: 338, + production: &[ParseType::N(606)], }, - // 854 - InterfaceItem: LocalDeclaration; + // 851 - InterfaceItem: LocalDeclaration; Production { - lhs: 339, - production: &[ParseType::N(365)], + lhs: 338, + production: &[ParseType::N(364)], }, - // 855 - InterfaceItem: ModportDeclaration; + // 852 - InterfaceItem: ModportDeclaration; Production { - lhs: 339, - production: &[ParseType::N(382)], + lhs: 338, + production: &[ParseType::N(381)], }, - // 856 - InterfaceItem: InterfaceIfDeclaration; + // 853 - InterfaceItem: InterfaceIfDeclaration; Production { - lhs: 339, - production: &[ParseType::N(336)], + lhs: 338, + production: &[ParseType::N(335)], }, - // 857 - InterfaceItem: InterfaceForDeclaration; + // 854 - InterfaceItem: InterfaceForDeclaration; Production { - lhs: 339, - production: &[ParseType::N(330)], + lhs: 338, + production: &[ParseType::N(329)], }, - // 858 - InterfaceItem: TypeDefDeclaration; + // 855 - InterfaceItem: TypeDefDeclaration; Production { - lhs: 339, - production: &[ParseType::N(589)], + lhs: 338, + production: &[ParseType::N(588)], }, - // 859 - InterfaceItem: EnumDeclaration; + // 856 - InterfaceItem: EnumDeclaration; Production { - lhs: 339, - production: &[ParseType::N(142)], + lhs: 338, + production: &[ParseType::N(141)], }, - // 860 - InterfaceItem: StructUnionDeclaration; + // 857 - InterfaceItem: StructUnionDeclaration; Production { - lhs: 339, - production: &[ParseType::N(576)], + lhs: 338, + production: &[ParseType::N(575)], }, - // 861 - InterfaceItem: InterfaceNamedBlock; + // 858 - InterfaceItem: InterfaceNamedBlock; Production { - lhs: 339, - production: &[ParseType::N(340)], + lhs: 338, + production: &[ParseType::N(339)], }, - // 862 - InterfaceItem: FunctionDeclaration; + // 859 - InterfaceItem: FunctionDeclaration; Production { - lhs: 339, - production: &[ParseType::N(224)], + lhs: 338, + production: &[ParseType::N(223)], }, - // 863 - InterfaceItem: ImportDeclaration; + // 860 - InterfaceItem: ImportDeclaration; Production { - lhs: 339, - production: &[ParseType::N(271)], + lhs: 338, + production: &[ParseType::N(270)], }, - // 864 - InterfaceItem: InitialDeclaration; + // 861 - InterfaceItem: InitialDeclaration; Production { - lhs: 339, - production: &[ParseType::N(283)], + lhs: 338, + production: &[ParseType::N(282)], }, - // 865 - InterfaceItem: FinalDeclaration; + // 862 - InterfaceItem: FinalDeclaration; Production { - lhs: 339, - production: &[ParseType::N(207)], + lhs: 338, + production: &[ParseType::N(206)], }, - // 866 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; + // 863 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; Production { - lhs: 461, + lhs: 460, production: &[ - ParseType::N(498), - ParseType::N(462), - ParseType::N(350), - ParseType::N(464), - ParseType::N(245), - ParseType::N(460), + ParseType::N(497), + ParseType::N(461), + ParseType::N(349), ParseType::N(463), + ParseType::N(244), + ParseType::N(459), + ParseType::N(462), ], }, - // 867 - PackageDeclarationList: PackageGroup PackageDeclarationList; + // 864 - PackageDeclarationList: PackageGroup PackageDeclarationList; Production { - lhs: 462, - production: &[ParseType::N(462), ParseType::N(465)], + lhs: 461, + production: &[ParseType::N(461), ParseType::N(464)], }, - // 868 - PackageDeclarationList: ; + // 865 - PackageDeclarationList: ; Production { - lhs: 462, + lhs: 461, production: &[], }, - // 869 - PackageDeclarationOpt0: WithGenericParameter; + // 866 - PackageDeclarationOpt0: WithGenericParameter; Production { - lhs: 464, - production: &[ParseType::N(623)], + lhs: 463, + production: &[ParseType::N(622)], }, - // 870 - PackageDeclarationOpt0: ; + // 867 - PackageDeclarationOpt0: ; Production { - lhs: 464, + lhs: 463, production: &[], }, - // 871 - PackageDeclarationOpt: Pub; + // 868 - PackageDeclarationOpt: Pub; Production { - lhs: 463, - production: &[ParseType::N(489)], + lhs: 462, + production: &[ParseType::N(488)], }, - // 872 - PackageDeclarationOpt: ; + // 869 - PackageDeclarationOpt: ; Production { - lhs: 463, + lhs: 462, production: &[], }, - // 873 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; + // 870 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; Production { - lhs: 465, - production: &[ParseType::N(466), ParseType::N(468)], + lhs: 464, + production: &[ParseType::N(465), ParseType::N(467)], }, - // 874 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; + // 871 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; Production { - lhs: 466, - production: &[ParseType::N(498), ParseType::N(467), ParseType::N(350)], + lhs: 465, + production: &[ParseType::N(497), ParseType::N(466), ParseType::N(349)], }, - // 875 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; + // 872 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; Production { - lhs: 467, - production: &[ParseType::N(467), ParseType::N(465)], + lhs: 466, + production: &[ParseType::N(466), ParseType::N(464)], }, - // 876 - PackageGroupGroupList: ; + // 873 - PackageGroupGroupList: ; Production { - lhs: 467, + lhs: 466, production: &[], }, - // 877 - PackageGroupGroup: PackageItem; + // 874 - PackageGroupGroup: PackageItem; Production { - lhs: 466, - production: &[ParseType::N(469)], + lhs: 465, + production: &[ParseType::N(468)], }, - // 878 - PackageGroupList: Attribute PackageGroupList; + // 875 - PackageGroupList: Attribute PackageGroupList; Production { - lhs: 468, - production: &[ParseType::N(468), ParseType::N(45)], + lhs: 467, + production: &[ParseType::N(467), ParseType::N(45)], }, - // 879 - PackageGroupList: ; + // 876 - PackageGroupList: ; Production { - lhs: 468, + lhs: 467, production: &[], }, - // 880 - PackageItem: VarDeclaration; + // 877 - PackageItem: VarDeclaration; Production { - lhs: 469, - production: &[ParseType::N(607)], + lhs: 468, + production: &[ParseType::N(606)], }, - // 881 - PackageItem: LocalDeclaration; + // 878 - PackageItem: LocalDeclaration; Production { - lhs: 469, - production: &[ParseType::N(365)], + lhs: 468, + production: &[ParseType::N(364)], }, - // 882 - PackageItem: TypeDefDeclaration; + // 879 - PackageItem: TypeDefDeclaration; Production { - lhs: 469, - production: &[ParseType::N(589)], + lhs: 468, + production: &[ParseType::N(588)], }, - // 883 - PackageItem: EnumDeclaration; + // 880 - PackageItem: EnumDeclaration; Production { - lhs: 469, - production: &[ParseType::N(142)], + lhs: 468, + production: &[ParseType::N(141)], }, - // 884 - PackageItem: StructUnionDeclaration; + // 881 - PackageItem: StructUnionDeclaration; Production { - lhs: 469, - production: &[ParseType::N(576)], + lhs: 468, + production: &[ParseType::N(575)], }, - // 885 - PackageItem: FunctionDeclaration; + // 882 - PackageItem: FunctionDeclaration; Production { - lhs: 469, - production: &[ParseType::N(224)], + lhs: 468, + production: &[ParseType::N(223)], }, - // 886 - PackageItem: ImportDeclaration; + // 883 - PackageItem: ImportDeclaration; Production { - lhs: 469, - production: &[ParseType::N(271)], + lhs: 468, + production: &[ParseType::N(270)], }, - // 887 - PackageItem: ExportDeclaration; + // 884 - PackageItem: ExportDeclaration; Production { - lhs: 469, - production: &[ParseType::N(160)], + lhs: 468, + production: &[ParseType::N(159)], }, - // 888 - PackageItem: InitialDeclaration; + // 885 - PackageItem: InitialDeclaration; Production { - lhs: 469, - production: &[ParseType::N(283)], + lhs: 468, + production: &[ParseType::N(282)], }, - // 889 - PackageItem: FinalDeclaration; + // 886 - PackageItem: FinalDeclaration; Production { - lhs: 469, - production: &[ParseType::N(207)], + lhs: 468, + production: &[ParseType::N(206)], }, - // 890 - EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; + // 887 - EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; Production { - lhs: 136, + lhs: 135, production: &[ - ParseType::N(133), - ParseType::N(245), - ParseType::N(504), - ParseType::N(245), - ParseType::N(356), ParseType::N(132), + ParseType::N(244), + ParseType::N(503), + ParseType::N(244), + ParseType::N(355), + ParseType::N(131), ], }, - // 891 - EmbedContent: EmbedContentToken : crate::veryl_token::VerylToken ; + // 888 - EmbedContent: EmbedContentToken : crate::veryl_token::VerylToken ; Production { - lhs: 133, - production: &[ParseType::N(134)], + lhs: 132, + production: &[ParseType::N(133)], }, - // 892 - EmbedContentToken: LBraceTerm Push(1) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm Pop Comments; + // 889 - EmbedContentToken: LBraceTerm Push(1) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm Pop Comments; Production { - lhs: 134, + lhs: 133, production: &[ - ParseType::N(100), + ParseType::N(99), ParseType::Pop, - ParseType::N(499), - ParseType::N(499), - ParseType::N(499), - ParseType::N(135), - ParseType::N(351), - ParseType::N(351), + ParseType::N(498), + ParseType::N(498), + ParseType::N(498), + ParseType::N(134), + ParseType::N(350), + ParseType::N(350), ParseType::Push(1), - ParseType::N(351), + ParseType::N(350), ], }, - // 893 - EmbedContentTokenList: EmbedItem EmbedContentTokenList; + // 890 - EmbedContentTokenList: EmbedItem EmbedContentTokenList; Production { - lhs: 135, - production: &[ParseType::N(135), ParseType::N(137)], + lhs: 134, + production: &[ParseType::N(134), ParseType::N(136)], }, - // 894 - EmbedContentTokenList: ; + // 891 - EmbedContentTokenList: ; Production { - lhs: 135, + lhs: 134, production: &[], }, - // 895 - EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; + // 892 - EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; Production { - lhs: 137, - production: &[ParseType::N(499), ParseType::N(138), ParseType::N(351)], + lhs: 136, + production: &[ParseType::N(498), ParseType::N(137), ParseType::N(350)], }, - // 896 - EmbedItemList: EmbedItem EmbedItemList; + // 893 - EmbedItemList: EmbedItem EmbedItemList; Production { - lhs: 138, - production: &[ParseType::N(138), ParseType::N(137)], + lhs: 137, + production: &[ParseType::N(137), ParseType::N(136)], }, - // 897 - EmbedItemList: ; + // 894 - EmbedItemList: ; Production { - lhs: 138, + lhs: 137, production: &[], }, - // 898 - EmbedItem: AnyTerm; + // 895 - EmbedItem: AnyTerm; Production { - lhs: 137, + lhs: 136, production: &[ParseType::N(18)], }, - // 899 - IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; + // 896 - IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; Production { - lhs: 279, + lhs: 278, production: &[ - ParseType::N(551), - ParseType::N(504), - ParseType::N(567), - ParseType::N(97), - ParseType::N(245), - ParseType::N(356), - ParseType::N(278), + ParseType::N(550), + ParseType::N(503), + ParseType::N(566), + ParseType::N(96), + ParseType::N(244), + ParseType::N(355), + ParseType::N(277), ], }, - // 900 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; + // 897 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; Production { - lhs: 111, - production: &[ParseType::N(112), ParseType::N(114)], + lhs: 110, + production: &[ParseType::N(111), ParseType::N(113)], }, - // 901 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; + // 898 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; Production { - lhs: 112, - production: &[ParseType::N(498), ParseType::N(113), ParseType::N(350)], + lhs: 111, + production: &[ParseType::N(497), ParseType::N(112), ParseType::N(349)], }, - // 902 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; + // 899 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; Production { - lhs: 113, - production: &[ParseType::N(113), ParseType::N(111)], + lhs: 112, + production: &[ParseType::N(112), ParseType::N(110)], }, - // 903 - DescriptionGroupGroupList: ; + // 900 - DescriptionGroupGroupList: ; Production { - lhs: 113, + lhs: 112, production: &[], }, - // 904 - DescriptionGroupGroup: DescriptionItem; + // 901 - DescriptionGroupGroup: DescriptionItem; Production { - lhs: 112, - production: &[ParseType::N(115)], + lhs: 111, + production: &[ParseType::N(114)], }, - // 905 - DescriptionGroupList: Attribute DescriptionGroupList; + // 902 - DescriptionGroupList: Attribute DescriptionGroupList; Production { - lhs: 114, - production: &[ParseType::N(114), ParseType::N(45)], + lhs: 113, + production: &[ParseType::N(113), ParseType::N(45)], }, - // 906 - DescriptionGroupList: ; + // 903 - DescriptionGroupList: ; Production { - lhs: 114, + lhs: 113, production: &[], }, - // 907 - DescriptionItem: ModuleDeclaration; + // 904 - DescriptionItem: ModuleDeclaration; Production { - lhs: 115, - production: &[ParseType::N(393)], + lhs: 114, + production: &[ParseType::N(392)], }, - // 908 - DescriptionItem: InterfaceDeclaration; + // 905 - DescriptionItem: InterfaceDeclaration; Production { - lhs: 115, - production: &[ParseType::N(325)], + lhs: 114, + production: &[ParseType::N(324)], }, - // 909 - DescriptionItem: PackageDeclaration; + // 906 - DescriptionItem: PackageDeclaration; Production { - lhs: 115, - production: &[ParseType::N(461)], + lhs: 114, + production: &[ParseType::N(460)], }, - // 910 - DescriptionItem: ImportDeclaration; + // 907 - DescriptionItem: ImportDeclaration; Production { - lhs: 115, - production: &[ParseType::N(271)], + lhs: 114, + production: &[ParseType::N(270)], }, - // 911 - DescriptionItem: EmbedDeclaration; + // 908 - DescriptionItem: EmbedDeclaration; Production { - lhs: 115, - production: &[ParseType::N(136)], + lhs: 114, + production: &[ParseType::N(135)], }, - // 912 - DescriptionItem: IncludeDeclaration; + // 909 - DescriptionItem: IncludeDeclaration; Production { - lhs: 115, - production: &[ParseType::N(279)], + lhs: 114, + production: &[ParseType::N(278)], }, - // 913 - Veryl: Start VerylList /* Vec */; + // 910 - Veryl: Start VerylList /* Vec */; Production { - lhs: 613, - production: &[ParseType::N(614), ParseType::N(560)], + lhs: 612, + production: &[ParseType::N(613), ParseType::N(559)], }, - // 914 - VerylList: DescriptionGroup VerylList; + // 911 - VerylList: DescriptionGroup VerylList; Production { - lhs: 614, - production: &[ParseType::N(614), ParseType::N(111)], + lhs: 613, + production: &[ParseType::N(613), ParseType::N(110)], }, - // 915 - VerylList: ; + // 912 - VerylList: ; Production { - lhs: 614, + lhs: 613, production: &[], }, ]; @@ -21659,7 +21635,7 @@ where T: AsRef, { let mut llk_parser = LLKParser::new( - 613, + 612, LOOKAHEAD_AUTOMATA, PRODUCTIONS, TERMINAL_NAMES, diff --git a/crates/parser/src/veryl_walker.rs b/crates/parser/src/veryl_walker.rs index d1d3c080..7ba50579 100644 --- a/crates/parser/src/veryl_walker.rs +++ b/crates/parser/src/veryl_walker.rs @@ -1192,27 +1192,19 @@ pub trait VerylWalker { self.case(&arg.case); self.expression(&arg.expression); self.l_brace(&arg.l_brace); - self.expression(&arg.expression0); - for x in &arg.case_expression_list { - self.comma(&x.comma); - self.expression(&x.expression); - } + self.case_condition(&arg.case_condition); self.colon(&arg.colon); - self.expression(&arg.expression1); + self.expression(&arg.expression0); self.comma(&arg.comma); - for x in &arg.case_expression_list0 { - self.expression(&x.expression); - for x in &x.case_expression_list0_list { - self.comma(&x.comma); - self.expression(&x.expression); - } + for x in &arg.case_expression_list { + self.case_condition(&x.case_condition); self.colon(&x.colon); - self.expression(&x.expression0); + self.expression(&x.expression); self.comma(&x.comma); } self.defaul(&arg.defaul); self.colon(&arg.colon0); - self.expression(&arg.expression2); + self.expression(&arg.expression1); if let Some(ref x) = arg.case_expression_opt { self.comma(&x.comma); } @@ -1595,13 +1587,7 @@ pub trait VerylWalker { fn case_item(&mut self, arg: &CaseItem) { before!(self, case_item, arg); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { - self.comma(&x.comma); - self.expression(&x.expression); - } - } + CaseItemGroup::CaseCondition(x) => self.case_condition(&x.case_condition), CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), } self.colon(&arg.colon); @@ -1618,6 +1604,17 @@ pub trait VerylWalker { after!(self, case_item, arg); } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, arg: &CaseCondition) { + before!(self, case_condition, arg); + self.expression(&arg.expression); + for x in &arg.case_condition_list { + self.comma(&x.comma); + self.expression(&x.expression); + } + after!(self, case_condition, arg); + } + /// Semantic action for non-terminal 'Attribute' fn attribute(&mut self, arg: &Attribute) { before!(self, attribute, arg); diff --git a/crates/parser/veryl.par b/crates/parser/veryl.par index 67d88aed..f62f3cb2 100644 --- a/crates/parser/veryl.par +++ b/crates/parser/veryl.par @@ -450,7 +450,7 @@ ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ) IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; -CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; +CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma { CaseCondition Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen @@ -543,7 +543,9 @@ ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator CaseStatement: Case Expression LBrace { CaseItem } RBrace; -CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); +CaseItem: ( CaseCondition | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); + +CaseCondition: Expression { Comma Expression } ; // ---------------------------------------------------------------------------- // Attribute diff --git a/testcases/map/testcases/sv/16_case.sv.map b/testcases/map/testcases/sv/16_case.sv.map index 2363327e..2c9d88e3 100644 --- a/testcases/map/testcases/sv/16_case.sv.map +++ b/testcases/map/testcases/sv/16_case.sv.map @@ -1 +1 @@ -{"version":3,"file":"16_case.sv.map","sources":["../../../veryl/16_case.veryl"],"names":["","module","Module16",";","logic","a","x","=","1","y","always_comb","begin","case",")","0",":","2","end","3",",","4","-","default","endcase","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE;kBAASC,EAAEC,CAACL;IACTC,MAAHK;kBAASF,EAAEC,CAACL;;IAEhBO,YAAYC;QACRC,MAAKN,CAAEO;YACHC,CAACC,EAAEV,EAAEE,EAAEC,CAACL;YACRK,CAACO,EAAEV,EAAEE,EAAEC,CAACL;YACRa,CAACD,EAAEJ;mBACIN,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;eACTc;YACHC,CAACC,EAAEC,IAAIL,EAAEV,EAAEE,EAAEC,CAACL;YACdM,EAAEY,EAAEb,GAAGO,EAAEV,EAAEE,EAAEC,CAACL;YACdmB,OAAOP,EAAEV,EAAEE,EAAEC,CAACL;QAClBoB;IACJN;AACJO"} \ No newline at end of file +{"version":3,"file":"16_case.sv.map","sources":["../../../veryl/16_case.veryl"],"names":["","module","Module16",";","logic","a","x","=","1","y","always_comb","begin","case",") inside","0",":","2","end","3",",","4","-","default","endcase","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE;kBAASC,EAAEC,CAACL;IACTC,MAAHK;kBAASF,EAAEC,CAACL;;IAEhBO,YAAYC;QACRC,MAAKN,CAAEO;YACHC,CAACC,EAAEV,EAAEE,EAAEC,CAACL;YACRK,CAACO,EAAEV,EAAEE,EAAEC,CAACL;YACRa,CAACD,EAAEJ;mBACIN,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;eACTc;YACHC,CAACC,EAAEC,IAAIL,EAAEV,EAAEE,EAAEC,CAACL;YACdM,EAAEY,EAAEb,GAAGO,EAAEV,EAAEE,EAAEC,CAACL;YACdmB,OAAOP,EAAEV,EAAEE,EAAEC,CAACL;QAClBoB;IACJN;AACJO"} \ No newline at end of file diff --git a/testcases/map/testcases/sv/20_if_case_expression.sv.map b/testcases/map/testcases/sv/20_if_case_expression.sv.map index 58f7ed1e..f0a17859 100644 --- a/testcases/map/testcases/sv/20_if_case_expression.sv.map +++ b/testcases/map/testcases/sv/20_if_case_expression.sv.map @@ -1 +1 @@ -{"version":3,"file":"20_if_case_expression.sv.map","sources":["../../../veryl/20_if_case_expression.veryl"],"names":["","module","Module20",";","logic","a","b","x","=","1","y","always_comb","((",") ? (",")",":","(","2","))","0","3","4","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE,CAAQH;IACLC,MAAHG;kBAASC,EAAEC,CAACN;IACTC,MAAHM;kBAASF,EAAEC,CAACN;;IAEhBQ,YAAON,EAAEG,EAAEI,EAAGL,CAAEM;QACZJ;IACJK,EAAEC,EAAKC;QACHJ,EAAGF,CAAEG;YACDJ;QACJK,EAAEC,EAAKC;YACHC;QACJC;IACJA,EAACf;;IAEDQ,YAAOL,EAAEE,EAAEI,EAAKP,KACZI;QAASU;SADGd,KAEZY;QAASR;IAACK,KAFET,KAGZe;QAASH;IAACH,KAHET,KAGTgB;QAAMJ;IAACH;QACDM;IACbF,EAACf;AACLmB"} \ No newline at end of file +{"version":3,"file":"20_if_case_expression.sv.map","sources":["../../../veryl/20_if_case_expression.veryl"],"names":["","module","Module20",";","logic","a","b","x","=","1","y","always_comb","((",") ? (",")",":","(","2","))","0","3","4","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE,CAAQH;IACLC,MAAHG;kBAASC,EAAEC,CAACN;IACTC,MAAHM;kBAASF,EAAEC,CAACN;;IAEhBQ,YAAON,EAAEG,EAAEI,EAAGL,CAAEM;QACZJ;IACJK,EAAEC,EAAKC;QACHJ,EAAGF,CAAEG;YACDJ;QACJK,EAAEC,EAAKC;YACHC;QACJC;IACJA,EAACf;;IAEDQ,YAAOL,EAAEE,EAAEI,EAAKP,MACZI;QAASU;SADGd,MAEZY;QAASR;IAACK,KAFET,MAGZe;QAASH;IAACH,KAHET,MAGTgB;QAAMJ;IAACH;QACDM;IACbF,EAACf;AACLmB"} \ No newline at end of file diff --git a/testcases/map/testcases/sv/53_multiline_comment_case.sv.map b/testcases/map/testcases/sv/53_multiline_comment_case.sv.map index 44cba834..f91f2872 100644 --- a/testcases/map/testcases/sv/53_multiline_comment_case.sv.map +++ b/testcases/map/testcases/sv/53_multiline_comment_case.sv.map @@ -1 +1 @@ -{"version":3,"file":"53_multiline_comment_case.sv.map","sources":["../../../veryl/53_multiline_comment_case.veryl"],"names":["","module","Module53",";","typedef enum","logic","{","EnumA_A","EnumA","_a","always_comb","begin","case",")",":","=","0","default","1","endcase","end","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACZC,aAAYC,MAAMC;QACdC,OAACP;MADAQ,MAELR;;IAEQK,MAAJI,EAASN;IACbO,YAAYC;QACRC,MAAKL,OAASM;;;YAGVN,OAAQO,EAAEL,GAAGM,EAAEC,CAACb;YAChBc,OAAQH,EAAEL,GAAGM,EAAEG,CAACf;QACpBgB;IACJC;AACJC"} \ No newline at end of file +{"version":3,"file":"53_multiline_comment_case.sv.map","sources":["../../../veryl/53_multiline_comment_case.veryl"],"names":["","module","Module53",";","typedef enum","logic","{","EnumA_A","EnumA","_a","always_comb","begin","case",") inside",":","=","0","default","1","endcase","end","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACZC,aAAYC,MAAMC;QACdC,OAACP;MADAQ,MAELR;;IAEQK,MAAJI,EAASN;IACbO,YAAYC;QACRC,MAAKL,OAASM;;;YAGVN,OAAQO,EAAEL,GAAGM,EAAEC,CAACb;YAChBc,OAAQH,EAAEL,GAAGM,EAAEG,CAACf;QACpBgB;IACJC;AACJC"} \ No newline at end of file diff --git a/testcases/sv/16_case.sv b/testcases/sv/16_case.sv index 690756e0..21d78462 100644 --- a/testcases/sv/16_case.sv +++ b/testcases/sv/16_case.sv @@ -6,7 +6,7 @@ module veryl_testcase_Module16; always_comb y = 1; always_comb begin - case (x) + case (x) inside 0: a = 1; 1: a = 1; 2: begin diff --git a/testcases/sv/20_if_case_expression.sv b/testcases/sv/20_if_case_expression.sv index 662bd5e7..32a1f59e 100644 --- a/testcases/sv/20_if_case_expression.sv +++ b/testcases/sv/20_if_case_expression.sv @@ -16,13 +16,13 @@ module veryl_testcase_Module20; )) )); - always_comb b = ((a == 1) ? ( + always_comb b = ((a ==? 1) ? ( 0 - ) : (a == 2) ? ( + ) : (a ==? 2) ? ( 1 - ) : (a == 3) ? ( + ) : (a ==? 3) ? ( 2 - ) : (a == 4) ? ( + ) : (a ==? 4) ? ( 2 ) : ( 3 diff --git a/testcases/sv/53_multiline_comment_case.sv b/testcases/sv/53_multiline_comment_case.sv index 5fd9d26c..36e384ac 100644 --- a/testcases/sv/53_multiline_comment_case.sv +++ b/testcases/sv/53_multiline_comment_case.sv @@ -5,7 +5,7 @@ module veryl_testcase_Module53; logic _a; always_comb begin - case (EnumA_A) + case (EnumA_A) inside /* */ EnumA_A: _a = 0;