diff --git a/crates/analyzer/src/analyzer_error.rs b/crates/analyzer/src/analyzer_error.rs index 07da8f82..84cbc41e 100644 --- a/crates/analyzer/src/analyzer_error.rs +++ b/crates/analyzer/src/analyzer_error.rs @@ -391,6 +391,40 @@ pub enum AnalyzerError { error_location: SourceSpan, }, + #[diagnostic( + severity(Error), + code(unknown_embed_lang), + help(""), + url( + "https://doc.veryl-lang.org/book/06_appendix/02_semantic_error.html#unknown_embed_lang" + ) + )] + #[error("\"{name}\" is not valid embed language")] + UnknownEmbedLang { + name: String, + #[source_code] + input: NamedSource, + #[label("Error location")] + error_location: SourceSpan, + }, + + #[diagnostic( + severity(Error), + code(unknown_embed_way), + help(""), + url( + "https://doc.veryl-lang.org/book/06_appendix/02_semantic_error.html#unknown_embed_way" + ) + )] + #[error("\"{name}\" is not valid embed way")] + UnknownEmbedWay { + name: String, + #[source_code] + input: NamedSource, + #[label("Error location")] + error_location: SourceSpan, + }, + #[diagnostic( severity(Error), code(unknown_member), @@ -777,6 +811,22 @@ impl AnalyzerError { } } + pub fn unknown_embed_lang(name: &str, source: &str, token: &Token) -> Self { + AnalyzerError::UnknownEmbedLang { + name: name.to_string(), + input: AnalyzerError::named_source(source, token), + error_location: token.into(), + } + } + + pub fn unknown_embed_way(name: &str, source: &str, token: &Token) -> Self { + AnalyzerError::UnknownEmbedWay { + name: name.to_string(), + input: AnalyzerError::named_source(source, token), + error_location: token.into(), + } + } + pub fn unknown_member(name: &str, member: &str, source: &str, token: &Token) -> Self { AnalyzerError::UnknownMember { name: name.to_string(), diff --git a/crates/analyzer/src/handlers.rs b/crates/analyzer/src/handlers.rs index e2515368..427627bd 100644 --- a/crates/analyzer/src/handlers.rs +++ b/crates/analyzer/src/handlers.rs @@ -1,6 +1,7 @@ pub mod check_assignment; pub mod check_attribute; pub mod check_direction; +pub mod check_embed; pub mod check_enum; pub mod check_function; pub mod check_identifier; @@ -14,6 +15,7 @@ pub mod create_symbol_table; pub mod create_type_dag; use check_attribute::*; use check_direction::*; +use check_embed::*; use check_enum::*; use check_function::*; use check_identifier::*; @@ -34,6 +36,7 @@ use self::{check_assignment::CheckAssignment, create_type_dag::CreateTypeDag}; pub struct Pass1Handlers<'a> { check_attribute: CheckAttribute<'a>, check_direction: CheckDirection<'a>, + check_embed: CheckEmbed<'a>, check_identifier: CheckIdentifier<'a>, check_number: CheckNumber<'a>, check_reset: CheckReset<'a>, @@ -46,6 +49,7 @@ impl<'a> Pass1Handlers<'a> { Self { check_attribute: CheckAttribute::new(text), check_direction: CheckDirection::new(text), + check_embed: CheckEmbed::new(text), check_identifier: CheckIdentifier::new(text, lint_opt), check_number: CheckNumber::new(text), check_reset: CheckReset::new(text), @@ -58,6 +62,7 @@ impl<'a> Pass1Handlers<'a> { vec![ &mut self.check_attribute as &mut dyn Handler, &mut self.check_direction as &mut dyn Handler, + &mut self.check_embed as &mut dyn Handler, &mut self.check_identifier as &mut dyn Handler, &mut self.check_number as &mut dyn Handler, &mut self.check_reset as &mut dyn Handler, @@ -70,6 +75,7 @@ impl<'a> Pass1Handlers<'a> { let mut ret = Vec::new(); ret.append(&mut self.check_attribute.errors); ret.append(&mut self.check_direction.errors); + ret.append(&mut self.check_embed.errors); ret.append(&mut self.check_identifier.errors); ret.append(&mut self.check_number.errors); ret.append(&mut self.check_reset.errors); diff --git a/crates/analyzer/src/handlers/check_embed.rs b/crates/analyzer/src/handlers/check_embed.rs new file mode 100644 index 00000000..3f50b50c --- /dev/null +++ b/crates/analyzer/src/handlers/check_embed.rs @@ -0,0 +1,55 @@ +use crate::analyzer_error::AnalyzerError; +use veryl_parser::veryl_grammar_trait::*; +use veryl_parser::veryl_walker::{Handler, HandlerPoint}; +use veryl_parser::ParolError; + +pub struct CheckEmbed<'a> { + pub errors: Vec, + text: &'a str, + point: HandlerPoint, +} + +impl<'a> CheckEmbed<'a> { + pub fn new(text: &'a str) -> Self { + Self { + errors: Vec::new(), + text, + point: HandlerPoint::Before, + } + } +} + +impl<'a> Handler for CheckEmbed<'a> { + fn set_point(&mut self, p: HandlerPoint) { + self.point = p; + } +} + +impl<'a> VerylGrammarTrait for CheckEmbed<'a> { + fn embed_declaration(&mut self, arg: &EmbedDeclaration) -> Result<(), ParolError> { + if let HandlerPoint::Before = self.point { + let way = arg.identifier.identifier_token.to_string(); + let lang = arg.identifier0.identifier_token.to_string(); + + if !EMBED_WAY.contains(&way.as_str()) { + self.errors.push(AnalyzerError::unknown_embed_way( + &way, + self.text, + &arg.identifier.identifier_token.token, + )); + } + + if !EMBED_LANG.contains(&lang.as_str()) { + self.errors.push(AnalyzerError::unknown_embed_lang( + &lang, + self.text, + &arg.identifier0.identifier_token.token, + )); + } + } + Ok(()) + } +} + +const EMBED_WAY: [&str; 1] = ["inline"]; +const EMBED_LANG: [&str; 1] = ["sv"]; diff --git a/crates/analyzer/src/tests.rs b/crates/analyzer/src/tests.rs index 73b5ff48..8e07e83d 100644 --- a/crates/analyzer/src/tests.rs +++ b/crates/analyzer/src/tests.rs @@ -2,6 +2,7 @@ use crate::{Analyzer, AnalyzerError}; use veryl_metadata::Metadata; use veryl_parser::Parser; +#[track_caller] fn analyze(code: &str) -> Vec { let metadata: Metadata = toml::from_str(&Metadata::create_default_toml("prj").unwrap()).unwrap(); @@ -427,6 +428,28 @@ fn unknown_attribute() { assert!(matches!(errors[0], AnalyzerError::UnknownAttribute { .. })); } +#[test] +fn unknown_embed_lang() { + let code = r#" + embed (inline) x{{{ + }}} + "#; + + let errors = analyze(code); + assert!(matches!(errors[0], AnalyzerError::UnknownEmbedLang { .. })); +} + +#[test] +fn unknown_embed_way() { + let code = r#" + embed (x) sv{{{ + }}} + "#; + + let errors = analyze(code); + assert!(matches!(errors[0], AnalyzerError::UnknownEmbedWay { .. })); +} + #[test] fn unknown_member() { let code = r#" diff --git a/crates/emitter/src/emitter.rs b/crates/emitter/src/emitter.rs index e5cf4c8e..dc4d496f 100644 --- a/crates/emitter/src/emitter.rs +++ b/crates/emitter/src/emitter.rs @@ -2731,6 +2731,16 @@ impl VerylWalker for Emitter { } } + /// Semantic action for non-terminal 'EmbedDeclaration' + fn embed_declaration(&mut self, arg: &EmbedDeclaration) { + if arg.identifier.identifier_token.to_string() == "inline" { + let text = arg.embed_content.embed_content_token.to_string(); + let text = text.strip_prefix("{{{").unwrap(); + let text = text.strip_suffix("}}}").unwrap(); + self.str(text); + } + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, arg: &DescriptionGroup) { for x in &arg.description_group_list { @@ -2764,6 +2774,7 @@ impl VerylWalker for Emitter { } // file scope import is not emitted at SystemVerilog DescriptionItem::ImportDeclaration(_) => (), + DescriptionItem::EmbedDeclaration(x) => self.embed_declaration(&x.embed_declaration), }; } diff --git a/crates/formatter/src/formatter.rs b/crates/formatter/src/formatter.rs index 7524e972..2ddc81a9 100644 --- a/crates/formatter/src/formatter.rs +++ b/crates/formatter/src/formatter.rs @@ -1733,6 +1733,18 @@ impl VerylWalker for Formatter { } } + /// Semantic action for non-terminal 'EmbedDeclaration' + fn embed_declaration(&mut self, arg: &EmbedDeclaration) { + self.embed(&arg.embed); + self.space(1); + self.l_paren(&arg.l_paren); + self.identifier(&arg.identifier); + self.r_paren(&arg.r_paren); + self.space(1); + self.identifier(&arg.identifier0); + self.embed_content(&arg.embed_content); + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, arg: &DescriptionGroup) { for x in &arg.description_group_list { diff --git a/crates/languageserver/src/keyword.rs b/crates/languageserver/src/keyword.rs index 858f4c64..b86dcf3c 100644 --- a/crates/languageserver/src/keyword.rs +++ b/crates/languageserver/src/keyword.rs @@ -9,6 +9,7 @@ pub const KEYWORDS: &[&str] = &[ "case", "default", "else", + "embed", "enum", "export", "f32", diff --git a/crates/parser/src/generated/veryl-exp.par b/crates/parser/src/generated/veryl-exp.par index 0a94ac2e..118949a4 100644 --- a/crates/parser/src/generated/veryl-exp.par +++ b/crates/parser/src/generated/veryl-exp.par @@ -4,6 +4,8 @@ %user_type Token = crate::veryl_token::Token %user_type VerylToken = crate::veryl_token::VerylToken +%scanner Embed { %auto_newline_off %auto_ws_off } + %% /* 0 */ CommentsTerm: "(?:(?:(?://.*(?:\r\n|\r|\n|$))|(?:(?ms)/\u{2a}.*?\u{2a}/))\s*)+" : Token; @@ -39,11 +41,11 @@ /* 30 */ EquTerm: '=' : Token; /* 31 */ HashTerm: '#' : Token; /* 32 */ LAngleTerm: '<' : Token; -/* 33 */ LBraceTerm: '{' : Token; +/* 33 */ LBraceTerm: '{' : Token; /* 34 */ LBracketTerm: '[' : Token; /* 35 */ LParenTerm: '(' : Token; /* 36 */ RAngleTerm: '>' : Token; -/* 37 */ RBraceTerm: '}' : Token; +/* 37 */ RBraceTerm: '}' : Token; /* 38 */ RBracketTerm: ']' : Token; /* 39 */ RParenTerm: ')' : Token; /* 40 */ SemicolonTerm: ';' : Token; @@ -58,802 +60,816 @@ /* 49 */ CaseTerm: /(?-u:\b)case(?-u:\b)/ : Token; /* 50 */ DefaultTerm: /(?-u:\b)default(?-u:\b)/ : Token; /* 51 */ ElseTerm: /(?-u:\b)else(?-u:\b)/ : Token; -/* 52 */ EnumTerm: /(?-u:\b)enum(?-u:\b)/ : Token; -/* 53 */ ExportTerm: /(?-u:\b)export(?-u:\b)/ : Token; -/* 54 */ F32Term: /(?-u:\b)f32(?-u:\b)/ : Token; -/* 55 */ F64Term: /(?-u:\b)f64(?-u:\b)/ : Token; -/* 56 */ FinalTerm: /(?-u:\b)final(?-u:\b)/ : Token; -/* 57 */ ForTerm: /(?-u:\b)for(?-u:\b)/ : Token; -/* 58 */ FunctionTerm: /(?-u:\b)function(?-u:\b)/ : Token; -/* 59 */ I32Term: /(?-u:\b)i32(?-u:\b)/ : Token; -/* 60 */ I64Term: /(?-u:\b)i64(?-u:\b)/ : Token; -/* 61 */ IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/ : Token; -/* 62 */ IfTerm: /(?-u:\b)if(?-u:\b)/ : Token; -/* 63 */ ImportTerm: /(?-u:\b)import(?-u:\b)/ : Token; -/* 64 */ InitialTerm: /(?-u:\b)initial(?-u:\b)/ : Token; -/* 65 */ InoutTerm: /(?-u:\b)inout(?-u:\b)/ : Token; -/* 66 */ InputTerm: /(?-u:\b)input(?-u:\b)/ : Token; -/* 67 */ InsideTerm: /(?-u:\b)inside(?-u:\b)/ : Token; -/* 68 */ InstTerm: /(?-u:\b)inst(?-u:\b)/ : Token; -/* 69 */ InterfaceTerm: /(?-u:\b)interface(?-u:\b)/ : Token; -/* 70 */ InTerm: /(?-u:\b)in(?-u:\b)/ : Token; -/* 71 */ LetTerm: /(?-u:\b)let(?-u:\b)/ : Token; -/* 72 */ LocalTerm: /(?-u:\b)local(?-u:\b)/ : Token; -/* 73 */ LogicTerm: /(?-u:\b)logic(?-u:\b)/ : Token; -/* 74 */ LsbTerm: /(?-u:\b)lsb(?-u:\b)/ : Token; -/* 75 */ ModportTerm: /(?-u:\b)modport(?-u:\b)/ : Token; -/* 76 */ ModuleTerm: /(?-u:\b)module(?-u:\b)/ : Token; -/* 77 */ MsbTerm: /(?-u:\b)msb(?-u:\b)/ : Token; -/* 78 */ NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/ : Token; -/* 79 */ OutputTerm: /(?-u:\b)output(?-u:\b)/ : Token; -/* 80 */ OutsideTerm: /(?-u:\b)outside(?-u:\b)/ : Token; -/* 81 */ PackageTerm: /(?-u:\b)package(?-u:\b)/ : Token; -/* 82 */ ParamTerm: /(?-u:\b)param(?-u:\b)/ : Token; -/* 83 */ PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/ : Token; -/* 84 */ PubTerm: /(?-u:\b)pub(?-u:\b)/ : Token; -/* 85 */ RefTerm: /(?-u:\b)ref(?-u:\b)/ : Token; -/* 86 */ RepeatTerm: /(?-u:\b)repeat(?-u:\b)/ : Token; -/* 87 */ ReturnTerm: /(?-u:\b)return(?-u:\b)/ : Token; -/* 88 */ BreakTerm: /(?-u:\b)break(?-u:\b)/ : Token; -/* 89 */ SignedTerm: /(?-u:\b)signed(?-u:\b)/ : Token; -/* 90 */ StepTerm: /(?-u:\b)step(?-u:\b)/ : Token; -/* 91 */ StringTerm: /(?-u:\b)string(?-u:\b)/ : Token; -/* 92 */ StructTerm: /(?-u:\b)struct(?-u:\b)/ : Token; -/* 93 */ SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/ : Token; -/* 94 */ SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/ : Token; -/* 95 */ TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token; -/* 96 */ TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token; -/* 97 */ U32Term: /(?-u:\b)u32(?-u:\b)/ : Token; -/* 98 */ U64Term: /(?-u:\b)u64(?-u:\b)/ : Token; -/* 99 */ UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token; -/* 100 */ VarTerm: /(?-u:\b)var(?-u:\b)/ : Token; -/* 101 */ IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; -/* 102 */ Comments: CommentsOpt /* Option */; -/* 103 */ CommentsOpt /* Option::Some */: CommentsTerm; -/* 104 */ CommentsOpt /* Option::None */: ; -/* 105 */ StartToken: Comments; -/* 106 */ StringLiteralToken: StringLiteralTerm : Token Comments; -/* 107 */ ExponentToken: ExponentTerm : Token Comments; -/* 108 */ FixedPointToken: FixedPointTerm : Token Comments; -/* 109 */ BasedToken: BasedTerm : Token Comments; -/* 110 */ BaseLessToken: BaseLessTerm : Token Comments; -/* 111 */ AllBitToken: AllBitTerm : Token Comments; -/* 112 */ AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments; -/* 113 */ Operator01Token: Operator01Term : Token Comments; -/* 114 */ Operator02Token: Operator02Term : Token Comments; -/* 115 */ Operator03Token: Operator03Term : Token Comments; -/* 116 */ Operator04Token: Operator04Term : Token Comments; -/* 117 */ Operator05Token: Operator05Term : Token Comments; -/* 118 */ Operator06Token: Operator06Term : Token Comments; -/* 119 */ Operator07Token: Operator07Term : Token Comments; -/* 120 */ Operator08Token: Operator08Term : Token Comments; -/* 121 */ Operator09Token: Operator09Term : Token Comments; -/* 122 */ Operator10Token: Operator10Term : Token Comments; -/* 123 */ Operator11Token: Operator11Term : Token Comments; -/* 124 */ UnaryOperatorToken: UnaryOperatorTerm : Token Comments; -/* 125 */ ColonToken: ColonTerm : Token Comments; -/* 126 */ ColonColonToken: ColonColonTerm : Token Comments; -/* 127 */ CommaToken: CommaTerm : Token Comments; -/* 128 */ DollarToken: DollarTerm : Token Comments; -/* 129 */ DotDotToken: DotDotTerm : Token Comments; -/* 130 */ DotDotEquToken: DotDotEquTerm : Token Comments; -/* 131 */ DotToken: DotTerm : Token Comments; -/* 132 */ EquToken: EquTerm : Token Comments; -/* 133 */ HashToken: HashTerm : Token Comments; -/* 134 */ LAngleToken: LAngleTerm : Token Comments; -/* 135 */ LBraceToken: LBraceTerm : Token Comments; -/* 136 */ LBracketToken: LBracketTerm : Token Comments; -/* 137 */ LParenToken: LParenTerm : Token Comments; -/* 138 */ MinusColonToken: MinusColonTerm : Token Comments; -/* 139 */ MinusGTToken: MinusGTTerm : Token Comments; -/* 140 */ PlusColonToken: PlusColonTerm : Token Comments; -/* 141 */ RAngleToken: RAngleTerm : Token Comments; -/* 142 */ RBraceToken: RBraceTerm : Token Comments; -/* 143 */ RBracketToken: RBracketTerm : Token Comments; -/* 144 */ RParenToken: RParenTerm : Token Comments; -/* 145 */ SemicolonToken: SemicolonTerm : Token Comments; -/* 146 */ StarToken: StarTerm : Token Comments; -/* 147 */ AlwaysCombToken: AlwaysCombTerm : Token Comments; -/* 148 */ AlwaysFfToken: AlwaysFfTerm : Token Comments; -/* 149 */ AsToken: AsTerm : Token Comments; -/* 150 */ AssignToken: AssignTerm : Token Comments; -/* 151 */ AsyncHighToken: AsyncHighTerm : Token Comments; -/* 152 */ AsyncLowToken: AsyncLowTerm : Token Comments; -/* 153 */ BitToken: BitTerm : Token Comments; -/* 154 */ CaseToken: CaseTerm : Token Comments; -/* 155 */ DefaultToken: DefaultTerm : Token Comments; -/* 156 */ ElseToken: ElseTerm : Token Comments; -/* 157 */ EnumToken: EnumTerm : Token Comments; -/* 158 */ ExportToken: ExportTerm : Token Comments; -/* 159 */ F32Token: F32Term : Token Comments; -/* 160 */ F64Token: F64Term : Token Comments; -/* 161 */ FinalToken: FinalTerm : Token Comments; -/* 162 */ ForToken: ForTerm : Token Comments; -/* 163 */ FunctionToken: FunctionTerm : Token Comments; -/* 164 */ I32Token: I32Term : Token Comments; -/* 165 */ I64Token: I64Term : Token Comments; -/* 166 */ IfResetToken: IfResetTerm : Token Comments; -/* 167 */ IfToken: IfTerm : Token Comments; -/* 168 */ ImportToken: ImportTerm : Token Comments; -/* 169 */ InitialToken: InitialTerm : Token Comments; -/* 170 */ InoutToken: InoutTerm : Token Comments; -/* 171 */ InputToken: InputTerm : Token Comments; -/* 172 */ InsideToken: InsideTerm : Token Comments; -/* 173 */ InstToken: InstTerm : Token Comments; -/* 174 */ InterfaceToken: InterfaceTerm : Token Comments; -/* 175 */ InToken: InTerm : Token Comments; -/* 176 */ LetToken: LetTerm : Token Comments; -/* 177 */ LocalToken: LocalTerm : Token Comments; -/* 178 */ LogicToken: LogicTerm : Token Comments; -/* 179 */ LsbToken: LsbTerm : Token Comments; -/* 180 */ ModportToken: ModportTerm : Token Comments; -/* 181 */ ModuleToken: ModuleTerm : Token Comments; -/* 182 */ MsbToken: MsbTerm : Token Comments; -/* 183 */ NegedgeToken: NegedgeTerm : Token Comments; -/* 184 */ OutputToken: OutputTerm : Token Comments; -/* 185 */ OutsideToken: OutsideTerm : Token Comments; -/* 186 */ PackageToken: PackageTerm : Token Comments; -/* 187 */ ParamToken: ParamTerm : Token Comments; -/* 188 */ PosedgeToken: PosedgeTerm : Token Comments; -/* 189 */ PubToken: PubTerm : Token Comments; -/* 190 */ RefToken: RefTerm : Token Comments; -/* 191 */ RepeatToken: RepeatTerm : Token Comments; -/* 192 */ ReturnToken: ReturnTerm : Token Comments; -/* 193 */ BreakToken: BreakTerm : Token Comments; -/* 194 */ SignedToken: SignedTerm : Token Comments; -/* 195 */ StepToken: StepTerm : Token Comments; -/* 196 */ StringToken: StringTerm : Token Comments; -/* 197 */ StructToken: StructTerm : Token Comments; -/* 198 */ SyncHighToken: SyncHighTerm : Token Comments; -/* 199 */ SyncLowToken: SyncLowTerm : Token Comments; -/* 200 */ TriToken: TriTerm : Token Comments; -/* 201 */ TypeToken: TypeTerm : Token Comments; -/* 202 */ U32Token: U32Term : Token Comments; -/* 203 */ U64Token: U64Term : Token Comments; -/* 204 */ UnionToken: UnionTerm : Token Comments; -/* 205 */ VarToken: VarTerm : Token Comments; -/* 206 */ IdentifierToken: IdentifierTerm : Token Comments; -/* 207 */ Start: StartToken : VerylToken; -/* 208 */ StringLiteral: StringLiteralToken : VerylToken; -/* 209 */ Exponent: ExponentToken : VerylToken; -/* 210 */ FixedPoint: FixedPointToken : VerylToken; -/* 211 */ Based: BasedToken : VerylToken; -/* 212 */ BaseLess: BaseLessToken : VerylToken; -/* 213 */ AllBit: AllBitToken : VerylToken; -/* 214 */ AssignmentOperator: AssignmentOperatorToken : VerylToken; -/* 215 */ Operator01: Operator01Token : VerylToken; -/* 216 */ Operator02: Operator02Token : VerylToken; -/* 217 */ Operator03: Operator03Token : VerylToken; -/* 218 */ Operator04: Operator04Token : VerylToken; -/* 219 */ Operator05: Operator05Token : VerylToken; -/* 220 */ Operator06: Operator06Token : VerylToken; -/* 221 */ Operator07: Operator07Token : VerylToken; -/* 222 */ Operator08: Operator08Token : VerylToken; -/* 223 */ Operator09: Operator09Token : VerylToken; -/* 224 */ Operator10: Operator10Token : VerylToken; -/* 225 */ Operator11: Operator11Token : VerylToken; -/* 226 */ UnaryOperator: UnaryOperatorToken : VerylToken; -/* 227 */ Colon: ColonToken : VerylToken; -/* 228 */ ColonColon: ColonColonToken : VerylToken; -/* 229 */ Comma: CommaToken : VerylToken; -/* 230 */ Dollar: DollarToken : VerylToken; -/* 231 */ DotDot: DotDotToken : VerylToken; -/* 232 */ DotDotEqu: DotDotEquToken : VerylToken; -/* 233 */ Dot: DotToken : VerylToken; -/* 234 */ Equ: EquToken : VerylToken; -/* 235 */ Hash: HashToken : VerylToken; -/* 236 */ LAngle: LAngleToken : VerylToken; -/* 237 */ LBrace: LBraceToken : VerylToken; -/* 238 */ LBracket: LBracketToken : VerylToken; -/* 239 */ LParen: LParenToken : VerylToken; -/* 240 */ MinusColon: MinusColonToken : VerylToken; -/* 241 */ MinusGT: MinusGTToken : VerylToken; -/* 242 */ PlusColon: PlusColonToken : VerylToken; -/* 243 */ RAngle: RAngleToken : VerylToken; -/* 244 */ RBrace: RBraceToken : VerylToken; -/* 245 */ RBracket: RBracketToken : VerylToken; -/* 246 */ RParen: RParenToken : VerylToken; -/* 247 */ Semicolon: SemicolonToken : VerylToken; -/* 248 */ Star: StarToken : VerylToken; -/* 249 */ AlwaysComb: AlwaysCombToken : VerylToken; -/* 250 */ AlwaysFf: AlwaysFfToken : VerylToken; -/* 251 */ As: AsToken : VerylToken; -/* 252 */ Assign: AssignToken : VerylToken; -/* 253 */ AsyncHigh: AsyncHighToken : VerylToken; -/* 254 */ AsyncLow: AsyncLowToken : VerylToken; -/* 255 */ Bit: BitToken : VerylToken; -/* 256 */ Break: BreakToken : VerylToken; -/* 257 */ Case: CaseToken : VerylToken; -/* 258 */ Defaul: DefaultToken : VerylToken; -/* 259 */ Else: ElseToken : VerylToken; -/* 260 */ Enum: EnumToken : VerylToken; -/* 261 */ Export: ExportToken : VerylToken; -/* 262 */ F32: F32Token : VerylToken; -/* 263 */ F64: F64Token : VerylToken; -/* 264 */ Final: FinalToken : VerylToken; -/* 265 */ For: ForToken : VerylToken; -/* 266 */ Function: FunctionToken : VerylToken; -/* 267 */ I32: I32Token : VerylToken; -/* 268 */ I64: I64Token : VerylToken; -/* 269 */ If: IfToken : VerylToken; -/* 270 */ IfReset: IfResetToken : VerylToken; -/* 271 */ Import: ImportToken : VerylToken; -/* 272 */ In: InToken : VerylToken; -/* 273 */ Initial: InitialToken : VerylToken; -/* 274 */ Inout: InoutToken : VerylToken; -/* 275 */ Input: InputToken : VerylToken; -/* 276 */ Inside: InsideToken : VerylToken; -/* 277 */ Inst: InstToken : VerylToken; -/* 278 */ Interface: InterfaceToken : VerylToken; -/* 279 */ Let: LetToken : VerylToken; -/* 280 */ Local: LocalToken : VerylToken; -/* 281 */ Logic: LogicToken : VerylToken; -/* 282 */ Lsb: LsbToken : VerylToken; -/* 283 */ Modport: ModportToken : VerylToken; -/* 284 */ Module: ModuleToken : VerylToken; -/* 285 */ Msb: MsbToken : VerylToken; -/* 286 */ Negedge: NegedgeToken : VerylToken; -/* 287 */ Output: OutputToken : VerylToken; -/* 288 */ Outside: OutsideToken : VerylToken; -/* 289 */ Package: PackageToken : VerylToken; -/* 290 */ Param: ParamToken : VerylToken; -/* 291 */ Posedge: PosedgeToken : VerylToken; -/* 292 */ Pub: PubToken : VerylToken; -/* 293 */ Ref: RefToken : VerylToken; -/* 294 */ Repeat: RepeatToken : VerylToken; -/* 295 */ Return: ReturnToken : VerylToken; -/* 296 */ Signed: SignedToken : VerylToken; -/* 297 */ Step: StepToken : VerylToken; -/* 298 */ Strin: StringToken : VerylToken; -/* 299 */ Struct: StructToken : VerylToken; -/* 300 */ SyncHigh: SyncHighToken : VerylToken; -/* 301 */ SyncLow: SyncLowToken : VerylToken; -/* 302 */ Tri: TriToken : VerylToken; -/* 303 */ Type: TypeToken : VerylToken; -/* 304 */ U32: U32Token : VerylToken; -/* 305 */ U64: U64Token : VerylToken; -/* 306 */ Union: UnionToken : VerylToken; -/* 307 */ Var: VarToken : VerylToken; -/* 308 */ Identifier: IdentifierToken : VerylToken; -/* 309 */ Number: IntegralNumber; -/* 310 */ Number: RealNumber; -/* 311 */ IntegralNumber: Based; -/* 312 */ IntegralNumber: BaseLess; -/* 313 */ IntegralNumber: AllBit; -/* 314 */ RealNumber: FixedPoint; -/* 315 */ RealNumber: Exponent; -/* 316 */ HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; -/* 317 */ HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; -/* 318 */ HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List; -/* 319 */ HierarchicalIdentifierList0List /* Vec::New */: ; -/* 320 */ HierarchicalIdentifierList0 /* Vec::New */: ; -/* 321 */ HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList; -/* 322 */ HierarchicalIdentifierList /* Vec::New */: ; -/* 323 */ ScopedIdentifier: ScopedIdentifierOpt /* Option */ Identifier ScopedIdentifierList /* Vec */; -/* 324 */ ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierList; -/* 325 */ ScopedIdentifierList /* Vec::New */: ; -/* 326 */ ScopedIdentifierOpt /* Option::Some */: Dollar; -/* 327 */ ScopedIdentifierOpt /* Option::None */: ; -/* 328 */ ExpressionIdentifier: ExpressionIdentifierOpt /* Option */ Identifier ExpressionIdentifierGroup; -/* 329 */ ExpressionIdentifierGroup: ExpressionIdentifierScoped; -/* 330 */ ExpressionIdentifierGroup: ExpressionIdentifierMember; -/* 331 */ ExpressionIdentifierOpt /* Option::Some */: Dollar; -/* 332 */ ExpressionIdentifierOpt /* Option::None */: ; -/* 333 */ ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; -/* 334 */ ExpressionIdentifierScopedList0 /* Vec::Push */: Select ExpressionIdentifierScopedList0; -/* 335 */ ExpressionIdentifierScopedList0 /* Vec::New */: ; -/* 336 */ ExpressionIdentifierScopedList /* Vec::Push */: ColonColon Identifier ExpressionIdentifierScopedList; -/* 337 */ ExpressionIdentifierScopedList /* Vec::New */: ; -/* 338 */ ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; -/* 339 */ ExpressionIdentifierMemberList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; -/* 340 */ ExpressionIdentifierMemberList0List /* Vec::Push */: Select ExpressionIdentifierMemberList0List; -/* 341 */ ExpressionIdentifierMemberList0List /* Vec::New */: ; -/* 342 */ ExpressionIdentifierMemberList0 /* Vec::New */: ; -/* 343 */ ExpressionIdentifierMemberList /* Vec::Push */: Select ExpressionIdentifierMemberList; -/* 344 */ ExpressionIdentifierMemberList /* Vec::New */: ; -/* 345 */ Expression: Expression01 ExpressionList /* Vec */; -/* 346 */ ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList; -/* 347 */ ExpressionList /* Vec::New */: ; -/* 348 */ Expression01: Expression02 Expression01List /* Vec */; -/* 349 */ Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List; -/* 350 */ Expression01List /* Vec::New */: ; -/* 351 */ Expression02: Expression03 Expression02List /* Vec */; -/* 352 */ Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List; -/* 353 */ Expression02List /* Vec::New */: ; -/* 354 */ Expression03: Expression04 Expression03List /* Vec */; -/* 355 */ Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List; -/* 356 */ Expression03List /* Vec::New */: ; -/* 357 */ Expression04: Expression05 Expression04List /* Vec */; -/* 358 */ Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List; -/* 359 */ Expression04List /* Vec::New */: ; -/* 360 */ Expression05: Expression06 Expression05List /* Vec */; -/* 361 */ Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List; -/* 362 */ Expression05List /* Vec::New */: ; -/* 363 */ Expression06: Expression07 Expression06List /* Vec */; -/* 364 */ Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List; -/* 365 */ Expression06List /* Vec::New */: ; -/* 366 */ Expression07: Expression08 Expression07List /* Vec */; -/* 367 */ Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List; -/* 368 */ Expression07List /* Vec::New */: ; -/* 369 */ Expression08: Expression09 Expression08List /* Vec */; -/* 370 */ Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List; -/* 371 */ Expression08List /* Vec::New */: ; -/* 372 */ Expression09: Expression10 Expression09List /* Vec */; -/* 373 */ Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List; -/* 374 */ Expression09ListGroup: Operator10; -/* 375 */ Expression09ListGroup: Star; -/* 376 */ Expression09List /* Vec::New */: ; -/* 377 */ Expression10: Expression11 Expression10List /* Vec */; -/* 378 */ Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List; -/* 379 */ Expression10List /* Vec::New */: ; -/* 380 */ Expression11: Expression12 Expression11List /* Vec */; -/* 381 */ Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List; -/* 382 */ Expression11List /* Vec::New */: ; -/* 383 */ Expression12: Expression12List /* Vec */ Factor; -/* 384 */ Expression12List /* Vec::Push */: Expression12ListGroup Expression12List; -/* 385 */ Expression12ListGroup: UnaryOperator; -/* 386 */ Expression12ListGroup: Operator09; -/* 387 */ Expression12ListGroup: Operator05; -/* 388 */ Expression12ListGroup: Operator03; -/* 389 */ Expression12ListGroup: Operator04; -/* 390 */ Expression12List /* Vec::New */: ; -/* 391 */ Factor: Number; -/* 392 */ Factor: ExpressionIdentifier FactorOpt /* Option */; -/* 393 */ Factor: LParen Expression RParen; -/* 394 */ Factor: LBrace ConcatenationList RBrace; -/* 395 */ Factor: IfExpression; -/* 396 */ Factor: CaseExpression; -/* 397 */ Factor: StringLiteral; -/* 398 */ Factor: FactorGroup; -/* 399 */ FactorGroup: Msb; -/* 400 */ FactorGroup: Lsb; -/* 401 */ Factor: InsideExpression; -/* 402 */ Factor: OutsideExpression; -/* 403 */ FactorOpt /* Option::Some */: FunctionCall; -/* 404 */ FactorOpt /* Option::None */: ; -/* 405 */ FunctionCall: LParen FunctionCallOpt /* Option */ RParen; -/* 406 */ FunctionCallOpt /* Option::Some */: ArgumentList; -/* 407 */ FunctionCallOpt /* Option::None */: ; -/* 408 */ ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; -/* 409 */ ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList; -/* 410 */ ArgumentListList /* Vec::New */: ; -/* 411 */ ArgumentListOpt /* Option::Some */: Comma; -/* 412 */ ArgumentListOpt /* Option::None */: ; -/* 413 */ ArgumentItem: Expression; -/* 414 */ ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; -/* 415 */ ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList; -/* 416 */ ConcatenationListList /* Vec::New */: ; -/* 417 */ ConcatenationListOpt /* Option::Some */: Comma; -/* 418 */ ConcatenationListOpt /* Option::None */: ; -/* 419 */ ConcatenationItem: Expression ConcatenationItemOpt /* Option */; -/* 420 */ ConcatenationItemOpt /* Option::Some */: Repeat Expression; -/* 421 */ ConcatenationItemOpt /* Option::None */: ; -/* 422 */ IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; -/* 423 */ IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList; -/* 424 */ IfExpressionList /* Vec::New */: ; -/* 425 */ CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; -/* 426 */ CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; -/* 427 */ CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List; -/* 428 */ CaseExpressionList0List /* Vec::New */: ; -/* 429 */ CaseExpressionList0 /* Vec::New */: ; -/* 430 */ CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList; -/* 431 */ CaseExpressionList /* Vec::New */: ; -/* 432 */ CaseExpressionOpt /* Option::Some */: Comma; -/* 433 */ CaseExpressionOpt /* Option::None */: ; -/* 434 */ TypeExpression: ScalarType; -/* 435 */ TypeExpression: Type LParen Expression RParen; -/* 436 */ InsideExpression: Inside Expression LBrace RangeList RBrace; -/* 437 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; -/* 438 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; -/* 439 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; -/* 440 */ RangeListList /* Vec::New */: ; -/* 441 */ RangeListOpt /* Option::Some */: Comma; -/* 442 */ RangeListOpt /* Option::None */: ; -/* 443 */ RangeItem: Range; -/* 444 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; -/* 445 */ SelectOpt /* Option::Some */: SelectOperator Expression; -/* 446 */ SelectOpt /* Option::None */: ; -/* 447 */ SelectOperator: Colon; -/* 448 */ SelectOperator: PlusColon; -/* 449 */ SelectOperator: MinusColon; -/* 450 */ SelectOperator: Step; -/* 451 */ Width: LAngle Expression WidthList /* Vec */ RAngle; -/* 452 */ WidthList /* Vec::Push */: Comma Expression WidthList; -/* 453 */ WidthList /* Vec::New */: ; -/* 454 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; -/* 455 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; -/* 456 */ ArrayList /* Vec::New */: ; -/* 457 */ Range: Expression RangeOpt /* Option */; -/* 458 */ RangeOpt /* Option::Some */: RangeOperator Expression; -/* 459 */ RangeOpt /* Option::None */: ; -/* 460 */ RangeOperator: DotDot; -/* 461 */ RangeOperator: DotDotEqu; -/* 462 */ FixedType: U32; -/* 463 */ FixedType: U64; -/* 464 */ FixedType: I32; -/* 465 */ FixedType: I64; -/* 466 */ FixedType: F32; -/* 467 */ FixedType: F64; -/* 468 */ FixedType: Strin; -/* 469 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; -/* 470 */ VariableTypeGroup: Logic; -/* 471 */ VariableTypeGroup: Bit; -/* 472 */ VariableTypeGroup: ScopedIdentifier; -/* 473 */ VariableTypeOpt /* Option::Some */: Width; -/* 474 */ VariableTypeOpt /* Option::None */: ; -/* 475 */ TypeModifier: Tri; -/* 476 */ TypeModifier: Signed; -/* 477 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; -/* 478 */ ScalarTypeGroup: VariableType; -/* 479 */ ScalarTypeGroup: FixedType; -/* 480 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; -/* 481 */ ScalarTypeList /* Vec::New */: ; -/* 482 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; -/* 483 */ ArrayTypeOpt /* Option::Some */: Array; -/* 484 */ ArrayTypeOpt /* Option::None */: ; -/* 485 */ Statement: LetStatement; -/* 486 */ Statement: IdentifierStatement; -/* 487 */ Statement: IfStatement; -/* 488 */ Statement: IfResetStatement; -/* 489 */ Statement: ReturnStatement; -/* 490 */ Statement: BreakStatement; -/* 491 */ Statement: ForStatement; -/* 492 */ Statement: CaseStatement; -/* 493 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 494 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; -/* 495 */ IdentifierStatementGroup: FunctionCall; -/* 496 */ IdentifierStatementGroup: Assignment; -/* 497 */ Assignment: AssignmentGroup Expression; -/* 498 */ AssignmentGroup: Equ; -/* 499 */ AssignmentGroup: AssignmentOperator; -/* 500 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; -/* 501 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; -/* 502 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; -/* 503 */ IfStatementList0List /* Vec::New */: ; -/* 504 */ IfStatementList0 /* Vec::New */: ; -/* 505 */ IfStatementList /* Vec::Push */: Statement IfStatementList; -/* 506 */ IfStatementList /* Vec::New */: ; -/* 507 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; -/* 508 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; -/* 509 */ IfStatementOptList /* Vec::New */: ; -/* 510 */ IfStatementOpt /* Option::None */: ; -/* 511 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; -/* 512 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; -/* 513 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; -/* 514 */ IfResetStatementList0List /* Vec::New */: ; -/* 515 */ IfResetStatementList0 /* Vec::New */: ; -/* 516 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; -/* 517 */ IfResetStatementList /* Vec::New */: ; -/* 518 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; -/* 519 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; -/* 520 */ IfResetStatementOptList /* Vec::New */: ; -/* 521 */ IfResetStatementOpt /* Option::None */: ; -/* 522 */ ReturnStatement: Return Expression Semicolon; -/* 523 */ BreakStatement: Break Semicolon; -/* 524 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; -/* 525 */ ForStatementList /* Vec::Push */: Statement ForStatementList; -/* 526 */ ForStatementList /* Vec::New */: ; -/* 527 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 528 */ ForStatementOpt /* Option::None */: ; -/* 529 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; -/* 530 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; -/* 531 */ CaseStatementList /* Vec::New */: ; -/* 532 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; -/* 533 */ CaseItemGroup0: Statement; -/* 534 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; -/* 535 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; -/* 536 */ CaseItemGroup0List /* Vec::New */: ; -/* 537 */ CaseItemGroup: Expression CaseItemGroupList /* Vec */; -/* 538 */ CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList; -/* 539 */ CaseItemGroupList /* Vec::New */: ; -/* 540 */ CaseItemGroup: Defaul; -/* 541 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; -/* 542 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; -/* 543 */ AttributeOpt /* Option::None */: ; -/* 544 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; -/* 545 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; -/* 546 */ AttributeListList /* Vec::New */: ; -/* 547 */ AttributeListOpt /* Option::Some */: Comma; -/* 548 */ AttributeListOpt /* Option::None */: ; -/* 549 */ AttributeItem: Identifier; -/* 550 */ AttributeItem: StringLiteral; -/* 551 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 552 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; -/* 553 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; -/* 554 */ LocalDeclarationGroup: ArrayType Equ Expression; -/* 555 */ LocalDeclarationGroup: Type Equ TypeExpression; -/* 556 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; -/* 557 */ AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; -/* 558 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; -/* 559 */ AlwaysFfDeclarationList /* Vec::New */: ; -/* 560 */ AlwaysFfDeclarationOpt /* Option::Some */: Comma AlwaysFfReset; -/* 561 */ AlwaysFfDeclarationOpt /* Option::None */: ; -/* 562 */ AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; -/* 563 */ AlwaysFfClockOpt /* Option::Some */: AlwaysFfClockOptGroup; -/* 564 */ AlwaysFfClockOptGroup: Posedge; -/* 565 */ AlwaysFfClockOptGroup: Negedge; -/* 566 */ AlwaysFfClockOpt /* Option::None */: ; -/* 567 */ AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; -/* 568 */ AlwaysFfResetOpt /* Option::Some */: AlwaysFfResetOptGroup; -/* 569 */ AlwaysFfResetOptGroup: AsyncLow; -/* 570 */ AlwaysFfResetOptGroup: AsyncHigh; -/* 571 */ AlwaysFfResetOptGroup: SyncLow; -/* 572 */ AlwaysFfResetOptGroup: SyncHigh; -/* 573 */ AlwaysFfResetOpt /* Option::None */: ; -/* 574 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; -/* 575 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; -/* 576 */ AlwaysCombDeclarationList /* Vec::New */: ; -/* 577 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; -/* 578 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; -/* 579 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; -/* 580 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; -/* 581 */ ModportListList /* Vec::New */: ; -/* 582 */ ModportListOpt /* Option::Some */: Comma; -/* 583 */ ModportListOpt /* Option::None */: ; -/* 584 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; -/* 585 */ ModportGroupGroup: LBrace ModportList RBrace; -/* 586 */ ModportGroupGroup: ModportItem; -/* 587 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; -/* 588 */ ModportGroupList /* Vec::New */: ; -/* 589 */ ModportItem: Identifier Colon Direction; -/* 590 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; -/* 591 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; -/* 592 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; -/* 593 */ EnumListList /* Vec::New */: ; -/* 594 */ EnumListOpt /* Option::Some */: Comma; -/* 595 */ EnumListOpt /* Option::None */: ; -/* 596 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; -/* 597 */ EnumGroupGroup: LBrace EnumList RBrace; -/* 598 */ EnumGroupGroup: EnumItem; -/* 599 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; -/* 600 */ EnumGroupList /* Vec::New */: ; -/* 601 */ EnumItem: Identifier EnumItemOpt /* Option */; -/* 602 */ EnumItemOpt /* Option::Some */: Equ Expression; -/* 603 */ EnumItemOpt /* Option::None */: ; -/* 604 */ StructUnion: Struct; -/* 605 */ StructUnion: Union; -/* 606 */ StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; -/* 607 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; -/* 608 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; -/* 609 */ StructUnionListList /* Vec::New */: ; -/* 610 */ StructUnionListOpt /* Option::Some */: Comma; -/* 611 */ StructUnionListOpt /* Option::None */: ; -/* 612 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; -/* 613 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; -/* 614 */ StructUnionGroupGroup: StructUnionItem; -/* 615 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; -/* 616 */ StructUnionGroupList /* Vec::New */: ; -/* 617 */ StructUnionItem: Identifier Colon ScalarType; -/* 618 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; -/* 619 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; -/* 620 */ InitialDeclarationList /* Vec::New */: ; -/* 621 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; -/* 622 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; -/* 623 */ FinalDeclarationList /* Vec::New */: ; -/* 624 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; -/* 625 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; -/* 626 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; -/* 627 */ InstDeclarationOpt2 /* Option::None */: ; -/* 628 */ InstDeclarationOpt1 /* Option::None */: ; -/* 629 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; -/* 630 */ InstDeclarationOpt0 /* Option::None */: ; -/* 631 */ InstDeclarationOpt /* Option::Some */: Array; -/* 632 */ InstDeclarationOpt /* Option::None */: ; -/* 633 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; -/* 634 */ InstParameterOpt /* Option::Some */: InstParameterList; -/* 635 */ InstParameterOpt /* Option::None */: ; -/* 636 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; -/* 637 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; -/* 638 */ InstParameterListList /* Vec::New */: ; -/* 639 */ InstParameterListOpt /* Option::Some */: Comma; -/* 640 */ InstParameterListOpt /* Option::None */: ; -/* 641 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; -/* 642 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; -/* 643 */ InstParameterGroupGroup: InstParameterItem; -/* 644 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; -/* 645 */ InstParameterGroupList /* Vec::New */: ; -/* 646 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; -/* 647 */ InstParameterItemOpt /* Option::Some */: Colon Expression; -/* 648 */ InstParameterItemOpt /* Option::None */: ; -/* 649 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; -/* 650 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; -/* 651 */ InstPortListList /* Vec::New */: ; -/* 652 */ InstPortListOpt /* Option::Some */: Comma; -/* 653 */ InstPortListOpt /* Option::None */: ; -/* 654 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; -/* 655 */ InstPortGroupGroup: LBrace InstPortList RBrace; -/* 656 */ InstPortGroupGroup: InstPortItem; -/* 657 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; -/* 658 */ InstPortGroupList /* Vec::New */: ; -/* 659 */ InstPortItem: Identifier InstPortItemOpt /* Option */; -/* 660 */ InstPortItemOpt /* Option::Some */: Colon Expression; -/* 661 */ InstPortItemOpt /* Option::None */: ; -/* 662 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; -/* 663 */ WithParameterOpt /* Option::Some */: WithParameterList; -/* 664 */ WithParameterOpt /* Option::None */: ; -/* 665 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; -/* 666 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; -/* 667 */ WithParameterListList /* Vec::New */: ; -/* 668 */ WithParameterListOpt /* Option::Some */: Comma; -/* 669 */ WithParameterListOpt /* Option::None */: ; -/* 670 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; -/* 671 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; -/* 672 */ WithParameterGroupGroup: WithParameterItem; -/* 673 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; -/* 674 */ WithParameterGroupList /* Vec::New */: ; -/* 675 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; -/* 676 */ WithParameterItemGroup0: ArrayType Equ Expression; -/* 677 */ WithParameterItemGroup0: Type Equ TypeExpression; -/* 678 */ WithParameterItemGroup: Param; -/* 679 */ WithParameterItemGroup: Local; -/* 680 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; -/* 681 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; -/* 682 */ PortDeclarationOpt /* Option::None */: ; -/* 683 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; -/* 684 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; -/* 685 */ PortDeclarationListList /* Vec::New */: ; -/* 686 */ PortDeclarationListOpt /* Option::Some */: Comma; -/* 687 */ PortDeclarationListOpt /* Option::None */: ; -/* 688 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; -/* 689 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; -/* 690 */ PortDeclarationGroupGroup: PortDeclarationItem; -/* 691 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; -/* 692 */ PortDeclarationGroupList /* Vec::New */: ; -/* 693 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; -/* 694 */ PortDeclarationItemGroup: Direction ArrayType; -/* 695 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; -/* 696 */ PortDeclarationItemOpt /* Option::Some */: Array; -/* 697 */ PortDeclarationItemOpt /* Option::None */: ; -/* 698 */ Direction: Input; -/* 699 */ Direction: Output; -/* 700 */ Direction: Inout; -/* 701 */ Direction: Ref; -/* 702 */ Direction: Modport; -/* 703 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; -/* 704 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; -/* 705 */ FunctionDeclarationList /* Vec::New */: ; -/* 706 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; -/* 707 */ FunctionDeclarationOpt1 /* Option::None */: ; -/* 708 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; -/* 709 */ FunctionDeclarationOpt0 /* Option::None */: ; -/* 710 */ FunctionDeclarationOpt /* Option::Some */: WithParameter; -/* 711 */ FunctionDeclarationOpt /* Option::None */: ; -/* 712 */ FunctionItem: VarDeclaration; -/* 713 */ FunctionItem: Statement; -/* 714 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; -/* 715 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 716 */ ImportDeclarationOpt /* Option::None */: ; -/* 717 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; -/* 718 */ ExportDeclarationGroup: Star; -/* 719 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; -/* 720 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 721 */ ExportDeclarationOpt /* Option::None */: ; -/* 722 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; -/* 723 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; -/* 724 */ ModuleDeclarationList /* Vec::New */: ; -/* 725 */ ModuleDeclarationOpt1 /* Option::Some */: PortDeclaration; -/* 726 */ ModuleDeclarationOpt1 /* Option::None */: ; -/* 727 */ ModuleDeclarationOpt0 /* Option::Some */: WithParameter; -/* 728 */ ModuleDeclarationOpt0 /* Option::None */: ; -/* 729 */ ModuleDeclarationOpt /* Option::Some */: Pub; -/* 730 */ ModuleDeclarationOpt /* Option::None */: ; -/* 731 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; -/* 732 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; -/* 733 */ ModuleIfDeclarationList /* Vec::New */: ; -/* 734 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; -/* 735 */ ModuleIfDeclarationOpt /* Option::None */: ; -/* 736 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; -/* 737 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 738 */ ModuleForDeclarationOpt /* Option::None */: ; -/* 739 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; -/* 740 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; -/* 741 */ ModuleNamedBlockList /* Vec::New */: ; -/* 742 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; -/* 743 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; -/* 744 */ ModuleOptionalNamedBlockList /* Vec::New */: ; -/* 745 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 746 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; -/* 747 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; -/* 748 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; -/* 749 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; -/* 750 */ ModuleGroupGroupList /* Vec::New */: ; -/* 751 */ ModuleGroupGroup: ModuleItem; -/* 752 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; -/* 753 */ ModuleGroupList /* Vec::New */: ; -/* 754 */ ModuleItem: LetDeclaration; -/* 755 */ ModuleItem: VarDeclaration; -/* 756 */ ModuleItem: InstDeclaration; -/* 757 */ ModuleItem: TypeDefDeclaration; -/* 758 */ ModuleItem: LocalDeclaration; -/* 759 */ ModuleItem: AlwaysFfDeclaration; -/* 760 */ ModuleItem: AlwaysCombDeclaration; -/* 761 */ ModuleItem: AssignDeclaration; -/* 762 */ ModuleItem: FunctionDeclaration; -/* 763 */ ModuleItem: ModuleIfDeclaration; -/* 764 */ ModuleItem: ModuleForDeclaration; -/* 765 */ ModuleItem: EnumDeclaration; -/* 766 */ ModuleItem: StructUnionDeclaration; -/* 767 */ ModuleItem: ModuleNamedBlock; -/* 768 */ ModuleItem: ImportDeclaration; -/* 769 */ ModuleItem: InitialDeclaration; -/* 770 */ ModuleItem: FinalDeclaration; -/* 771 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; -/* 772 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; -/* 773 */ InterfaceDeclarationList /* Vec::New */: ; -/* 774 */ InterfaceDeclarationOpt0 /* Option::Some */: WithParameter; -/* 775 */ InterfaceDeclarationOpt0 /* Option::None */: ; -/* 776 */ InterfaceDeclarationOpt /* Option::Some */: Pub; -/* 777 */ InterfaceDeclarationOpt /* Option::None */: ; -/* 778 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; -/* 779 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; -/* 780 */ InterfaceIfDeclarationList /* Vec::New */: ; -/* 781 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; -/* 782 */ InterfaceIfDeclarationOpt /* Option::None */: ; -/* 783 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; -/* 784 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 785 */ InterfaceForDeclarationOpt /* Option::None */: ; -/* 786 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; -/* 787 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; -/* 788 */ InterfaceNamedBlockList /* Vec::New */: ; -/* 789 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; -/* 790 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; -/* 791 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; -/* 792 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 793 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; -/* 794 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; -/* 795 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; -/* 796 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; -/* 797 */ InterfaceGroupGroupList /* Vec::New */: ; -/* 798 */ InterfaceGroupGroup: InterfaceItem; -/* 799 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; -/* 800 */ InterfaceGroupList /* Vec::New */: ; -/* 801 */ InterfaceItem: LetDeclaration; -/* 802 */ InterfaceItem: VarDeclaration; -/* 803 */ InterfaceItem: LocalDeclaration; -/* 804 */ InterfaceItem: ModportDeclaration; -/* 805 */ InterfaceItem: InterfaceIfDeclaration; -/* 806 */ InterfaceItem: InterfaceForDeclaration; -/* 807 */ InterfaceItem: TypeDefDeclaration; -/* 808 */ InterfaceItem: EnumDeclaration; -/* 809 */ InterfaceItem: StructUnionDeclaration; -/* 810 */ InterfaceItem: InterfaceNamedBlock; -/* 811 */ InterfaceItem: FunctionDeclaration; -/* 812 */ InterfaceItem: ImportDeclaration; -/* 813 */ InterfaceItem: InitialDeclaration; -/* 814 */ InterfaceItem: FinalDeclaration; -/* 815 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; -/* 816 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; -/* 817 */ PackageDeclarationList /* Vec::New */: ; -/* 818 */ PackageDeclarationOpt /* Option::Some */: Pub; -/* 819 */ PackageDeclarationOpt /* Option::None */: ; -/* 820 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; -/* 821 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; -/* 822 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; -/* 823 */ PackageGroupGroupList /* Vec::New */: ; -/* 824 */ PackageGroupGroup: PackageItem; -/* 825 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; -/* 826 */ PackageGroupList /* Vec::New */: ; -/* 827 */ PackageItem: VarDeclaration; -/* 828 */ PackageItem: LocalDeclaration; -/* 829 */ PackageItem: TypeDefDeclaration; -/* 830 */ PackageItem: EnumDeclaration; -/* 831 */ PackageItem: StructUnionDeclaration; -/* 832 */ PackageItem: FunctionDeclaration; -/* 833 */ PackageItem: ImportDeclaration; -/* 834 */ PackageItem: ExportDeclaration; -/* 835 */ PackageItem: InitialDeclaration; -/* 836 */ PackageItem: FinalDeclaration; -/* 837 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; -/* 838 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; -/* 839 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; -/* 840 */ DescriptionGroupGroupList /* Vec::New */: ; -/* 841 */ DescriptionGroupGroup: DescriptionItem; -/* 842 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; -/* 843 */ DescriptionGroupList /* Vec::New */: ; -/* 844 */ DescriptionItem: ModuleDeclaration; -/* 845 */ DescriptionItem: InterfaceDeclaration; -/* 846 */ DescriptionItem: PackageDeclaration; -/* 847 */ DescriptionItem: ImportDeclaration; -/* 848 */ Veryl: Start VerylList /* Vec */; -/* 849 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; -/* 850 */ VerylList /* Vec::New */: ; +/* 52 */ EmbedTerm: /(?-u:\b)embed(?-u:\b)/ : Token; +/* 53 */ EnumTerm: /(?-u:\b)enum(?-u:\b)/ : Token; +/* 54 */ ExportTerm: /(?-u:\b)export(?-u:\b)/ : Token; +/* 55 */ F32Term: /(?-u:\b)f32(?-u:\b)/ : Token; +/* 56 */ F64Term: /(?-u:\b)f64(?-u:\b)/ : Token; +/* 57 */ FinalTerm: /(?-u:\b)final(?-u:\b)/ : Token; +/* 58 */ ForTerm: /(?-u:\b)for(?-u:\b)/ : Token; +/* 59 */ FunctionTerm: /(?-u:\b)function(?-u:\b)/ : Token; +/* 60 */ I32Term: /(?-u:\b)i32(?-u:\b)/ : Token; +/* 61 */ I64Term: /(?-u:\b)i64(?-u:\b)/ : Token; +/* 62 */ IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/ : Token; +/* 63 */ IfTerm: /(?-u:\b)if(?-u:\b)/ : Token; +/* 64 */ ImportTerm: /(?-u:\b)import(?-u:\b)/ : Token; +/* 65 */ InitialTerm: /(?-u:\b)initial(?-u:\b)/ : Token; +/* 66 */ InoutTerm: /(?-u:\b)inout(?-u:\b)/ : Token; +/* 67 */ InputTerm: /(?-u:\b)input(?-u:\b)/ : Token; +/* 68 */ InsideTerm: /(?-u:\b)inside(?-u:\b)/ : Token; +/* 69 */ InstTerm: /(?-u:\b)inst(?-u:\b)/ : Token; +/* 70 */ InterfaceTerm: /(?-u:\b)interface(?-u:\b)/ : Token; +/* 71 */ InTerm: /(?-u:\b)in(?-u:\b)/ : Token; +/* 72 */ LetTerm: /(?-u:\b)let(?-u:\b)/ : Token; +/* 73 */ LocalTerm: /(?-u:\b)local(?-u:\b)/ : Token; +/* 74 */ LogicTerm: /(?-u:\b)logic(?-u:\b)/ : Token; +/* 75 */ LsbTerm: /(?-u:\b)lsb(?-u:\b)/ : Token; +/* 76 */ ModportTerm: /(?-u:\b)modport(?-u:\b)/ : Token; +/* 77 */ ModuleTerm: /(?-u:\b)module(?-u:\b)/ : Token; +/* 78 */ MsbTerm: /(?-u:\b)msb(?-u:\b)/ : Token; +/* 79 */ NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/ : Token; +/* 80 */ OutputTerm: /(?-u:\b)output(?-u:\b)/ : Token; +/* 81 */ OutsideTerm: /(?-u:\b)outside(?-u:\b)/ : Token; +/* 82 */ PackageTerm: /(?-u:\b)package(?-u:\b)/ : Token; +/* 83 */ ParamTerm: /(?-u:\b)param(?-u:\b)/ : Token; +/* 84 */ PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/ : Token; +/* 85 */ PubTerm: /(?-u:\b)pub(?-u:\b)/ : Token; +/* 86 */ RefTerm: /(?-u:\b)ref(?-u:\b)/ : Token; +/* 87 */ RepeatTerm: /(?-u:\b)repeat(?-u:\b)/ : Token; +/* 88 */ ReturnTerm: /(?-u:\b)return(?-u:\b)/ : Token; +/* 89 */ BreakTerm: /(?-u:\b)break(?-u:\b)/ : Token; +/* 90 */ SignedTerm: /(?-u:\b)signed(?-u:\b)/ : Token; +/* 91 */ StepTerm: /(?-u:\b)step(?-u:\b)/ : Token; +/* 92 */ StringTerm: /(?-u:\b)string(?-u:\b)/ : Token; +/* 93 */ StructTerm: /(?-u:\b)struct(?-u:\b)/ : Token; +/* 94 */ SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/ : Token; +/* 95 */ SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/ : Token; +/* 96 */ TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token; +/* 97 */ TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token; +/* 98 */ U32Term: /(?-u:\b)u32(?-u:\b)/ : Token; +/* 99 */ U64Term: /(?-u:\b)u64(?-u:\b)/ : Token; +/* 100 */ UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token; +/* 101 */ VarTerm: /(?-u:\b)var(?-u:\b)/ : Token; +/* 102 */ IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; +/* 103 */ AnyTerm: /[^{}]*/ : Token; +/* 104 */ Comments: CommentsOpt /* Option */; +/* 105 */ CommentsOpt /* Option::Some */: CommentsTerm; +/* 106 */ CommentsOpt /* Option::None */: ; +/* 107 */ StartToken: Comments; +/* 108 */ StringLiteralToken: StringLiteralTerm : Token Comments; +/* 109 */ ExponentToken: ExponentTerm : Token Comments; +/* 110 */ FixedPointToken: FixedPointTerm : Token Comments; +/* 111 */ BasedToken: BasedTerm : Token Comments; +/* 112 */ BaseLessToken: BaseLessTerm : Token Comments; +/* 113 */ AllBitToken: AllBitTerm : Token Comments; +/* 114 */ AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments; +/* 115 */ Operator01Token: Operator01Term : Token Comments; +/* 116 */ Operator02Token: Operator02Term : Token Comments; +/* 117 */ Operator03Token: Operator03Term : Token Comments; +/* 118 */ Operator04Token: Operator04Term : Token Comments; +/* 119 */ Operator05Token: Operator05Term : Token Comments; +/* 120 */ Operator06Token: Operator06Term : Token Comments; +/* 121 */ Operator07Token: Operator07Term : Token Comments; +/* 122 */ Operator08Token: Operator08Term : Token Comments; +/* 123 */ Operator09Token: Operator09Term : Token Comments; +/* 124 */ Operator10Token: Operator10Term : Token Comments; +/* 125 */ Operator11Token: Operator11Term : Token Comments; +/* 126 */ UnaryOperatorToken: UnaryOperatorTerm : Token Comments; +/* 127 */ ColonToken: ColonTerm : Token Comments; +/* 128 */ ColonColonToken: ColonColonTerm : Token Comments; +/* 129 */ CommaToken: CommaTerm : Token Comments; +/* 130 */ DollarToken: DollarTerm : Token Comments; +/* 131 */ DotDotToken: DotDotTerm : Token Comments; +/* 132 */ DotDotEquToken: DotDotEquTerm : Token Comments; +/* 133 */ DotToken: DotTerm : Token Comments; +/* 134 */ EquToken: EquTerm : Token Comments; +/* 135 */ HashToken: HashTerm : Token Comments; +/* 136 */ LAngleToken: LAngleTerm : Token Comments; +/* 137 */ LBraceToken: LBraceTerm : Token Comments; +/* 138 */ LBracketToken: LBracketTerm : Token Comments; +/* 139 */ LParenToken: LParenTerm : Token Comments; +/* 140 */ MinusColonToken: MinusColonTerm : Token Comments; +/* 141 */ MinusGTToken: MinusGTTerm : Token Comments; +/* 142 */ PlusColonToken: PlusColonTerm : Token Comments; +/* 143 */ RAngleToken: RAngleTerm : Token Comments; +/* 144 */ RBraceToken: RBraceTerm : Token Comments; +/* 145 */ RBracketToken: RBracketTerm : Token Comments; +/* 146 */ RParenToken: RParenTerm : Token Comments; +/* 147 */ SemicolonToken: SemicolonTerm : Token Comments; +/* 148 */ StarToken: StarTerm : Token Comments; +/* 149 */ AlwaysCombToken: AlwaysCombTerm : Token Comments; +/* 150 */ AlwaysFfToken: AlwaysFfTerm : Token Comments; +/* 151 */ AsToken: AsTerm : Token Comments; +/* 152 */ AssignToken: AssignTerm : Token Comments; +/* 153 */ AsyncHighToken: AsyncHighTerm : Token Comments; +/* 154 */ AsyncLowToken: AsyncLowTerm : Token Comments; +/* 155 */ BitToken: BitTerm : Token Comments; +/* 156 */ CaseToken: CaseTerm : Token Comments; +/* 157 */ DefaultToken: DefaultTerm : Token Comments; +/* 158 */ ElseToken: ElseTerm : Token Comments; +/* 159 */ EmbedToken: EmbedTerm : Token Comments; +/* 160 */ EnumToken: EnumTerm : Token Comments; +/* 161 */ ExportToken: ExportTerm : Token Comments; +/* 162 */ F32Token: F32Term : Token Comments; +/* 163 */ F64Token: F64Term : Token Comments; +/* 164 */ FinalToken: FinalTerm : Token Comments; +/* 165 */ ForToken: ForTerm : Token Comments; +/* 166 */ FunctionToken: FunctionTerm : Token Comments; +/* 167 */ I32Token: I32Term : Token Comments; +/* 168 */ I64Token: I64Term : Token Comments; +/* 169 */ IfResetToken: IfResetTerm : Token Comments; +/* 170 */ IfToken: IfTerm : Token Comments; +/* 171 */ ImportToken: ImportTerm : Token Comments; +/* 172 */ InitialToken: InitialTerm : Token Comments; +/* 173 */ InoutToken: InoutTerm : Token Comments; +/* 174 */ InputToken: InputTerm : Token Comments; +/* 175 */ InsideToken: InsideTerm : Token Comments; +/* 176 */ InstToken: InstTerm : Token Comments; +/* 177 */ InterfaceToken: InterfaceTerm : Token Comments; +/* 178 */ InToken: InTerm : Token Comments; +/* 179 */ LetToken: LetTerm : Token Comments; +/* 180 */ LocalToken: LocalTerm : Token Comments; +/* 181 */ LogicToken: LogicTerm : Token Comments; +/* 182 */ LsbToken: LsbTerm : Token Comments; +/* 183 */ ModportToken: ModportTerm : Token Comments; +/* 184 */ ModuleToken: ModuleTerm : Token Comments; +/* 185 */ MsbToken: MsbTerm : Token Comments; +/* 186 */ NegedgeToken: NegedgeTerm : Token Comments; +/* 187 */ OutputToken: OutputTerm : Token Comments; +/* 188 */ OutsideToken: OutsideTerm : Token Comments; +/* 189 */ PackageToken: PackageTerm : Token Comments; +/* 190 */ ParamToken: ParamTerm : Token Comments; +/* 191 */ PosedgeToken: PosedgeTerm : Token Comments; +/* 192 */ PubToken: PubTerm : Token Comments; +/* 193 */ RefToken: RefTerm : Token Comments; +/* 194 */ RepeatToken: RepeatTerm : Token Comments; +/* 195 */ ReturnToken: ReturnTerm : Token Comments; +/* 196 */ BreakToken: BreakTerm : Token Comments; +/* 197 */ SignedToken: SignedTerm : Token Comments; +/* 198 */ StepToken: StepTerm : Token Comments; +/* 199 */ StringToken: StringTerm : Token Comments; +/* 200 */ StructToken: StructTerm : Token Comments; +/* 201 */ SyncHighToken: SyncHighTerm : Token Comments; +/* 202 */ SyncLowToken: SyncLowTerm : Token Comments; +/* 203 */ TriToken: TriTerm : Token Comments; +/* 204 */ TypeToken: TypeTerm : Token Comments; +/* 205 */ U32Token: U32Term : Token Comments; +/* 206 */ U64Token: U64Term : Token Comments; +/* 207 */ UnionToken: UnionTerm : Token Comments; +/* 208 */ VarToken: VarTerm : Token Comments; +/* 209 */ IdentifierToken: IdentifierTerm : Token Comments; +/* 210 */ Start: StartToken : VerylToken; +/* 211 */ StringLiteral: StringLiteralToken : VerylToken; +/* 212 */ Exponent: ExponentToken : VerylToken; +/* 213 */ FixedPoint: FixedPointToken : VerylToken; +/* 214 */ Based: BasedToken : VerylToken; +/* 215 */ BaseLess: BaseLessToken : VerylToken; +/* 216 */ AllBit: AllBitToken : VerylToken; +/* 217 */ AssignmentOperator: AssignmentOperatorToken : VerylToken; +/* 218 */ Operator01: Operator01Token : VerylToken; +/* 219 */ Operator02: Operator02Token : VerylToken; +/* 220 */ Operator03: Operator03Token : VerylToken; +/* 221 */ Operator04: Operator04Token : VerylToken; +/* 222 */ Operator05: Operator05Token : VerylToken; +/* 223 */ Operator06: Operator06Token : VerylToken; +/* 224 */ Operator07: Operator07Token : VerylToken; +/* 225 */ Operator08: Operator08Token : VerylToken; +/* 226 */ Operator09: Operator09Token : VerylToken; +/* 227 */ Operator10: Operator10Token : VerylToken; +/* 228 */ Operator11: Operator11Token : VerylToken; +/* 229 */ UnaryOperator: UnaryOperatorToken : VerylToken; +/* 230 */ Colon: ColonToken : VerylToken; +/* 231 */ ColonColon: ColonColonToken : VerylToken; +/* 232 */ Comma: CommaToken : VerylToken; +/* 233 */ Dollar: DollarToken : VerylToken; +/* 234 */ DotDot: DotDotToken : VerylToken; +/* 235 */ DotDotEqu: DotDotEquToken : VerylToken; +/* 236 */ Dot: DotToken : VerylToken; +/* 237 */ Equ: EquToken : VerylToken; +/* 238 */ Hash: HashToken : VerylToken; +/* 239 */ LAngle: LAngleToken : VerylToken; +/* 240 */ LBrace: LBraceToken : VerylToken; +/* 241 */ LBracket: LBracketToken : VerylToken; +/* 242 */ LParen: LParenToken : VerylToken; +/* 243 */ MinusColon: MinusColonToken : VerylToken; +/* 244 */ MinusGT: MinusGTToken : VerylToken; +/* 245 */ PlusColon: PlusColonToken : VerylToken; +/* 246 */ RAngle: RAngleToken : VerylToken; +/* 247 */ RBrace: RBraceToken : VerylToken; +/* 248 */ RBracket: RBracketToken : VerylToken; +/* 249 */ RParen: RParenToken : VerylToken; +/* 250 */ Semicolon: SemicolonToken : VerylToken; +/* 251 */ Star: StarToken : VerylToken; +/* 252 */ AlwaysComb: AlwaysCombToken : VerylToken; +/* 253 */ AlwaysFf: AlwaysFfToken : VerylToken; +/* 254 */ As: AsToken : VerylToken; +/* 255 */ Assign: AssignToken : VerylToken; +/* 256 */ AsyncHigh: AsyncHighToken : VerylToken; +/* 257 */ AsyncLow: AsyncLowToken : VerylToken; +/* 258 */ Bit: BitToken : VerylToken; +/* 259 */ Break: BreakToken : VerylToken; +/* 260 */ Case: CaseToken : VerylToken; +/* 261 */ Defaul: DefaultToken : VerylToken; +/* 262 */ Else: ElseToken : VerylToken; +/* 263 */ Embed: EmbedToken : VerylToken; +/* 264 */ Enum: EnumToken : VerylToken; +/* 265 */ Export: ExportToken : VerylToken; +/* 266 */ F32: F32Token : VerylToken; +/* 267 */ F64: F64Token : VerylToken; +/* 268 */ Final: FinalToken : VerylToken; +/* 269 */ For: ForToken : VerylToken; +/* 270 */ Function: FunctionToken : VerylToken; +/* 271 */ I32: I32Token : VerylToken; +/* 272 */ I64: I64Token : VerylToken; +/* 273 */ If: IfToken : VerylToken; +/* 274 */ IfReset: IfResetToken : VerylToken; +/* 275 */ Import: ImportToken : VerylToken; +/* 276 */ In: InToken : VerylToken; +/* 277 */ Initial: InitialToken : VerylToken; +/* 278 */ Inout: InoutToken : VerylToken; +/* 279 */ Input: InputToken : VerylToken; +/* 280 */ Inside: InsideToken : VerylToken; +/* 281 */ Inst: InstToken : VerylToken; +/* 282 */ Interface: InterfaceToken : VerylToken; +/* 283 */ Let: LetToken : VerylToken; +/* 284 */ Local: LocalToken : VerylToken; +/* 285 */ Logic: LogicToken : VerylToken; +/* 286 */ Lsb: LsbToken : VerylToken; +/* 287 */ Modport: ModportToken : VerylToken; +/* 288 */ Module: ModuleToken : VerylToken; +/* 289 */ Msb: MsbToken : VerylToken; +/* 290 */ Negedge: NegedgeToken : VerylToken; +/* 291 */ Output: OutputToken : VerylToken; +/* 292 */ Outside: OutsideToken : VerylToken; +/* 293 */ Package: PackageToken : VerylToken; +/* 294 */ Param: ParamToken : VerylToken; +/* 295 */ Posedge: PosedgeToken : VerylToken; +/* 296 */ Pub: PubToken : VerylToken; +/* 297 */ Ref: RefToken : VerylToken; +/* 298 */ Repeat: RepeatToken : VerylToken; +/* 299 */ Return: ReturnToken : VerylToken; +/* 300 */ Signed: SignedToken : VerylToken; +/* 301 */ Step: StepToken : VerylToken; +/* 302 */ Strin: StringToken : VerylToken; +/* 303 */ Struct: StructToken : VerylToken; +/* 304 */ SyncHigh: SyncHighToken : VerylToken; +/* 305 */ SyncLow: SyncLowToken : VerylToken; +/* 306 */ Tri: TriToken : VerylToken; +/* 307 */ Type: TypeToken : VerylToken; +/* 308 */ U32: U32Token : VerylToken; +/* 309 */ U64: U64Token : VerylToken; +/* 310 */ Union: UnionToken : VerylToken; +/* 311 */ Var: VarToken : VerylToken; +/* 312 */ Identifier: IdentifierToken : VerylToken; +/* 313 */ Number: IntegralNumber; +/* 314 */ Number: RealNumber; +/* 315 */ IntegralNumber: Based; +/* 316 */ IntegralNumber: BaseLess; +/* 317 */ IntegralNumber: AllBit; +/* 318 */ RealNumber: FixedPoint; +/* 319 */ RealNumber: Exponent; +/* 320 */ HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; +/* 321 */ HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; +/* 322 */ HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List; +/* 323 */ HierarchicalIdentifierList0List /* Vec::New */: ; +/* 324 */ HierarchicalIdentifierList0 /* Vec::New */: ; +/* 325 */ HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList; +/* 326 */ HierarchicalIdentifierList /* Vec::New */: ; +/* 327 */ ScopedIdentifier: ScopedIdentifierOpt /* Option */ Identifier ScopedIdentifierList /* Vec */; +/* 328 */ ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierList; +/* 329 */ ScopedIdentifierList /* Vec::New */: ; +/* 330 */ ScopedIdentifierOpt /* Option::Some */: Dollar; +/* 331 */ ScopedIdentifierOpt /* Option::None */: ; +/* 332 */ ExpressionIdentifier: ExpressionIdentifierOpt /* Option */ Identifier ExpressionIdentifierGroup; +/* 333 */ ExpressionIdentifierGroup: ExpressionIdentifierScoped; +/* 334 */ ExpressionIdentifierGroup: ExpressionIdentifierMember; +/* 335 */ ExpressionIdentifierOpt /* Option::Some */: Dollar; +/* 336 */ ExpressionIdentifierOpt /* Option::None */: ; +/* 337 */ ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; +/* 338 */ ExpressionIdentifierScopedList0 /* Vec::Push */: Select ExpressionIdentifierScopedList0; +/* 339 */ ExpressionIdentifierScopedList0 /* Vec::New */: ; +/* 340 */ ExpressionIdentifierScopedList /* Vec::Push */: ColonColon Identifier ExpressionIdentifierScopedList; +/* 341 */ ExpressionIdentifierScopedList /* Vec::New */: ; +/* 342 */ ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; +/* 343 */ ExpressionIdentifierMemberList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; +/* 344 */ ExpressionIdentifierMemberList0List /* Vec::Push */: Select ExpressionIdentifierMemberList0List; +/* 345 */ ExpressionIdentifierMemberList0List /* Vec::New */: ; +/* 346 */ ExpressionIdentifierMemberList0 /* Vec::New */: ; +/* 347 */ ExpressionIdentifierMemberList /* Vec::Push */: Select ExpressionIdentifierMemberList; +/* 348 */ ExpressionIdentifierMemberList /* Vec::New */: ; +/* 349 */ Expression: Expression01 ExpressionList /* Vec */; +/* 350 */ ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList; +/* 351 */ ExpressionList /* Vec::New */: ; +/* 352 */ Expression01: Expression02 Expression01List /* Vec */; +/* 353 */ Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List; +/* 354 */ Expression01List /* Vec::New */: ; +/* 355 */ Expression02: Expression03 Expression02List /* Vec */; +/* 356 */ Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List; +/* 357 */ Expression02List /* Vec::New */: ; +/* 358 */ Expression03: Expression04 Expression03List /* Vec */; +/* 359 */ Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List; +/* 360 */ Expression03List /* Vec::New */: ; +/* 361 */ Expression04: Expression05 Expression04List /* Vec */; +/* 362 */ Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List; +/* 363 */ Expression04List /* Vec::New */: ; +/* 364 */ Expression05: Expression06 Expression05List /* Vec */; +/* 365 */ Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List; +/* 366 */ Expression05List /* Vec::New */: ; +/* 367 */ Expression06: Expression07 Expression06List /* Vec */; +/* 368 */ Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List; +/* 369 */ Expression06List /* Vec::New */: ; +/* 370 */ Expression07: Expression08 Expression07List /* Vec */; +/* 371 */ Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List; +/* 372 */ Expression07List /* Vec::New */: ; +/* 373 */ Expression08: Expression09 Expression08List /* Vec */; +/* 374 */ Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List; +/* 375 */ Expression08List /* Vec::New */: ; +/* 376 */ Expression09: Expression10 Expression09List /* Vec */; +/* 377 */ Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List; +/* 378 */ Expression09ListGroup: Operator10; +/* 379 */ Expression09ListGroup: Star; +/* 380 */ Expression09List /* Vec::New */: ; +/* 381 */ Expression10: Expression11 Expression10List /* Vec */; +/* 382 */ Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List; +/* 383 */ Expression10List /* Vec::New */: ; +/* 384 */ Expression11: Expression12 Expression11List /* Vec */; +/* 385 */ Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List; +/* 386 */ Expression11List /* Vec::New */: ; +/* 387 */ Expression12: Expression12List /* Vec */ Factor; +/* 388 */ Expression12List /* Vec::Push */: Expression12ListGroup Expression12List; +/* 389 */ Expression12ListGroup: UnaryOperator; +/* 390 */ Expression12ListGroup: Operator09; +/* 391 */ Expression12ListGroup: Operator05; +/* 392 */ Expression12ListGroup: Operator03; +/* 393 */ Expression12ListGroup: Operator04; +/* 394 */ Expression12List /* Vec::New */: ; +/* 395 */ Factor: Number; +/* 396 */ Factor: ExpressionIdentifier FactorOpt /* Option */; +/* 397 */ Factor: LParen Expression RParen; +/* 398 */ Factor: LBrace ConcatenationList RBrace; +/* 399 */ Factor: IfExpression; +/* 400 */ Factor: CaseExpression; +/* 401 */ Factor: StringLiteral; +/* 402 */ Factor: FactorGroup; +/* 403 */ FactorGroup: Msb; +/* 404 */ FactorGroup: Lsb; +/* 405 */ Factor: InsideExpression; +/* 406 */ Factor: OutsideExpression; +/* 407 */ FactorOpt /* Option::Some */: FunctionCall; +/* 408 */ FactorOpt /* Option::None */: ; +/* 409 */ FunctionCall: LParen FunctionCallOpt /* Option */ RParen; +/* 410 */ FunctionCallOpt /* Option::Some */: ArgumentList; +/* 411 */ FunctionCallOpt /* Option::None */: ; +/* 412 */ ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; +/* 413 */ ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList; +/* 414 */ ArgumentListList /* Vec::New */: ; +/* 415 */ ArgumentListOpt /* Option::Some */: Comma; +/* 416 */ ArgumentListOpt /* Option::None */: ; +/* 417 */ ArgumentItem: Expression; +/* 418 */ ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; +/* 419 */ ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList; +/* 420 */ ConcatenationListList /* Vec::New */: ; +/* 421 */ ConcatenationListOpt /* Option::Some */: Comma; +/* 422 */ ConcatenationListOpt /* Option::None */: ; +/* 423 */ ConcatenationItem: Expression ConcatenationItemOpt /* Option */; +/* 424 */ ConcatenationItemOpt /* Option::Some */: Repeat Expression; +/* 425 */ ConcatenationItemOpt /* Option::None */: ; +/* 426 */ IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; +/* 427 */ IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList; +/* 428 */ IfExpressionList /* Vec::New */: ; +/* 429 */ CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; +/* 430 */ CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; +/* 431 */ CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List; +/* 432 */ CaseExpressionList0List /* Vec::New */: ; +/* 433 */ CaseExpressionList0 /* Vec::New */: ; +/* 434 */ CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList; +/* 435 */ CaseExpressionList /* Vec::New */: ; +/* 436 */ CaseExpressionOpt /* Option::Some */: Comma; +/* 437 */ CaseExpressionOpt /* Option::None */: ; +/* 438 */ TypeExpression: ScalarType; +/* 439 */ TypeExpression: Type LParen Expression RParen; +/* 440 */ InsideExpression: Inside Expression LBrace RangeList RBrace; +/* 441 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; +/* 442 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; +/* 443 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; +/* 444 */ RangeListList /* Vec::New */: ; +/* 445 */ RangeListOpt /* Option::Some */: Comma; +/* 446 */ RangeListOpt /* Option::None */: ; +/* 447 */ RangeItem: Range; +/* 448 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; +/* 449 */ SelectOpt /* Option::Some */: SelectOperator Expression; +/* 450 */ SelectOpt /* Option::None */: ; +/* 451 */ SelectOperator: Colon; +/* 452 */ SelectOperator: PlusColon; +/* 453 */ SelectOperator: MinusColon; +/* 454 */ SelectOperator: Step; +/* 455 */ Width: LAngle Expression WidthList /* Vec */ RAngle; +/* 456 */ WidthList /* Vec::Push */: Comma Expression WidthList; +/* 457 */ WidthList /* Vec::New */: ; +/* 458 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; +/* 459 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; +/* 460 */ ArrayList /* Vec::New */: ; +/* 461 */ Range: Expression RangeOpt /* Option */; +/* 462 */ RangeOpt /* Option::Some */: RangeOperator Expression; +/* 463 */ RangeOpt /* Option::None */: ; +/* 464 */ RangeOperator: DotDot; +/* 465 */ RangeOperator: DotDotEqu; +/* 466 */ FixedType: U32; +/* 467 */ FixedType: U64; +/* 468 */ FixedType: I32; +/* 469 */ FixedType: I64; +/* 470 */ FixedType: F32; +/* 471 */ FixedType: F64; +/* 472 */ FixedType: Strin; +/* 473 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; +/* 474 */ VariableTypeGroup: Logic; +/* 475 */ VariableTypeGroup: Bit; +/* 476 */ VariableTypeGroup: ScopedIdentifier; +/* 477 */ VariableTypeOpt /* Option::Some */: Width; +/* 478 */ VariableTypeOpt /* Option::None */: ; +/* 479 */ TypeModifier: Tri; +/* 480 */ TypeModifier: Signed; +/* 481 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; +/* 482 */ ScalarTypeGroup: VariableType; +/* 483 */ ScalarTypeGroup: FixedType; +/* 484 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; +/* 485 */ ScalarTypeList /* Vec::New */: ; +/* 486 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; +/* 487 */ ArrayTypeOpt /* Option::Some */: Array; +/* 488 */ ArrayTypeOpt /* Option::None */: ; +/* 489 */ Statement: LetStatement; +/* 490 */ Statement: IdentifierStatement; +/* 491 */ Statement: IfStatement; +/* 492 */ Statement: IfResetStatement; +/* 493 */ Statement: ReturnStatement; +/* 494 */ Statement: BreakStatement; +/* 495 */ Statement: ForStatement; +/* 496 */ Statement: CaseStatement; +/* 497 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 498 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; +/* 499 */ IdentifierStatementGroup: FunctionCall; +/* 500 */ IdentifierStatementGroup: Assignment; +/* 501 */ Assignment: AssignmentGroup Expression; +/* 502 */ AssignmentGroup: Equ; +/* 503 */ AssignmentGroup: AssignmentOperator; +/* 504 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; +/* 505 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; +/* 506 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; +/* 507 */ IfStatementList0List /* Vec::New */: ; +/* 508 */ IfStatementList0 /* Vec::New */: ; +/* 509 */ IfStatementList /* Vec::Push */: Statement IfStatementList; +/* 510 */ IfStatementList /* Vec::New */: ; +/* 511 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; +/* 512 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; +/* 513 */ IfStatementOptList /* Vec::New */: ; +/* 514 */ IfStatementOpt /* Option::None */: ; +/* 515 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; +/* 516 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; +/* 517 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; +/* 518 */ IfResetStatementList0List /* Vec::New */: ; +/* 519 */ IfResetStatementList0 /* Vec::New */: ; +/* 520 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; +/* 521 */ IfResetStatementList /* Vec::New */: ; +/* 522 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; +/* 523 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; +/* 524 */ IfResetStatementOptList /* Vec::New */: ; +/* 525 */ IfResetStatementOpt /* Option::None */: ; +/* 526 */ ReturnStatement: Return Expression Semicolon; +/* 527 */ BreakStatement: Break Semicolon; +/* 528 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; +/* 529 */ ForStatementList /* Vec::Push */: Statement ForStatementList; +/* 530 */ ForStatementList /* Vec::New */: ; +/* 531 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 532 */ ForStatementOpt /* Option::None */: ; +/* 533 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; +/* 534 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; +/* 535 */ CaseStatementList /* Vec::New */: ; +/* 536 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; +/* 537 */ CaseItemGroup0: Statement; +/* 538 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; +/* 539 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; +/* 540 */ CaseItemGroup0List /* Vec::New */: ; +/* 541 */ CaseItemGroup: Expression CaseItemGroupList /* Vec */; +/* 542 */ CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList; +/* 543 */ CaseItemGroupList /* Vec::New */: ; +/* 544 */ CaseItemGroup: Defaul; +/* 545 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; +/* 546 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; +/* 547 */ AttributeOpt /* Option::None */: ; +/* 548 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; +/* 549 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; +/* 550 */ AttributeListList /* Vec::New */: ; +/* 551 */ AttributeListOpt /* Option::Some */: Comma; +/* 552 */ AttributeListOpt /* Option::None */: ; +/* 553 */ AttributeItem: Identifier; +/* 554 */ AttributeItem: StringLiteral; +/* 555 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 556 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; +/* 557 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; +/* 558 */ LocalDeclarationGroup: ArrayType Equ Expression; +/* 559 */ LocalDeclarationGroup: Type Equ TypeExpression; +/* 560 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; +/* 561 */ AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; +/* 562 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; +/* 563 */ AlwaysFfDeclarationList /* Vec::New */: ; +/* 564 */ AlwaysFfDeclarationOpt /* Option::Some */: Comma AlwaysFfReset; +/* 565 */ AlwaysFfDeclarationOpt /* Option::None */: ; +/* 566 */ AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; +/* 567 */ AlwaysFfClockOpt /* Option::Some */: AlwaysFfClockOptGroup; +/* 568 */ AlwaysFfClockOptGroup: Posedge; +/* 569 */ AlwaysFfClockOptGroup: Negedge; +/* 570 */ AlwaysFfClockOpt /* Option::None */: ; +/* 571 */ AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; +/* 572 */ AlwaysFfResetOpt /* Option::Some */: AlwaysFfResetOptGroup; +/* 573 */ AlwaysFfResetOptGroup: AsyncLow; +/* 574 */ AlwaysFfResetOptGroup: AsyncHigh; +/* 575 */ AlwaysFfResetOptGroup: SyncLow; +/* 576 */ AlwaysFfResetOptGroup: SyncHigh; +/* 577 */ AlwaysFfResetOpt /* Option::None */: ; +/* 578 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; +/* 579 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; +/* 580 */ AlwaysCombDeclarationList /* Vec::New */: ; +/* 581 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; +/* 582 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; +/* 583 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; +/* 584 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; +/* 585 */ ModportListList /* Vec::New */: ; +/* 586 */ ModportListOpt /* Option::Some */: Comma; +/* 587 */ ModportListOpt /* Option::None */: ; +/* 588 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; +/* 589 */ ModportGroupGroup: LBrace ModportList RBrace; +/* 590 */ ModportGroupGroup: ModportItem; +/* 591 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; +/* 592 */ ModportGroupList /* Vec::New */: ; +/* 593 */ ModportItem: Identifier Colon Direction; +/* 594 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; +/* 595 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; +/* 596 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; +/* 597 */ EnumListList /* Vec::New */: ; +/* 598 */ EnumListOpt /* Option::Some */: Comma; +/* 599 */ EnumListOpt /* Option::None */: ; +/* 600 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; +/* 601 */ EnumGroupGroup: LBrace EnumList RBrace; +/* 602 */ EnumGroupGroup: EnumItem; +/* 603 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; +/* 604 */ EnumGroupList /* Vec::New */: ; +/* 605 */ EnumItem: Identifier EnumItemOpt /* Option */; +/* 606 */ EnumItemOpt /* Option::Some */: Equ Expression; +/* 607 */ EnumItemOpt /* Option::None */: ; +/* 608 */ StructUnion: Struct; +/* 609 */ StructUnion: Union; +/* 610 */ StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; +/* 611 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; +/* 612 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; +/* 613 */ StructUnionListList /* Vec::New */: ; +/* 614 */ StructUnionListOpt /* Option::Some */: Comma; +/* 615 */ StructUnionListOpt /* Option::None */: ; +/* 616 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; +/* 617 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; +/* 618 */ StructUnionGroupGroup: StructUnionItem; +/* 619 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; +/* 620 */ StructUnionGroupList /* Vec::New */: ; +/* 621 */ StructUnionItem: Identifier Colon ScalarType; +/* 622 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; +/* 623 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; +/* 624 */ InitialDeclarationList /* Vec::New */: ; +/* 625 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; +/* 626 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; +/* 627 */ FinalDeclarationList /* Vec::New */: ; +/* 628 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; +/* 629 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; +/* 630 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; +/* 631 */ InstDeclarationOpt2 /* Option::None */: ; +/* 632 */ InstDeclarationOpt1 /* Option::None */: ; +/* 633 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; +/* 634 */ InstDeclarationOpt0 /* Option::None */: ; +/* 635 */ InstDeclarationOpt /* Option::Some */: Array; +/* 636 */ InstDeclarationOpt /* Option::None */: ; +/* 637 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; +/* 638 */ InstParameterOpt /* Option::Some */: InstParameterList; +/* 639 */ InstParameterOpt /* Option::None */: ; +/* 640 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; +/* 641 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; +/* 642 */ InstParameterListList /* Vec::New */: ; +/* 643 */ InstParameterListOpt /* Option::Some */: Comma; +/* 644 */ InstParameterListOpt /* Option::None */: ; +/* 645 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; +/* 646 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; +/* 647 */ InstParameterGroupGroup: InstParameterItem; +/* 648 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; +/* 649 */ InstParameterGroupList /* Vec::New */: ; +/* 650 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; +/* 651 */ InstParameterItemOpt /* Option::Some */: Colon Expression; +/* 652 */ InstParameterItemOpt /* Option::None */: ; +/* 653 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; +/* 654 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; +/* 655 */ InstPortListList /* Vec::New */: ; +/* 656 */ InstPortListOpt /* Option::Some */: Comma; +/* 657 */ InstPortListOpt /* Option::None */: ; +/* 658 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; +/* 659 */ InstPortGroupGroup: LBrace InstPortList RBrace; +/* 660 */ InstPortGroupGroup: InstPortItem; +/* 661 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; +/* 662 */ InstPortGroupList /* Vec::New */: ; +/* 663 */ InstPortItem: Identifier InstPortItemOpt /* Option */; +/* 664 */ InstPortItemOpt /* Option::Some */: Colon Expression; +/* 665 */ InstPortItemOpt /* Option::None */: ; +/* 666 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; +/* 667 */ WithParameterOpt /* Option::Some */: WithParameterList; +/* 668 */ WithParameterOpt /* Option::None */: ; +/* 669 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; +/* 670 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; +/* 671 */ WithParameterListList /* Vec::New */: ; +/* 672 */ WithParameterListOpt /* Option::Some */: Comma; +/* 673 */ WithParameterListOpt /* Option::None */: ; +/* 674 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; +/* 675 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; +/* 676 */ WithParameterGroupGroup: WithParameterItem; +/* 677 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; +/* 678 */ WithParameterGroupList /* Vec::New */: ; +/* 679 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; +/* 680 */ WithParameterItemGroup0: ArrayType Equ Expression; +/* 681 */ WithParameterItemGroup0: Type Equ TypeExpression; +/* 682 */ WithParameterItemGroup: Param; +/* 683 */ WithParameterItemGroup: Local; +/* 684 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; +/* 685 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; +/* 686 */ PortDeclarationOpt /* Option::None */: ; +/* 687 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; +/* 688 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; +/* 689 */ PortDeclarationListList /* Vec::New */: ; +/* 690 */ PortDeclarationListOpt /* Option::Some */: Comma; +/* 691 */ PortDeclarationListOpt /* Option::None */: ; +/* 692 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; +/* 693 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; +/* 694 */ PortDeclarationGroupGroup: PortDeclarationItem; +/* 695 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; +/* 696 */ PortDeclarationGroupList /* Vec::New */: ; +/* 697 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; +/* 698 */ PortDeclarationItemGroup: Direction ArrayType; +/* 699 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; +/* 700 */ PortDeclarationItemOpt /* Option::Some */: Array; +/* 701 */ PortDeclarationItemOpt /* Option::None */: ; +/* 702 */ Direction: Input; +/* 703 */ Direction: Output; +/* 704 */ Direction: Inout; +/* 705 */ Direction: Ref; +/* 706 */ Direction: Modport; +/* 707 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; +/* 708 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; +/* 709 */ FunctionDeclarationList /* Vec::New */: ; +/* 710 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; +/* 711 */ FunctionDeclarationOpt1 /* Option::None */: ; +/* 712 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; +/* 713 */ FunctionDeclarationOpt0 /* Option::None */: ; +/* 714 */ FunctionDeclarationOpt /* Option::Some */: WithParameter; +/* 715 */ FunctionDeclarationOpt /* Option::None */: ; +/* 716 */ FunctionItem: VarDeclaration; +/* 717 */ FunctionItem: Statement; +/* 718 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; +/* 719 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 720 */ ImportDeclarationOpt /* Option::None */: ; +/* 721 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; +/* 722 */ ExportDeclarationGroup: Star; +/* 723 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; +/* 724 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 725 */ ExportDeclarationOpt /* Option::None */: ; +/* 726 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; +/* 727 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; +/* 728 */ ModuleDeclarationList /* Vec::New */: ; +/* 729 */ ModuleDeclarationOpt1 /* Option::Some */: PortDeclaration; +/* 730 */ ModuleDeclarationOpt1 /* Option::None */: ; +/* 731 */ ModuleDeclarationOpt0 /* Option::Some */: WithParameter; +/* 732 */ ModuleDeclarationOpt0 /* Option::None */: ; +/* 733 */ ModuleDeclarationOpt /* Option::Some */: Pub; +/* 734 */ ModuleDeclarationOpt /* Option::None */: ; +/* 735 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; +/* 736 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; +/* 737 */ ModuleIfDeclarationList /* Vec::New */: ; +/* 738 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; +/* 739 */ ModuleIfDeclarationOpt /* Option::None */: ; +/* 740 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; +/* 741 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 742 */ ModuleForDeclarationOpt /* Option::None */: ; +/* 743 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; +/* 744 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; +/* 745 */ ModuleNamedBlockList /* Vec::New */: ; +/* 746 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; +/* 747 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; +/* 748 */ ModuleOptionalNamedBlockList /* Vec::New */: ; +/* 749 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 750 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; +/* 751 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; +/* 752 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; +/* 753 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; +/* 754 */ ModuleGroupGroupList /* Vec::New */: ; +/* 755 */ ModuleGroupGroup: ModuleItem; +/* 756 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; +/* 757 */ ModuleGroupList /* Vec::New */: ; +/* 758 */ ModuleItem: LetDeclaration; +/* 759 */ ModuleItem: VarDeclaration; +/* 760 */ ModuleItem: InstDeclaration; +/* 761 */ ModuleItem: TypeDefDeclaration; +/* 762 */ ModuleItem: LocalDeclaration; +/* 763 */ ModuleItem: AlwaysFfDeclaration; +/* 764 */ ModuleItem: AlwaysCombDeclaration; +/* 765 */ ModuleItem: AssignDeclaration; +/* 766 */ ModuleItem: FunctionDeclaration; +/* 767 */ ModuleItem: ModuleIfDeclaration; +/* 768 */ ModuleItem: ModuleForDeclaration; +/* 769 */ ModuleItem: EnumDeclaration; +/* 770 */ ModuleItem: StructUnionDeclaration; +/* 771 */ ModuleItem: ModuleNamedBlock; +/* 772 */ ModuleItem: ImportDeclaration; +/* 773 */ ModuleItem: InitialDeclaration; +/* 774 */ ModuleItem: FinalDeclaration; +/* 775 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; +/* 776 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; +/* 777 */ InterfaceDeclarationList /* Vec::New */: ; +/* 778 */ InterfaceDeclarationOpt0 /* Option::Some */: WithParameter; +/* 779 */ InterfaceDeclarationOpt0 /* Option::None */: ; +/* 780 */ InterfaceDeclarationOpt /* Option::Some */: Pub; +/* 781 */ InterfaceDeclarationOpt /* Option::None */: ; +/* 782 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; +/* 783 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; +/* 784 */ InterfaceIfDeclarationList /* Vec::New */: ; +/* 785 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; +/* 786 */ InterfaceIfDeclarationOpt /* Option::None */: ; +/* 787 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; +/* 788 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 789 */ InterfaceForDeclarationOpt /* Option::None */: ; +/* 790 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; +/* 791 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; +/* 792 */ InterfaceNamedBlockList /* Vec::New */: ; +/* 793 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; +/* 794 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; +/* 795 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; +/* 796 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 797 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; +/* 798 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; +/* 799 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; +/* 800 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; +/* 801 */ InterfaceGroupGroupList /* Vec::New */: ; +/* 802 */ InterfaceGroupGroup: InterfaceItem; +/* 803 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; +/* 804 */ InterfaceGroupList /* Vec::New */: ; +/* 805 */ InterfaceItem: LetDeclaration; +/* 806 */ InterfaceItem: VarDeclaration; +/* 807 */ InterfaceItem: LocalDeclaration; +/* 808 */ InterfaceItem: ModportDeclaration; +/* 809 */ InterfaceItem: InterfaceIfDeclaration; +/* 810 */ InterfaceItem: InterfaceForDeclaration; +/* 811 */ InterfaceItem: TypeDefDeclaration; +/* 812 */ InterfaceItem: EnumDeclaration; +/* 813 */ InterfaceItem: StructUnionDeclaration; +/* 814 */ InterfaceItem: InterfaceNamedBlock; +/* 815 */ InterfaceItem: FunctionDeclaration; +/* 816 */ InterfaceItem: ImportDeclaration; +/* 817 */ InterfaceItem: InitialDeclaration; +/* 818 */ InterfaceItem: FinalDeclaration; +/* 819 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; +/* 820 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; +/* 821 */ PackageDeclarationList /* Vec::New */: ; +/* 822 */ PackageDeclarationOpt /* Option::Some */: Pub; +/* 823 */ PackageDeclarationOpt /* Option::None */: ; +/* 824 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; +/* 825 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; +/* 826 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; +/* 827 */ PackageGroupGroupList /* Vec::New */: ; +/* 828 */ PackageGroupGroup: PackageItem; +/* 829 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; +/* 830 */ PackageGroupList /* Vec::New */: ; +/* 831 */ PackageItem: VarDeclaration; +/* 832 */ PackageItem: LocalDeclaration; +/* 833 */ PackageItem: TypeDefDeclaration; +/* 834 */ PackageItem: EnumDeclaration; +/* 835 */ PackageItem: StructUnionDeclaration; +/* 836 */ PackageItem: FunctionDeclaration; +/* 837 */ PackageItem: ImportDeclaration; +/* 838 */ PackageItem: ExportDeclaration; +/* 839 */ PackageItem: InitialDeclaration; +/* 840 */ PackageItem: FinalDeclaration; +/* 841 */ EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; +/* 842 */ EmbedContent: EmbedContentToken : VerylToken; +/* 843 */ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop(); +/* 844 */ EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList; +/* 845 */ EmbedContentTokenList /* Vec::New */: ; +/* 846 */ EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; +/* 847 */ EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList; +/* 848 */ EmbedItemList /* Vec::New */: ; +/* 849 */ EmbedItem: AnyTerm; +/* 850 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; +/* 851 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; +/* 852 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; +/* 853 */ DescriptionGroupGroupList /* Vec::New */: ; +/* 854 */ DescriptionGroupGroup: DescriptionItem; +/* 855 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; +/* 856 */ DescriptionGroupList /* Vec::New */: ; +/* 857 */ DescriptionItem: ModuleDeclaration; +/* 858 */ DescriptionItem: InterfaceDeclaration; +/* 859 */ DescriptionItem: PackageDeclaration; +/* 860 */ DescriptionItem: ImportDeclaration; +/* 861 */ DescriptionItem: EmbedDeclaration; +/* 862 */ Veryl: Start VerylList /* Vec */; +/* 863 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; +/* 864 */ VerylList /* Vec::New */: ; diff --git a/crates/parser/src/generated/veryl_grammar_trait.rs b/crates/parser/src/generated/veryl_grammar_trait.rs index 25d57502..8e90230c 100644 --- a/crates/parser/src/generated/veryl_grammar_trait.rs +++ b/crates/parser/src/generated/veryl_grammar_trait.rs @@ -280,6 +280,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'EmbedTerm' + fn embed_term(&mut self, _arg: &EmbedTerm) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'EnumTerm' fn enum_term(&mut self, _arg: &EnumTerm) -> Result<()> { Ok(()) @@ -530,6 +535,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'AnyTerm' + fn any_term(&mut self, _arg: &AnyTerm) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'Comments' fn comments(&mut self, _arg: &Comments) -> Result<()> { Ok(()) @@ -795,6 +805,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'EmbedToken' + fn embed_token(&mut self, _arg: &EmbedToken) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'EnumToken' fn enum_token(&mut self, _arg: &EnumToken) -> Result<()> { Ok(()) @@ -1310,6 +1325,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'Embed' + fn embed(&mut self, _arg: &Embed) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'Enum' fn r#enum(&mut self, _arg: &Enum) -> Result<()> { Ok(()) @@ -2160,6 +2180,26 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'EmbedDeclaration' + fn embed_declaration(&mut self, _arg: &EmbedDeclaration) -> Result<()> { + Ok(()) + } + + /// Semantic action for non-terminal 'EmbedContent' + fn embed_content(&mut self, _arg: &EmbedContent) -> Result<()> { + Ok(()) + } + + /// Semantic action for non-terminal 'EmbedContentToken' + fn embed_content_token(&mut self, _arg: &EmbedContentToken) -> Result<()> { + Ok(()) + } + + /// Semantic action for non-terminal 'EmbedItem' + fn embed_item(&mut self, _arg: &EmbedItem) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, _arg: &DescriptionGroup) -> Result<()> { Ok(()) @@ -2186,7 +2226,7 @@ pub trait VerylGrammarTrait { // /// -/// Type derived for production 309 +/// Type derived for production 313 /// /// `Number: IntegralNumber;` /// @@ -2198,7 +2238,7 @@ pub struct NumberIntegralNumber { } /// -/// Type derived for production 310 +/// Type derived for production 314 /// /// `Number: RealNumber;` /// @@ -2210,7 +2250,7 @@ pub struct NumberRealNumber { } /// -/// Type derived for production 311 +/// Type derived for production 315 /// /// `IntegralNumber: Based;` /// @@ -2222,7 +2262,7 @@ pub struct IntegralNumberBased { } /// -/// Type derived for production 312 +/// Type derived for production 316 /// /// `IntegralNumber: BaseLess;` /// @@ -2234,7 +2274,7 @@ pub struct IntegralNumberBaseLess { } /// -/// Type derived for production 313 +/// Type derived for production 317 /// /// `IntegralNumber: AllBit;` /// @@ -2246,7 +2286,7 @@ pub struct IntegralNumberAllBit { } /// -/// Type derived for production 314 +/// Type derived for production 318 /// /// `RealNumber: FixedPoint;` /// @@ -2258,7 +2298,7 @@ pub struct RealNumberFixedPoint { } /// -/// Type derived for production 315 +/// Type derived for production 319 /// /// `RealNumber: Exponent;` /// @@ -2270,7 +2310,7 @@ pub struct RealNumberExponent { } /// -/// Type derived for production 329 +/// Type derived for production 333 /// /// `ExpressionIdentifierGroup: ExpressionIdentifierScoped;` /// @@ -2282,7 +2322,7 @@ pub struct ExpressionIdentifierGroupExpressionIdentifierScoped { } /// -/// Type derived for production 330 +/// Type derived for production 334 /// /// `ExpressionIdentifierGroup: ExpressionIdentifierMember;` /// @@ -2294,7 +2334,7 @@ pub struct ExpressionIdentifierGroupExpressionIdentifierMember { } /// -/// Type derived for production 374 +/// Type derived for production 378 /// /// `Expression09ListGroup: Operator10;` /// @@ -2306,7 +2346,7 @@ pub struct Expression09ListGroupOperator10 { } /// -/// Type derived for production 375 +/// Type derived for production 379 /// /// `Expression09ListGroup: Star;` /// @@ -2318,7 +2358,7 @@ pub struct Expression09ListGroupStar { } /// -/// Type derived for production 385 +/// Type derived for production 389 /// /// `Expression12ListGroup: UnaryOperator;` /// @@ -2330,7 +2370,7 @@ pub struct Expression12ListGroupUnaryOperator { } /// -/// Type derived for production 386 +/// Type derived for production 390 /// /// `Expression12ListGroup: Operator09;` /// @@ -2342,7 +2382,7 @@ pub struct Expression12ListGroupOperator09 { } /// -/// Type derived for production 387 +/// Type derived for production 391 /// /// `Expression12ListGroup: Operator05;` /// @@ -2354,7 +2394,7 @@ pub struct Expression12ListGroupOperator05 { } /// -/// Type derived for production 388 +/// Type derived for production 392 /// /// `Expression12ListGroup: Operator03;` /// @@ -2366,7 +2406,7 @@ pub struct Expression12ListGroupOperator03 { } /// -/// Type derived for production 389 +/// Type derived for production 393 /// /// `Expression12ListGroup: Operator04;` /// @@ -2378,7 +2418,7 @@ pub struct Expression12ListGroupOperator04 { } /// -/// Type derived for production 391 +/// Type derived for production 395 /// /// `Factor: Number;` /// @@ -2390,7 +2430,7 @@ pub struct FactorNumber { } /// -/// Type derived for production 392 +/// Type derived for production 396 /// /// `Factor: ExpressionIdentifier FactorOpt /* Option */;` /// @@ -2403,7 +2443,7 @@ pub struct FactorExpressionIdentifierFactorOpt { } /// -/// Type derived for production 393 +/// Type derived for production 397 /// /// `Factor: LParen Expression RParen;` /// @@ -2417,7 +2457,7 @@ pub struct FactorLParenExpressionRParen { } /// -/// Type derived for production 394 +/// Type derived for production 398 /// /// `Factor: LBrace ConcatenationList RBrace;` /// @@ -2431,7 +2471,7 @@ pub struct FactorLBraceConcatenationListRBrace { } /// -/// Type derived for production 395 +/// Type derived for production 399 /// /// `Factor: IfExpression;` /// @@ -2443,7 +2483,7 @@ pub struct FactorIfExpression { } /// -/// Type derived for production 396 +/// Type derived for production 400 /// /// `Factor: CaseExpression;` /// @@ -2455,7 +2495,7 @@ pub struct FactorCaseExpression { } /// -/// Type derived for production 397 +/// Type derived for production 401 /// /// `Factor: StringLiteral;` /// @@ -2467,7 +2507,7 @@ pub struct FactorStringLiteral { } /// -/// Type derived for production 398 +/// Type derived for production 402 /// /// `Factor: FactorGroup;` /// @@ -2479,7 +2519,7 @@ pub struct FactorFactorGroup { } /// -/// Type derived for production 399 +/// Type derived for production 403 /// /// `FactorGroup: Msb;` /// @@ -2491,7 +2531,7 @@ pub struct FactorGroupMsb { } /// -/// Type derived for production 400 +/// Type derived for production 404 /// /// `FactorGroup: Lsb;` /// @@ -2503,7 +2543,7 @@ pub struct FactorGroupLsb { } /// -/// Type derived for production 401 +/// Type derived for production 405 /// /// `Factor: InsideExpression;` /// @@ -2515,7 +2555,7 @@ pub struct FactorInsideExpression { } /// -/// Type derived for production 402 +/// Type derived for production 406 /// /// `Factor: OutsideExpression;` /// @@ -2527,7 +2567,7 @@ pub struct FactorOutsideExpression { } /// -/// Type derived for production 434 +/// Type derived for production 438 /// /// `TypeExpression: ScalarType;` /// @@ -2539,7 +2579,7 @@ pub struct TypeExpressionScalarType { } /// -/// Type derived for production 435 +/// Type derived for production 439 /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -2554,7 +2594,7 @@ pub struct TypeExpressionTypeLParenExpressionRParen { } /// -/// Type derived for production 447 +/// Type derived for production 451 /// /// `SelectOperator: Colon;` /// @@ -2566,7 +2606,7 @@ pub struct SelectOperatorColon { } /// -/// Type derived for production 448 +/// Type derived for production 452 /// /// `SelectOperator: PlusColon;` /// @@ -2578,7 +2618,7 @@ pub struct SelectOperatorPlusColon { } /// -/// Type derived for production 449 +/// Type derived for production 453 /// /// `SelectOperator: MinusColon;` /// @@ -2590,7 +2630,7 @@ pub struct SelectOperatorMinusColon { } /// -/// Type derived for production 450 +/// Type derived for production 454 /// /// `SelectOperator: Step;` /// @@ -2602,7 +2642,7 @@ pub struct SelectOperatorStep { } /// -/// Type derived for production 460 +/// Type derived for production 464 /// /// `RangeOperator: DotDot;` /// @@ -2614,7 +2654,7 @@ pub struct RangeOperatorDotDot { } /// -/// Type derived for production 461 +/// Type derived for production 465 /// /// `RangeOperator: DotDotEqu;` /// @@ -2626,7 +2666,7 @@ pub struct RangeOperatorDotDotEqu { } /// -/// Type derived for production 462 +/// Type derived for production 466 /// /// `FixedType: U32;` /// @@ -2638,7 +2678,7 @@ pub struct FixedTypeU32 { } /// -/// Type derived for production 463 +/// Type derived for production 467 /// /// `FixedType: U64;` /// @@ -2650,7 +2690,7 @@ pub struct FixedTypeU64 { } /// -/// Type derived for production 464 +/// Type derived for production 468 /// /// `FixedType: I32;` /// @@ -2662,7 +2702,7 @@ pub struct FixedTypeI32 { } /// -/// Type derived for production 465 +/// Type derived for production 469 /// /// `FixedType: I64;` /// @@ -2674,7 +2714,7 @@ pub struct FixedTypeI64 { } /// -/// Type derived for production 466 +/// Type derived for production 470 /// /// `FixedType: F32;` /// @@ -2686,7 +2726,7 @@ pub struct FixedTypeF32 { } /// -/// Type derived for production 467 +/// Type derived for production 471 /// /// `FixedType: F64;` /// @@ -2698,7 +2738,7 @@ pub struct FixedTypeF64 { } /// -/// Type derived for production 468 +/// Type derived for production 472 /// /// `FixedType: Strin;` /// @@ -2710,7 +2750,7 @@ pub struct FixedTypeStrin { } /// -/// Type derived for production 470 +/// Type derived for production 474 /// /// `VariableTypeGroup: Logic;` /// @@ -2722,7 +2762,7 @@ pub struct VariableTypeGroupLogic { } /// -/// Type derived for production 471 +/// Type derived for production 475 /// /// `VariableTypeGroup: Bit;` /// @@ -2734,7 +2774,7 @@ pub struct VariableTypeGroupBit { } /// -/// Type derived for production 472 +/// Type derived for production 476 /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -2746,7 +2786,7 @@ pub struct VariableTypeGroupScopedIdentifier { } /// -/// Type derived for production 475 +/// Type derived for production 479 /// /// `TypeModifier: Tri;` /// @@ -2758,7 +2798,7 @@ pub struct TypeModifierTri { } /// -/// Type derived for production 476 +/// Type derived for production 480 /// /// `TypeModifier: Signed;` /// @@ -2770,7 +2810,7 @@ pub struct TypeModifierSigned { } /// -/// Type derived for production 478 +/// Type derived for production 482 /// /// `ScalarTypeGroup: VariableType;` /// @@ -2782,7 +2822,7 @@ pub struct ScalarTypeGroupVariableType { } /// -/// Type derived for production 479 +/// Type derived for production 483 /// /// `ScalarTypeGroup: FixedType;` /// @@ -2794,7 +2834,7 @@ pub struct ScalarTypeGroupFixedType { } /// -/// Type derived for production 485 +/// Type derived for production 489 /// /// `Statement: LetStatement;` /// @@ -2806,7 +2846,7 @@ pub struct StatementLetStatement { } /// -/// Type derived for production 486 +/// Type derived for production 490 /// /// `Statement: IdentifierStatement;` /// @@ -2818,7 +2858,7 @@ pub struct StatementIdentifierStatement { } /// -/// Type derived for production 487 +/// Type derived for production 491 /// /// `Statement: IfStatement;` /// @@ -2830,7 +2870,7 @@ pub struct StatementIfStatement { } /// -/// Type derived for production 488 +/// Type derived for production 492 /// /// `Statement: IfResetStatement;` /// @@ -2842,7 +2882,7 @@ pub struct StatementIfResetStatement { } /// -/// Type derived for production 489 +/// Type derived for production 493 /// /// `Statement: ReturnStatement;` /// @@ -2854,7 +2894,7 @@ pub struct StatementReturnStatement { } /// -/// Type derived for production 490 +/// Type derived for production 494 /// /// `Statement: BreakStatement;` /// @@ -2866,7 +2906,7 @@ pub struct StatementBreakStatement { } /// -/// Type derived for production 491 +/// Type derived for production 495 /// /// `Statement: ForStatement;` /// @@ -2878,7 +2918,7 @@ pub struct StatementForStatement { } /// -/// Type derived for production 492 +/// Type derived for production 496 /// /// `Statement: CaseStatement;` /// @@ -2890,7 +2930,7 @@ pub struct StatementCaseStatement { } /// -/// Type derived for production 495 +/// Type derived for production 499 /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -2902,7 +2942,7 @@ pub struct IdentifierStatementGroupFunctionCall { } /// -/// Type derived for production 496 +/// Type derived for production 500 /// /// `IdentifierStatementGroup: Assignment;` /// @@ -2914,7 +2954,7 @@ pub struct IdentifierStatementGroupAssignment { } /// -/// Type derived for production 498 +/// Type derived for production 502 /// /// `AssignmentGroup: Equ;` /// @@ -2926,7 +2966,7 @@ pub struct AssignmentGroupEqu { } /// -/// Type derived for production 499 +/// Type derived for production 503 /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -2938,7 +2978,7 @@ pub struct AssignmentGroupAssignmentOperator { } /// -/// Type derived for production 533 +/// Type derived for production 537 /// /// `CaseItemGroup0: Statement;` /// @@ -2950,7 +2990,7 @@ pub struct CaseItemGroup0Statement { } /// -/// Type derived for production 534 +/// Type derived for production 538 /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -2964,7 +3004,7 @@ pub struct CaseItemGroup0LBraceCaseItemGroup0ListRBrace { } /// -/// Type derived for production 537 +/// Type derived for production 541 /// /// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` /// @@ -2977,7 +3017,7 @@ pub struct CaseItemGroupExpressionCaseItemGroupList { } /// -/// Type derived for production 540 +/// Type derived for production 544 /// /// `CaseItemGroup: Defaul;` /// @@ -2989,7 +3029,7 @@ pub struct CaseItemGroupDefaul { } /// -/// Type derived for production 549 +/// Type derived for production 553 /// /// `AttributeItem: Identifier;` /// @@ -3001,7 +3041,7 @@ pub struct AttributeItemIdentifier { } /// -/// Type derived for production 550 +/// Type derived for production 554 /// /// `AttributeItem: StringLiteral;` /// @@ -3013,7 +3053,7 @@ pub struct AttributeItemStringLiteral { } /// -/// Type derived for production 554 +/// Type derived for production 558 /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -3027,7 +3067,7 @@ pub struct LocalDeclarationGroupArrayTypeEquExpression { } /// -/// Type derived for production 555 +/// Type derived for production 559 /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -3041,7 +3081,7 @@ pub struct LocalDeclarationGroupTypeEquTypeExpression { } /// -/// Type derived for production 564 +/// Type derived for production 568 /// /// `AlwaysFfClockOptGroup: Posedge;` /// @@ -3053,7 +3093,7 @@ pub struct AlwaysFfClockOptGroupPosedge { } /// -/// Type derived for production 565 +/// Type derived for production 569 /// /// `AlwaysFfClockOptGroup: Negedge;` /// @@ -3065,7 +3105,7 @@ pub struct AlwaysFfClockOptGroupNegedge { } /// -/// Type derived for production 569 +/// Type derived for production 573 /// /// `AlwaysFfResetOptGroup: AsyncLow;` /// @@ -3077,7 +3117,7 @@ pub struct AlwaysFfResetOptGroupAsyncLow { } /// -/// Type derived for production 570 +/// Type derived for production 574 /// /// `AlwaysFfResetOptGroup: AsyncHigh;` /// @@ -3089,7 +3129,7 @@ pub struct AlwaysFfResetOptGroupAsyncHigh { } /// -/// Type derived for production 571 +/// Type derived for production 575 /// /// `AlwaysFfResetOptGroup: SyncLow;` /// @@ -3101,7 +3141,7 @@ pub struct AlwaysFfResetOptGroupSyncLow { } /// -/// Type derived for production 572 +/// Type derived for production 576 /// /// `AlwaysFfResetOptGroup: SyncHigh;` /// @@ -3113,7 +3153,7 @@ pub struct AlwaysFfResetOptGroupSyncHigh { } /// -/// Type derived for production 585 +/// Type derived for production 589 /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -3127,7 +3167,7 @@ pub struct ModportGroupGroupLBraceModportListRBrace { } /// -/// Type derived for production 586 +/// Type derived for production 590 /// /// `ModportGroupGroup: ModportItem;` /// @@ -3139,7 +3179,7 @@ pub struct ModportGroupGroupModportItem { } /// -/// Type derived for production 597 +/// Type derived for production 601 /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -3153,7 +3193,7 @@ pub struct EnumGroupGroupLBraceEnumListRBrace { } /// -/// Type derived for production 598 +/// Type derived for production 602 /// /// `EnumGroupGroup: EnumItem;` /// @@ -3165,7 +3205,7 @@ pub struct EnumGroupGroupEnumItem { } /// -/// Type derived for production 604 +/// Type derived for production 608 /// /// `StructUnion: Struct;` /// @@ -3177,7 +3217,7 @@ pub struct StructUnionStruct { } /// -/// Type derived for production 605 +/// Type derived for production 609 /// /// `StructUnion: Union;` /// @@ -3189,7 +3229,7 @@ pub struct StructUnionUnion { } /// -/// Type derived for production 613 +/// Type derived for production 617 /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -3203,7 +3243,7 @@ pub struct StructUnionGroupGroupLBraceStructUnionListRBrace { } /// -/// Type derived for production 614 +/// Type derived for production 618 /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -3215,7 +3255,7 @@ pub struct StructUnionGroupGroupStructUnionItem { } /// -/// Type derived for production 642 +/// Type derived for production 646 /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -3229,7 +3269,7 @@ pub struct InstParameterGroupGroupLBraceInstParameterListRBrace { } /// -/// Type derived for production 643 +/// Type derived for production 647 /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -3241,7 +3281,7 @@ pub struct InstParameterGroupGroupInstParameterItem { } /// -/// Type derived for production 655 +/// Type derived for production 659 /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -3255,7 +3295,7 @@ pub struct InstPortGroupGroupLBraceInstPortListRBrace { } /// -/// Type derived for production 656 +/// Type derived for production 660 /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -3267,7 +3307,7 @@ pub struct InstPortGroupGroupInstPortItem { } /// -/// Type derived for production 671 +/// Type derived for production 675 /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -3281,7 +3321,7 @@ pub struct WithParameterGroupGroupLBraceWithParameterListRBrace { } /// -/// Type derived for production 672 +/// Type derived for production 676 /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -3293,7 +3333,7 @@ pub struct WithParameterGroupGroupWithParameterItem { } /// -/// Type derived for production 676 +/// Type derived for production 680 /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -3307,7 +3347,7 @@ pub struct WithParameterItemGroup0ArrayTypeEquExpression { } /// -/// Type derived for production 677 +/// Type derived for production 681 /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -3321,7 +3361,7 @@ pub struct WithParameterItemGroup0TypeEquTypeExpression { } /// -/// Type derived for production 678 +/// Type derived for production 682 /// /// `WithParameterItemGroup: Param;` /// @@ -3333,7 +3373,7 @@ pub struct WithParameterItemGroupParam { } /// -/// Type derived for production 679 +/// Type derived for production 683 /// /// `WithParameterItemGroup: Local;` /// @@ -3345,7 +3385,7 @@ pub struct WithParameterItemGroupLocal { } /// -/// Type derived for production 689 +/// Type derived for production 693 /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -3359,7 +3399,7 @@ pub struct PortDeclarationGroupGroupLBracePortDeclarationListRBrace { } /// -/// Type derived for production 690 +/// Type derived for production 694 /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -3371,7 +3411,7 @@ pub struct PortDeclarationGroupGroupPortDeclarationItem { } /// -/// Type derived for production 694 +/// Type derived for production 698 /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -3384,7 +3424,7 @@ pub struct PortDeclarationItemGroupDirectionArrayType { } /// -/// Type derived for production 695 +/// Type derived for production 699 /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -3397,7 +3437,7 @@ pub struct PortDeclarationItemGroupInterfacePortDeclarationItemOpt { } /// -/// Type derived for production 698 +/// Type derived for production 702 /// /// `Direction: Input;` /// @@ -3409,7 +3449,7 @@ pub struct DirectionInput { } /// -/// Type derived for production 699 +/// Type derived for production 703 /// /// `Direction: Output;` /// @@ -3421,7 +3461,7 @@ pub struct DirectionOutput { } /// -/// Type derived for production 700 +/// Type derived for production 704 /// /// `Direction: Inout;` /// @@ -3433,7 +3473,7 @@ pub struct DirectionInout { } /// -/// Type derived for production 701 +/// Type derived for production 705 /// /// `Direction: Ref;` /// @@ -3445,7 +3485,7 @@ pub struct DirectionRef { } /// -/// Type derived for production 702 +/// Type derived for production 706 /// /// `Direction: Modport;` /// @@ -3457,7 +3497,7 @@ pub struct DirectionModport { } /// -/// Type derived for production 712 +/// Type derived for production 716 /// /// `FunctionItem: VarDeclaration;` /// @@ -3469,7 +3509,7 @@ pub struct FunctionItemVarDeclaration { } /// -/// Type derived for production 713 +/// Type derived for production 717 /// /// `FunctionItem: Statement;` /// @@ -3481,7 +3521,7 @@ pub struct FunctionItemStatement { } /// -/// Type derived for production 718 +/// Type derived for production 722 /// /// `ExportDeclarationGroup: Star;` /// @@ -3493,7 +3533,7 @@ pub struct ExportDeclarationGroupStar { } /// -/// Type derived for production 719 +/// Type derived for production 723 /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -3506,7 +3546,7 @@ pub struct ExportDeclarationGroupScopedIdentifierExportDeclarationOpt { } /// -/// Type derived for production 748 +/// Type derived for production 752 /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -3520,7 +3560,7 @@ pub struct ModuleGroupGroupLBraceModuleGroupGroupListRBrace { } /// -/// Type derived for production 751 +/// Type derived for production 755 /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -3532,7 +3572,7 @@ pub struct ModuleGroupGroupModuleItem { } /// -/// Type derived for production 754 +/// Type derived for production 758 /// /// `ModuleItem: LetDeclaration;` /// @@ -3544,7 +3584,7 @@ pub struct ModuleItemLetDeclaration { } /// -/// Type derived for production 755 +/// Type derived for production 759 /// /// `ModuleItem: VarDeclaration;` /// @@ -3556,7 +3596,7 @@ pub struct ModuleItemVarDeclaration { } /// -/// Type derived for production 756 +/// Type derived for production 760 /// /// `ModuleItem: InstDeclaration;` /// @@ -3568,7 +3608,7 @@ pub struct ModuleItemInstDeclaration { } /// -/// Type derived for production 757 +/// Type derived for production 761 /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -3580,7 +3620,7 @@ pub struct ModuleItemTypeDefDeclaration { } /// -/// Type derived for production 758 +/// Type derived for production 762 /// /// `ModuleItem: LocalDeclaration;` /// @@ -3592,7 +3632,7 @@ pub struct ModuleItemLocalDeclaration { } /// -/// Type derived for production 759 +/// Type derived for production 763 /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -3604,7 +3644,7 @@ pub struct ModuleItemAlwaysFfDeclaration { } /// -/// Type derived for production 760 +/// Type derived for production 764 /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -3616,7 +3656,7 @@ pub struct ModuleItemAlwaysCombDeclaration { } /// -/// Type derived for production 761 +/// Type derived for production 765 /// /// `ModuleItem: AssignDeclaration;` /// @@ -3628,7 +3668,7 @@ pub struct ModuleItemAssignDeclaration { } /// -/// Type derived for production 762 +/// Type derived for production 766 /// /// `ModuleItem: FunctionDeclaration;` /// @@ -3640,7 +3680,7 @@ pub struct ModuleItemFunctionDeclaration { } /// -/// Type derived for production 763 +/// Type derived for production 767 /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -3652,7 +3692,7 @@ pub struct ModuleItemModuleIfDeclaration { } /// -/// Type derived for production 764 +/// Type derived for production 768 /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -3664,7 +3704,7 @@ pub struct ModuleItemModuleForDeclaration { } /// -/// Type derived for production 765 +/// Type derived for production 769 /// /// `ModuleItem: EnumDeclaration;` /// @@ -3676,7 +3716,7 @@ pub struct ModuleItemEnumDeclaration { } /// -/// Type derived for production 766 +/// Type derived for production 770 /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -3688,7 +3728,7 @@ pub struct ModuleItemStructUnionDeclaration { } /// -/// Type derived for production 767 +/// Type derived for production 771 /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -3700,7 +3740,7 @@ pub struct ModuleItemModuleNamedBlock { } /// -/// Type derived for production 768 +/// Type derived for production 772 /// /// `ModuleItem: ImportDeclaration;` /// @@ -3712,7 +3752,7 @@ pub struct ModuleItemImportDeclaration { } /// -/// Type derived for production 769 +/// Type derived for production 773 /// /// `ModuleItem: InitialDeclaration;` /// @@ -3724,7 +3764,7 @@ pub struct ModuleItemInitialDeclaration { } /// -/// Type derived for production 770 +/// Type derived for production 774 /// /// `ModuleItem: FinalDeclaration;` /// @@ -3736,7 +3776,7 @@ pub struct ModuleItemFinalDeclaration { } /// -/// Type derived for production 795 +/// Type derived for production 799 /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -3750,7 +3790,7 @@ pub struct InterfaceGroupGroupLBraceInterfaceGroupGroupListRBrace { } /// -/// Type derived for production 798 +/// Type derived for production 802 /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -3762,7 +3802,7 @@ pub struct InterfaceGroupGroupInterfaceItem { } /// -/// Type derived for production 801 +/// Type derived for production 805 /// /// `InterfaceItem: LetDeclaration;` /// @@ -3774,7 +3814,7 @@ pub struct InterfaceItemLetDeclaration { } /// -/// Type derived for production 802 +/// Type derived for production 806 /// /// `InterfaceItem: VarDeclaration;` /// @@ -3786,7 +3826,7 @@ pub struct InterfaceItemVarDeclaration { } /// -/// Type derived for production 803 +/// Type derived for production 807 /// /// `InterfaceItem: LocalDeclaration;` /// @@ -3798,7 +3838,7 @@ pub struct InterfaceItemLocalDeclaration { } /// -/// Type derived for production 804 +/// Type derived for production 808 /// /// `InterfaceItem: ModportDeclaration;` /// @@ -3810,7 +3850,7 @@ pub struct InterfaceItemModportDeclaration { } /// -/// Type derived for production 805 +/// Type derived for production 809 /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -3822,7 +3862,7 @@ pub struct InterfaceItemInterfaceIfDeclaration { } /// -/// Type derived for production 806 +/// Type derived for production 810 /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -3834,7 +3874,7 @@ pub struct InterfaceItemInterfaceForDeclaration { } /// -/// Type derived for production 807 +/// Type derived for production 811 /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -3846,7 +3886,7 @@ pub struct InterfaceItemTypeDefDeclaration { } /// -/// Type derived for production 808 +/// Type derived for production 812 /// /// `InterfaceItem: EnumDeclaration;` /// @@ -3858,7 +3898,7 @@ pub struct InterfaceItemEnumDeclaration { } /// -/// Type derived for production 809 +/// Type derived for production 813 /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -3870,7 +3910,7 @@ pub struct InterfaceItemStructUnionDeclaration { } /// -/// Type derived for production 810 +/// Type derived for production 814 /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -3882,7 +3922,7 @@ pub struct InterfaceItemInterfaceNamedBlock { } /// -/// Type derived for production 811 +/// Type derived for production 815 /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -3894,7 +3934,7 @@ pub struct InterfaceItemFunctionDeclaration { } /// -/// Type derived for production 812 +/// Type derived for production 816 /// /// `InterfaceItem: ImportDeclaration;` /// @@ -3906,7 +3946,7 @@ pub struct InterfaceItemImportDeclaration { } /// -/// Type derived for production 813 +/// Type derived for production 817 /// /// `InterfaceItem: InitialDeclaration;` /// @@ -3918,7 +3958,7 @@ pub struct InterfaceItemInitialDeclaration { } /// -/// Type derived for production 814 +/// Type derived for production 818 /// /// `InterfaceItem: FinalDeclaration;` /// @@ -3930,7 +3970,7 @@ pub struct InterfaceItemFinalDeclaration { } /// -/// Type derived for production 821 +/// Type derived for production 825 /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -3944,7 +3984,7 @@ pub struct PackageGroupGroupLBracePackageGroupGroupListRBrace { } /// -/// Type derived for production 824 +/// Type derived for production 828 /// /// `PackageGroupGroup: PackageItem;` /// @@ -3956,7 +3996,7 @@ pub struct PackageGroupGroupPackageItem { } /// -/// Type derived for production 827 +/// Type derived for production 831 /// /// `PackageItem: VarDeclaration;` /// @@ -3968,7 +4008,7 @@ pub struct PackageItemVarDeclaration { } /// -/// Type derived for production 828 +/// Type derived for production 832 /// /// `PackageItem: LocalDeclaration;` /// @@ -3980,7 +4020,7 @@ pub struct PackageItemLocalDeclaration { } /// -/// Type derived for production 829 +/// Type derived for production 833 /// /// `PackageItem: TypeDefDeclaration;` /// @@ -3992,7 +4032,7 @@ pub struct PackageItemTypeDefDeclaration { } /// -/// Type derived for production 830 +/// Type derived for production 834 /// /// `PackageItem: EnumDeclaration;` /// @@ -4004,7 +4044,7 @@ pub struct PackageItemEnumDeclaration { } /// -/// Type derived for production 831 +/// Type derived for production 835 /// /// `PackageItem: StructUnionDeclaration;` /// @@ -4016,7 +4056,7 @@ pub struct PackageItemStructUnionDeclaration { } /// -/// Type derived for production 832 +/// Type derived for production 836 /// /// `PackageItem: FunctionDeclaration;` /// @@ -4028,7 +4068,7 @@ pub struct PackageItemFunctionDeclaration { } /// -/// Type derived for production 833 +/// Type derived for production 837 /// /// `PackageItem: ImportDeclaration;` /// @@ -4040,7 +4080,7 @@ pub struct PackageItemImportDeclaration { } /// -/// Type derived for production 834 +/// Type derived for production 838 /// /// `PackageItem: ExportDeclaration;` /// @@ -4052,7 +4092,7 @@ pub struct PackageItemExportDeclaration { } /// -/// Type derived for production 835 +/// Type derived for production 839 /// /// `PackageItem: InitialDeclaration;` /// @@ -4064,7 +4104,7 @@ pub struct PackageItemInitialDeclaration { } /// -/// Type derived for production 836 +/// Type derived for production 840 /// /// `PackageItem: FinalDeclaration;` /// @@ -4076,7 +4116,33 @@ pub struct PackageItemFinalDeclaration { } /// -/// Type derived for production 838 +/// Type derived for production 846 +/// +/// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EmbedItemLBraceTermEmbedItemListRBraceTerm { + pub l_brace_term: Box, + pub embed_item_list: Vec, + pub r_brace_term: Box, +} + +/// +/// Type derived for production 849 +/// +/// `EmbedItem: AnyTerm;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EmbedItemAnyTerm { + pub any_term: Box, +} + +/// +/// Type derived for production 851 /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -4090,7 +4156,7 @@ pub struct DescriptionGroupGroupLBraceDescriptionGroupGroupListRBrace { } /// -/// Type derived for production 841 +/// Type derived for production 854 /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -4102,7 +4168,7 @@ pub struct DescriptionGroupGroupDescriptionItem { } /// -/// Type derived for production 844 +/// Type derived for production 857 /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -4114,7 +4180,7 @@ pub struct DescriptionItemModuleDeclaration { } /// -/// Type derived for production 845 +/// Type derived for production 858 /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -4126,7 +4192,7 @@ pub struct DescriptionItemInterfaceDeclaration { } /// -/// Type derived for production 846 +/// Type derived for production 859 /// /// `DescriptionItem: PackageDeclaration;` /// @@ -4138,7 +4204,7 @@ pub struct DescriptionItemPackageDeclaration { } /// -/// Type derived for production 847 +/// Type derived for production 860 /// /// `DescriptionItem: ImportDeclaration;` /// @@ -4149,6 +4215,18 @@ pub struct DescriptionItemImportDeclaration { pub import_declaration: Box, } +/// +/// Type derived for production 861 +/// +/// `DescriptionItem: EmbedDeclaration;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct DescriptionItemEmbedDeclaration { + pub embed_declaration: Box, +} + // ------------------------------------------------------------------------------------------------- // // Types of non-terminals deduced from the structure of the transformed grammar @@ -4372,6 +4450,16 @@ pub struct AlwaysFfToken { pub comments: Box, } +/// +/// Type derived for non-terminal AnyTerm +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct AnyTerm { + pub any_term: crate::veryl_token::Token, /* [^{}]* */ +} + /// /// Type derived for non-terminal ArgumentItem /// @@ -5293,6 +5381,7 @@ pub enum DescriptionItem { InterfaceDeclaration(DescriptionItemInterfaceDeclaration), PackageDeclaration(DescriptionItemPackageDeclaration), ImportDeclaration(DescriptionItemImportDeclaration), + EmbedDeclaration(DescriptionItemEmbedDeclaration), } /// @@ -5464,109 +5553,211 @@ pub struct ElseToken { } /// -/// Type derived for non-terminal Enum +/// Type derived for non-terminal Embed /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct Enum { - pub enum_token: crate::veryl_token::VerylToken, +pub struct Embed { + pub embed_token: crate::veryl_token::VerylToken, } /// -/// Type derived for non-terminal EnumDeclaration +/// Type derived for non-terminal EmbedContent /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct EnumDeclaration { - pub r#enum: Box, - pub identifier: Box, - pub colon: Box, - pub scalar_type: Box, - pub l_brace: Box, - pub enum_list: Box, - pub r_brace: Box, +pub struct EmbedContent { + pub embed_content_token: crate::veryl_token::VerylToken, } /// -/// Type derived for non-terminal EnumGroup +/// Type derived for non-terminal EmbedContentToken /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct EnumGroup { - pub enum_group_list: Vec, - pub enum_group_group: Box, -} - -/// -/// Type derived for non-terminal EnumGroupGroup -/// -#[allow(dead_code)] -#[derive(Debug, Clone)] -pub enum EnumGroupGroup { - LBraceEnumListRBrace(EnumGroupGroupLBraceEnumListRBrace), - EnumItem(EnumGroupGroupEnumItem), +pub struct EmbedContentToken { + pub l_brace_term: Box, + pub l_brace_term0: Box, + pub l_brace_term1: Box, + pub embed_content_token_list: Vec, + pub r_brace_term: Box, + pub r_brace_term0: Box, + pub r_brace_term1: Box, } /// -/// Type derived for non-terminal EnumGroupList +/// Type derived for non-terminal EmbedContentTokenList /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct EnumGroupList { - pub attribute: Box, +pub struct EmbedContentTokenList { + pub embed_item: Box, } /// -/// Type derived for non-terminal EnumItem +/// Type derived for non-terminal EmbedDeclaration /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct EnumItem { +pub struct EmbedDeclaration { + pub embed: Box, + pub l_paren: Box, pub identifier: Box, - pub enum_item_opt: Option, + pub r_paren: Box, + pub identifier0: Box, + pub embed_content: Box, } /// -/// Type derived for non-terminal EnumItemOpt +/// Type derived for non-terminal EmbedItem /// #[allow(dead_code)] -#[derive(Builder, Debug, Clone)] -#[builder(crate = "parol_runtime::derive_builder")] -pub struct EnumItemOpt { - pub equ: Box, - pub expression: Box, +#[derive(Debug, Clone)] +pub enum EmbedItem { + LBraceTermEmbedItemListRBraceTerm(EmbedItemLBraceTermEmbedItemListRBraceTerm), + AnyTerm(EmbedItemAnyTerm), } /// -/// Type derived for non-terminal EnumList +/// Type derived for non-terminal EmbedItemList /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct EnumList { - pub enum_group: Box, - pub enum_list_list: Vec, - pub enum_list_opt: Option, +pub struct EmbedItemList { + pub embed_item: Box, } /// -/// Type derived for non-terminal EnumListList +/// Type derived for non-terminal EmbedTerm /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct EnumListList { - pub comma: Box, - pub enum_group: Box, +pub struct EmbedTerm { + pub embed_term: crate::veryl_token::Token, /* (?-u:\b)embed(?-u:\b) */ } /// -/// Type derived for non-terminal EnumListOpt +/// Type derived for non-terminal EmbedToken +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EmbedToken { + pub embed_term: crate::veryl_token::Token, + pub comments: Box, +} + +/// +/// Type derived for non-terminal Enum +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct Enum { + pub enum_token: crate::veryl_token::VerylToken, +} + +/// +/// Type derived for non-terminal EnumDeclaration +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EnumDeclaration { + pub r#enum: Box, + pub identifier: Box, + pub colon: Box, + pub scalar_type: Box, + pub l_brace: Box, + pub enum_list: Box, + pub r_brace: Box, +} + +/// +/// Type derived for non-terminal EnumGroup +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EnumGroup { + pub enum_group_list: Vec, + pub enum_group_group: Box, +} + +/// +/// Type derived for non-terminal EnumGroupGroup +/// +#[allow(dead_code)] +#[derive(Debug, Clone)] +pub enum EnumGroupGroup { + LBraceEnumListRBrace(EnumGroupGroupLBraceEnumListRBrace), + EnumItem(EnumGroupGroupEnumItem), +} + +/// +/// Type derived for non-terminal EnumGroupList +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EnumGroupList { + pub attribute: Box, +} + +/// +/// Type derived for non-terminal EnumItem +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EnumItem { + pub identifier: Box, + pub enum_item_opt: Option, +} + +/// +/// Type derived for non-terminal EnumItemOpt +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EnumItemOpt { + pub equ: Box, + pub expression: Box, +} + +/// +/// Type derived for non-terminal EnumList +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EnumList { + pub enum_group: Box, + pub enum_list_list: Vec, + pub enum_list_opt: Option, +} + +/// +/// Type derived for non-terminal EnumListList +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct EnumListList { + pub comma: Box, + pub enum_group: Box, +} + +/// +/// Type derived for non-terminal EnumListOpt /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] @@ -10712,6 +10903,7 @@ pub enum ASTType { AlwaysFfResetOptGroup(AlwaysFfResetOptGroup), AlwaysFfTerm(AlwaysFfTerm), AlwaysFfToken(AlwaysFfToken), + AnyTerm(AnyTerm), ArgumentItem(ArgumentItem), ArgumentList(ArgumentList), ArgumentListList(Vec), @@ -10813,6 +11005,15 @@ pub enum ASTType { Else(Else), ElseTerm(ElseTerm), ElseToken(ElseToken), + Embed(Embed), + EmbedContent(EmbedContent), + EmbedContentToken(EmbedContentToken), + EmbedContentTokenList(Vec), + EmbedDeclaration(EmbedDeclaration), + EmbedItem(EmbedItem), + EmbedItemList(Vec), + EmbedTerm(EmbedTerm), + EmbedToken(EmbedToken), Enum(Enum), EnumDeclaration(EnumDeclaration), EnumGroup(EnumGroup), @@ -12008,7 +12209,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 33: /// - /// `LBraceTerm: '{' : Token;` + /// `LBraceTerm: '{' : Token;` /// #[parol_runtime::function_name::named] fn l_brace_term(&mut self, l_brace_term: &ParseTreeType<'t>) -> Result<()> { @@ -12084,7 +12285,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 37: /// - /// `RBraceTerm: '}' : Token;` + /// `RBraceTerm: '}' : Token;` /// #[parol_runtime::function_name::named] fn r_brace_term(&mut self, r_brace_term: &ParseTreeType<'t>) -> Result<()> { @@ -12370,6 +12571,25 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 52: /// + /// `EmbedTerm: /(?-u:\b)embed(?-u:\b)/ : Token;` + /// + #[parol_runtime::function_name::named] + fn embed_term(&mut self, embed_term: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let embed_term = embed_term + .token()? + .try_into() + .map_err(parol_runtime::ParolError::UserError)?; + let embed_term_built = EmbedTerm { embed_term }; + // Calling user action here + self.user_grammar.embed_term(&embed_term_built)?; + self.push(ASTType::EmbedTerm(embed_term_built), context); + Ok(()) + } + + /// Semantic action for production 53: + /// /// `EnumTerm: /(?-u:\b)enum(?-u:\b)/ : Token;` /// #[parol_runtime::function_name::named] @@ -12387,7 +12607,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 53: + /// Semantic action for production 54: /// /// `ExportTerm: /(?-u:\b)export(?-u:\b)/ : Token;` /// @@ -12406,7 +12626,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 54: + /// Semantic action for production 55: /// /// `F32Term: /(?-u:\b)f32(?-u:\b)/ : Token;` /// @@ -12425,7 +12645,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 55: + /// Semantic action for production 56: /// /// `F64Term: /(?-u:\b)f64(?-u:\b)/ : Token;` /// @@ -12444,7 +12664,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 56: + /// Semantic action for production 57: /// /// `FinalTerm: /(?-u:\b)final(?-u:\b)/ : Token;` /// @@ -12463,7 +12683,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 57: + /// Semantic action for production 58: /// /// `ForTerm: /(?-u:\b)for(?-u:\b)/ : Token;` /// @@ -12482,7 +12702,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 58: + /// Semantic action for production 59: /// /// `FunctionTerm: /(?-u:\b)function(?-u:\b)/ : Token;` /// @@ -12501,7 +12721,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 59: + /// Semantic action for production 60: /// /// `I32Term: /(?-u:\b)i32(?-u:\b)/ : Token;` /// @@ -12520,7 +12740,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 60: + /// Semantic action for production 61: /// /// `I64Term: /(?-u:\b)i64(?-u:\b)/ : Token;` /// @@ -12539,7 +12759,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 61: + /// Semantic action for production 62: /// /// `IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/ : Token;` /// @@ -12558,7 +12778,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 62: + /// Semantic action for production 63: /// /// `IfTerm: /(?-u:\b)if(?-u:\b)/ : Token;` /// @@ -12577,7 +12797,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 63: + /// Semantic action for production 64: /// /// `ImportTerm: /(?-u:\b)import(?-u:\b)/ : Token;` /// @@ -12596,7 +12816,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 64: + /// Semantic action for production 65: /// /// `InitialTerm: /(?-u:\b)initial(?-u:\b)/ : Token;` /// @@ -12615,7 +12835,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 65: + /// Semantic action for production 66: /// /// `InoutTerm: /(?-u:\b)inout(?-u:\b)/ : Token;` /// @@ -12634,7 +12854,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 66: + /// Semantic action for production 67: /// /// `InputTerm: /(?-u:\b)input(?-u:\b)/ : Token;` /// @@ -12653,7 +12873,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 67: + /// Semantic action for production 68: /// /// `InsideTerm: /(?-u:\b)inside(?-u:\b)/ : Token;` /// @@ -12672,7 +12892,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 68: + /// Semantic action for production 69: /// /// `InstTerm: /(?-u:\b)inst(?-u:\b)/ : Token;` /// @@ -12691,7 +12911,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 69: + /// Semantic action for production 70: /// /// `InterfaceTerm: /(?-u:\b)interface(?-u:\b)/ : Token;` /// @@ -12710,7 +12930,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 70: + /// Semantic action for production 71: /// /// `InTerm: /(?-u:\b)in(?-u:\b)/ : Token;` /// @@ -12729,7 +12949,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 71: + /// Semantic action for production 72: /// /// `LetTerm: /(?-u:\b)let(?-u:\b)/ : Token;` /// @@ -12748,7 +12968,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 72: + /// Semantic action for production 73: /// /// `LocalTerm: /(?-u:\b)local(?-u:\b)/ : Token;` /// @@ -12767,7 +12987,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 73: + /// Semantic action for production 74: /// /// `LogicTerm: /(?-u:\b)logic(?-u:\b)/ : Token;` /// @@ -12786,7 +13006,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 74: + /// Semantic action for production 75: /// /// `LsbTerm: /(?-u:\b)lsb(?-u:\b)/ : Token;` /// @@ -12805,7 +13025,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 75: + /// Semantic action for production 76: /// /// `ModportTerm: /(?-u:\b)modport(?-u:\b)/ : Token;` /// @@ -12824,7 +13044,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 76: + /// Semantic action for production 77: /// /// `ModuleTerm: /(?-u:\b)module(?-u:\b)/ : Token;` /// @@ -12843,7 +13063,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 77: + /// Semantic action for production 78: /// /// `MsbTerm: /(?-u:\b)msb(?-u:\b)/ : Token;` /// @@ -12862,7 +13082,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 78: + /// Semantic action for production 79: /// /// `NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/ : Token;` /// @@ -12881,7 +13101,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 79: + /// Semantic action for production 80: /// /// `OutputTerm: /(?-u:\b)output(?-u:\b)/ : Token;` /// @@ -12900,7 +13120,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 80: + /// Semantic action for production 81: /// /// `OutsideTerm: /(?-u:\b)outside(?-u:\b)/ : Token;` /// @@ -12919,7 +13139,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 81: + /// Semantic action for production 82: /// /// `PackageTerm: /(?-u:\b)package(?-u:\b)/ : Token;` /// @@ -12938,7 +13158,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 82: + /// Semantic action for production 83: /// /// `ParamTerm: /(?-u:\b)param(?-u:\b)/ : Token;` /// @@ -12957,7 +13177,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 83: + /// Semantic action for production 84: /// /// `PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/ : Token;` /// @@ -12976,7 +13196,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 84: + /// Semantic action for production 85: /// /// `PubTerm: /(?-u:\b)pub(?-u:\b)/ : Token;` /// @@ -12995,7 +13215,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 85: + /// Semantic action for production 86: /// /// `RefTerm: /(?-u:\b)ref(?-u:\b)/ : Token;` /// @@ -13014,7 +13234,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 86: + /// Semantic action for production 87: /// /// `RepeatTerm: /(?-u:\b)repeat(?-u:\b)/ : Token;` /// @@ -13033,7 +13253,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 87: + /// Semantic action for production 88: /// /// `ReturnTerm: /(?-u:\b)return(?-u:\b)/ : Token;` /// @@ -13052,7 +13272,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 88: + /// Semantic action for production 89: /// /// `BreakTerm: /(?-u:\b)break(?-u:\b)/ : Token;` /// @@ -13071,7 +13291,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 89: + /// Semantic action for production 90: /// /// `SignedTerm: /(?-u:\b)signed(?-u:\b)/ : Token;` /// @@ -13090,7 +13310,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 90: + /// Semantic action for production 91: /// /// `StepTerm: /(?-u:\b)step(?-u:\b)/ : Token;` /// @@ -13109,7 +13329,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 91: + /// Semantic action for production 92: /// /// `StringTerm: /(?-u:\b)string(?-u:\b)/ : Token;` /// @@ -13128,7 +13348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 92: + /// Semantic action for production 93: /// /// `StructTerm: /(?-u:\b)struct(?-u:\b)/ : Token;` /// @@ -13147,7 +13367,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 93: + /// Semantic action for production 94: /// /// `SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/ : Token;` /// @@ -13166,7 +13386,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 94: + /// Semantic action for production 95: /// /// `SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/ : Token;` /// @@ -13185,7 +13405,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 95: + /// Semantic action for production 96: /// /// `TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token;` /// @@ -13204,7 +13424,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 96: + /// Semantic action for production 97: /// /// `TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token;` /// @@ -13223,7 +13443,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 97: + /// Semantic action for production 98: /// /// `U32Term: /(?-u:\b)u32(?-u:\b)/ : Token;` /// @@ -13242,7 +13462,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 98: + /// Semantic action for production 99: /// /// `U64Term: /(?-u:\b)u64(?-u:\b)/ : Token;` /// @@ -13261,7 +13481,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 99: + /// Semantic action for production 100: /// /// `UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token;` /// @@ -13280,7 +13500,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 100: + /// Semantic action for production 101: /// /// `VarTerm: /(?-u:\b)var(?-u:\b)/ : Token;` /// @@ -13299,7 +13519,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 101: + /// Semantic action for production 102: /// /// `IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;` /// @@ -13318,7 +13538,26 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 102: + /// Semantic action for production 103: + /// + /// `AnyTerm: /[^{}]*/ : Token;` + /// + #[parol_runtime::function_name::named] + fn any_term(&mut self, any_term: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let any_term = any_term + .token()? + .try_into() + .map_err(parol_runtime::ParolError::UserError)?; + let any_term_built = AnyTerm { any_term }; + // Calling user action here + self.user_grammar.any_term(&any_term_built)?; + self.push(ASTType::AnyTerm(any_term_built), context); + Ok(()) + } + + /// Semantic action for production 104: /// /// `Comments: CommentsOpt /* Option */;` /// @@ -13334,7 +13573,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 103: + /// Semantic action for production 105: /// /// `CommentsOpt /* Option::Some */: CommentsTerm;` /// @@ -13350,7 +13589,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 104: + /// Semantic action for production 106: /// /// `CommentsOpt /* Option::None */: ;` /// @@ -13362,7 +13601,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 105: + /// Semantic action for production 107: /// /// `StartToken: Comments;` /// @@ -13380,7 +13619,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 106: + /// Semantic action for production 108: /// /// `StringLiteralToken: StringLiteralTerm : Token Comments;` /// @@ -13410,7 +13649,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 107: + /// Semantic action for production 109: /// /// `ExponentToken: ExponentTerm : Token Comments;` /// @@ -13436,7 +13675,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 108: + /// Semantic action for production 110: /// /// `FixedPointToken: FixedPointTerm : Token Comments;` /// @@ -13463,7 +13702,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 109: + /// Semantic action for production 111: /// /// `BasedToken: BasedTerm : Token Comments;` /// @@ -13489,7 +13728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 110: + /// Semantic action for production 112: /// /// `BaseLessToken: BaseLessTerm : Token Comments;` /// @@ -13515,7 +13754,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 111: + /// Semantic action for production 113: /// /// `AllBitToken: AllBitTerm : Token Comments;` /// @@ -13541,7 +13780,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 112: + /// Semantic action for production 114: /// /// `AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments;` /// @@ -13576,7 +13815,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 113: + /// Semantic action for production 115: /// /// `Operator01Token: Operator01Term : Token Comments;` /// @@ -13603,7 +13842,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 114: + /// Semantic action for production 116: /// /// `Operator02Token: Operator02Term : Token Comments;` /// @@ -13630,7 +13869,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 115: + /// Semantic action for production 117: /// /// `Operator03Token: Operator03Term : Token Comments;` /// @@ -13657,7 +13896,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 116: + /// Semantic action for production 118: /// /// `Operator04Token: Operator04Term : Token Comments;` /// @@ -13684,7 +13923,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 117: + /// Semantic action for production 119: /// /// `Operator05Token: Operator05Term : Token Comments;` /// @@ -13711,7 +13950,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 118: + /// Semantic action for production 120: /// /// `Operator06Token: Operator06Term : Token Comments;` /// @@ -13738,7 +13977,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 119: + /// Semantic action for production 121: /// /// `Operator07Token: Operator07Term : Token Comments;` /// @@ -13765,7 +14004,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 120: + /// Semantic action for production 122: /// /// `Operator08Token: Operator08Term : Token Comments;` /// @@ -13792,7 +14031,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 121: + /// Semantic action for production 123: /// /// `Operator09Token: Operator09Term : Token Comments;` /// @@ -13819,7 +14058,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 122: + /// Semantic action for production 124: /// /// `Operator10Token: Operator10Term : Token Comments;` /// @@ -13846,7 +14085,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 123: + /// Semantic action for production 125: /// /// `Operator11Token: Operator11Term : Token Comments;` /// @@ -13873,7 +14112,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 124: + /// Semantic action for production 126: /// /// `UnaryOperatorToken: UnaryOperatorTerm : Token Comments;` /// @@ -13903,7 +14142,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 125: + /// Semantic action for production 127: /// /// `ColonToken: ColonTerm : Token Comments;` /// @@ -13929,7 +14168,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 126: + /// Semantic action for production 128: /// /// `ColonColonToken: ColonColonTerm : Token Comments;` /// @@ -13956,7 +14195,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 127: + /// Semantic action for production 129: /// /// `CommaToken: CommaTerm : Token Comments;` /// @@ -13982,7 +14221,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 128: + /// Semantic action for production 130: /// /// `DollarToken: DollarTerm : Token Comments;` /// @@ -14008,7 +14247,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 129: + /// Semantic action for production 131: /// /// `DotDotToken: DotDotTerm : Token Comments;` /// @@ -14034,7 +14273,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 130: + /// Semantic action for production 132: /// /// `DotDotEquToken: DotDotEquTerm : Token Comments;` /// @@ -14061,7 +14300,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 131: + /// Semantic action for production 133: /// /// `DotToken: DotTerm : Token Comments;` /// @@ -14087,7 +14326,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 132: + /// Semantic action for production 134: /// /// `EquToken: EquTerm : Token Comments;` /// @@ -14113,7 +14352,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 133: + /// Semantic action for production 135: /// /// `HashToken: HashTerm : Token Comments;` /// @@ -14139,7 +14378,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 134: + /// Semantic action for production 136: /// /// `LAngleToken: LAngleTerm : Token Comments;` /// @@ -14165,7 +14404,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 135: + /// Semantic action for production 137: /// /// `LBraceToken: LBraceTerm : Token Comments;` /// @@ -14191,7 +14430,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 136: + /// Semantic action for production 138: /// /// `LBracketToken: LBracketTerm : Token Comments;` /// @@ -14217,7 +14456,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 137: + /// Semantic action for production 139: /// /// `LParenToken: LParenTerm : Token Comments;` /// @@ -14243,7 +14482,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 138: + /// Semantic action for production 140: /// /// `MinusColonToken: MinusColonTerm : Token Comments;` /// @@ -14270,7 +14509,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 139: + /// Semantic action for production 141: /// /// `MinusGTToken: MinusGTTerm : Token Comments;` /// @@ -14296,7 +14535,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 140: + /// Semantic action for production 142: /// /// `PlusColonToken: PlusColonTerm : Token Comments;` /// @@ -14323,7 +14562,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 141: + /// Semantic action for production 143: /// /// `RAngleToken: RAngleTerm : Token Comments;` /// @@ -14349,7 +14588,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 142: + /// Semantic action for production 144: /// /// `RBraceToken: RBraceTerm : Token Comments;` /// @@ -14375,7 +14614,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 143: + /// Semantic action for production 145: /// /// `RBracketToken: RBracketTerm : Token Comments;` /// @@ -14401,7 +14640,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 144: + /// Semantic action for production 146: /// /// `RParenToken: RParenTerm : Token Comments;` /// @@ -14427,7 +14666,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 145: + /// Semantic action for production 147: /// /// `SemicolonToken: SemicolonTerm : Token Comments;` /// @@ -14453,7 +14692,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 146: + /// Semantic action for production 148: /// /// `StarToken: StarTerm : Token Comments;` /// @@ -14479,7 +14718,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 147: + /// Semantic action for production 149: /// /// `AlwaysCombToken: AlwaysCombTerm : Token Comments;` /// @@ -14506,7 +14745,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 148: + /// Semantic action for production 150: /// /// `AlwaysFfToken: AlwaysFfTerm : Token Comments;` /// @@ -14532,7 +14771,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 149: + /// Semantic action for production 151: /// /// `AsToken: AsTerm : Token Comments;` /// @@ -14558,7 +14797,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 150: + /// Semantic action for production 152: /// /// `AssignToken: AssignTerm : Token Comments;` /// @@ -14584,7 +14823,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 151: + /// Semantic action for production 153: /// /// `AsyncHighToken: AsyncHighTerm : Token Comments;` /// @@ -14611,7 +14850,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 152: + /// Semantic action for production 154: /// /// `AsyncLowToken: AsyncLowTerm : Token Comments;` /// @@ -14637,7 +14876,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 153: + /// Semantic action for production 155: /// /// `BitToken: BitTerm : Token Comments;` /// @@ -14663,7 +14902,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 154: + /// Semantic action for production 156: /// /// `CaseToken: CaseTerm : Token Comments;` /// @@ -14689,7 +14928,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 155: + /// Semantic action for production 157: /// /// `DefaultToken: DefaultTerm : Token Comments;` /// @@ -14715,7 +14954,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 156: + /// Semantic action for production 158: /// /// `ElseToken: ElseTerm : Token Comments;` /// @@ -14741,7 +14980,33 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 157: + /// Semantic action for production 159: + /// + /// `EmbedToken: EmbedTerm : Token Comments;` + /// + #[parol_runtime::function_name::named] + fn embed_token( + &mut self, + _embed_term: &ParseTreeType<'t>, + _comments: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let comments = pop_item!(self, comments, Comments, context); + let embed_term = pop_item!(self, embed_term, EmbedTerm, context); + let embed_token_built = EmbedToken { + embed_term: (&embed_term) + .try_into() + .map_err(parol_runtime::ParolError::UserError)?, + comments: Box::new(comments), + }; + // Calling user action here + self.user_grammar.embed_token(&embed_token_built)?; + self.push(ASTType::EmbedToken(embed_token_built), context); + Ok(()) + } + + /// Semantic action for production 160: /// /// `EnumToken: EnumTerm : Token Comments;` /// @@ -14767,7 +15032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 158: + /// Semantic action for production 161: /// /// `ExportToken: ExportTerm : Token Comments;` /// @@ -14793,7 +15058,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 159: + /// Semantic action for production 162: /// /// `F32Token: F32Term : Token Comments;` /// @@ -14819,7 +15084,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 160: + /// Semantic action for production 163: /// /// `F64Token: F64Term : Token Comments;` /// @@ -14845,7 +15110,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 161: + /// Semantic action for production 164: /// /// `FinalToken: FinalTerm : Token Comments;` /// @@ -14871,7 +15136,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 162: + /// Semantic action for production 165: /// /// `ForToken: ForTerm : Token Comments;` /// @@ -14897,7 +15162,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 163: + /// Semantic action for production 166: /// /// `FunctionToken: FunctionTerm : Token Comments;` /// @@ -14923,7 +15188,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 164: + /// Semantic action for production 167: /// /// `I32Token: I32Term : Token Comments;` /// @@ -14949,7 +15214,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 165: + /// Semantic action for production 168: /// /// `I64Token: I64Term : Token Comments;` /// @@ -14975,7 +15240,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 166: + /// Semantic action for production 169: /// /// `IfResetToken: IfResetTerm : Token Comments;` /// @@ -15001,7 +15266,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 167: + /// Semantic action for production 170: /// /// `IfToken: IfTerm : Token Comments;` /// @@ -15027,7 +15292,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 168: + /// Semantic action for production 171: /// /// `ImportToken: ImportTerm : Token Comments;` /// @@ -15053,7 +15318,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 169: + /// Semantic action for production 172: /// /// `InitialToken: InitialTerm : Token Comments;` /// @@ -15079,7 +15344,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 170: + /// Semantic action for production 173: /// /// `InoutToken: InoutTerm : Token Comments;` /// @@ -15105,7 +15370,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 171: + /// Semantic action for production 174: /// /// `InputToken: InputTerm : Token Comments;` /// @@ -15131,7 +15396,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 172: + /// Semantic action for production 175: /// /// `InsideToken: InsideTerm : Token Comments;` /// @@ -15157,7 +15422,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 173: + /// Semantic action for production 176: /// /// `InstToken: InstTerm : Token Comments;` /// @@ -15183,7 +15448,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 174: + /// Semantic action for production 177: /// /// `InterfaceToken: InterfaceTerm : Token Comments;` /// @@ -15209,7 +15474,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 175: + /// Semantic action for production 178: /// /// `InToken: InTerm : Token Comments;` /// @@ -15235,7 +15500,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 176: + /// Semantic action for production 179: /// /// `LetToken: LetTerm : Token Comments;` /// @@ -15261,7 +15526,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 177: + /// Semantic action for production 180: /// /// `LocalToken: LocalTerm : Token Comments;` /// @@ -15287,7 +15552,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 178: + /// Semantic action for production 181: /// /// `LogicToken: LogicTerm : Token Comments;` /// @@ -15313,7 +15578,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 179: + /// Semantic action for production 182: /// /// `LsbToken: LsbTerm : Token Comments;` /// @@ -15339,7 +15604,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 180: + /// Semantic action for production 183: /// /// `ModportToken: ModportTerm : Token Comments;` /// @@ -15365,7 +15630,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 181: + /// Semantic action for production 184: /// /// `ModuleToken: ModuleTerm : Token Comments;` /// @@ -15391,7 +15656,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 182: + /// Semantic action for production 185: /// /// `MsbToken: MsbTerm : Token Comments;` /// @@ -15417,7 +15682,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 183: + /// Semantic action for production 186: /// /// `NegedgeToken: NegedgeTerm : Token Comments;` /// @@ -15443,7 +15708,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 184: + /// Semantic action for production 187: /// /// `OutputToken: OutputTerm : Token Comments;` /// @@ -15469,7 +15734,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 185: + /// Semantic action for production 188: /// /// `OutsideToken: OutsideTerm : Token Comments;` /// @@ -15495,7 +15760,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 186: + /// Semantic action for production 189: /// /// `PackageToken: PackageTerm : Token Comments;` /// @@ -15521,7 +15786,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 187: + /// Semantic action for production 190: /// /// `ParamToken: ParamTerm : Token Comments;` /// @@ -15547,7 +15812,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 188: + /// Semantic action for production 191: /// /// `PosedgeToken: PosedgeTerm : Token Comments;` /// @@ -15573,7 +15838,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 189: + /// Semantic action for production 192: /// /// `PubToken: PubTerm : Token Comments;` /// @@ -15599,7 +15864,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 190: + /// Semantic action for production 193: /// /// `RefToken: RefTerm : Token Comments;` /// @@ -15625,7 +15890,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 191: + /// Semantic action for production 194: /// /// `RepeatToken: RepeatTerm : Token Comments;` /// @@ -15651,7 +15916,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 192: + /// Semantic action for production 195: /// /// `ReturnToken: ReturnTerm : Token Comments;` /// @@ -15677,7 +15942,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 193: + /// Semantic action for production 196: /// /// `BreakToken: BreakTerm : Token Comments;` /// @@ -15703,7 +15968,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 194: + /// Semantic action for production 197: /// /// `SignedToken: SignedTerm : Token Comments;` /// @@ -15729,7 +15994,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 195: + /// Semantic action for production 198: /// /// `StepToken: StepTerm : Token Comments;` /// @@ -15755,7 +16020,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 196: + /// Semantic action for production 199: /// /// `StringToken: StringTerm : Token Comments;` /// @@ -15781,7 +16046,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 197: + /// Semantic action for production 200: /// /// `StructToken: StructTerm : Token Comments;` /// @@ -15807,7 +16072,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 198: + /// Semantic action for production 201: /// /// `SyncHighToken: SyncHighTerm : Token Comments;` /// @@ -15833,7 +16098,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 199: + /// Semantic action for production 202: /// /// `SyncLowToken: SyncLowTerm : Token Comments;` /// @@ -15859,7 +16124,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 200: + /// Semantic action for production 203: /// /// `TriToken: TriTerm : Token Comments;` /// @@ -15885,7 +16150,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 201: + /// Semantic action for production 204: /// /// `TypeToken: TypeTerm : Token Comments;` /// @@ -15911,7 +16176,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 202: + /// Semantic action for production 205: /// /// `U32Token: U32Term : Token Comments;` /// @@ -15937,7 +16202,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 203: + /// Semantic action for production 206: /// /// `U64Token: U64Term : Token Comments;` /// @@ -15963,7 +16228,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 204: + /// Semantic action for production 207: /// /// `UnionToken: UnionTerm : Token Comments;` /// @@ -15989,7 +16254,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 205: + /// Semantic action for production 208: /// /// `VarToken: VarTerm : Token Comments;` /// @@ -16015,7 +16280,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 206: + /// Semantic action for production 209: /// /// `IdentifierToken: IdentifierTerm : Token Comments;` /// @@ -16042,7 +16307,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 207: + /// Semantic action for production 210: /// /// `Start: StartToken : VerylToken;` /// @@ -16062,7 +16327,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 208: + /// Semantic action for production 211: /// /// `StringLiteral: StringLiteralToken : VerylToken;` /// @@ -16083,7 +16348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 209: + /// Semantic action for production 212: /// /// `Exponent: ExponentToken : VerylToken;` /// @@ -16103,7 +16368,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 210: + /// Semantic action for production 213: /// /// `FixedPoint: FixedPointToken : VerylToken;` /// @@ -16123,7 +16388,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 211: + /// Semantic action for production 214: /// /// `Based: BasedToken : VerylToken;` /// @@ -16143,7 +16408,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 212: + /// Semantic action for production 215: /// /// `BaseLess: BaseLessToken : VerylToken;` /// @@ -16163,7 +16428,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 213: + /// Semantic action for production 216: /// /// `AllBit: AllBitToken : VerylToken;` /// @@ -16183,7 +16448,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 214: + /// Semantic action for production 217: /// /// `AssignmentOperator: AssignmentOperatorToken : VerylToken;` /// @@ -16215,7 +16480,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 215: + /// Semantic action for production 218: /// /// `Operator01: Operator01Token : VerylToken;` /// @@ -16235,7 +16500,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 216: + /// Semantic action for production 219: /// /// `Operator02: Operator02Token : VerylToken;` /// @@ -16255,7 +16520,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 217: + /// Semantic action for production 220: /// /// `Operator03: Operator03Token : VerylToken;` /// @@ -16275,7 +16540,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 218: + /// Semantic action for production 221: /// /// `Operator04: Operator04Token : VerylToken;` /// @@ -16295,7 +16560,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 219: + /// Semantic action for production 222: /// /// `Operator05: Operator05Token : VerylToken;` /// @@ -16315,7 +16580,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 220: + /// Semantic action for production 223: /// /// `Operator06: Operator06Token : VerylToken;` /// @@ -16335,7 +16600,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 221: + /// Semantic action for production 224: /// /// `Operator07: Operator07Token : VerylToken;` /// @@ -16355,7 +16620,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 222: + /// Semantic action for production 225: /// /// `Operator08: Operator08Token : VerylToken;` /// @@ -16375,7 +16640,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 223: + /// Semantic action for production 226: /// /// `Operator09: Operator09Token : VerylToken;` /// @@ -16395,7 +16660,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 224: + /// Semantic action for production 227: /// /// `Operator10: Operator10Token : VerylToken;` /// @@ -16415,7 +16680,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 225: + /// Semantic action for production 228: /// /// `Operator11: Operator11Token : VerylToken;` /// @@ -16435,7 +16700,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 226: + /// Semantic action for production 229: /// /// `UnaryOperator: UnaryOperatorToken : VerylToken;` /// @@ -16456,7 +16721,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 227: + /// Semantic action for production 230: /// /// `Colon: ColonToken : VerylToken;` /// @@ -16476,7 +16741,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 228: + /// Semantic action for production 231: /// /// `ColonColon: ColonColonToken : VerylToken;` /// @@ -16496,7 +16761,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 229: + /// Semantic action for production 232: /// /// `Comma: CommaToken : VerylToken;` /// @@ -16516,7 +16781,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 230: + /// Semantic action for production 233: /// /// `Dollar: DollarToken : VerylToken;` /// @@ -16536,7 +16801,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 231: + /// Semantic action for production 234: /// /// `DotDot: DotDotToken : VerylToken;` /// @@ -16556,7 +16821,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 232: + /// Semantic action for production 235: /// /// `DotDotEqu: DotDotEquToken : VerylToken;` /// @@ -16576,7 +16841,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 233: + /// Semantic action for production 236: /// /// `Dot: DotToken : VerylToken;` /// @@ -16596,7 +16861,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 234: + /// Semantic action for production 237: /// /// `Equ: EquToken : VerylToken;` /// @@ -16616,7 +16881,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 235: + /// Semantic action for production 238: /// /// `Hash: HashToken : VerylToken;` /// @@ -16636,7 +16901,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 236: + /// Semantic action for production 239: /// /// `LAngle: LAngleToken : VerylToken;` /// @@ -16656,7 +16921,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 237: + /// Semantic action for production 240: /// /// `LBrace: LBraceToken : VerylToken;` /// @@ -16676,7 +16941,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 238: + /// Semantic action for production 241: /// /// `LBracket: LBracketToken : VerylToken;` /// @@ -16696,7 +16961,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 239: + /// Semantic action for production 242: /// /// `LParen: LParenToken : VerylToken;` /// @@ -16716,7 +16981,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 240: + /// Semantic action for production 243: /// /// `MinusColon: MinusColonToken : VerylToken;` /// @@ -16736,7 +17001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 241: + /// Semantic action for production 244: /// /// `MinusGT: MinusGTToken : VerylToken;` /// @@ -16756,7 +17021,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 242: + /// Semantic action for production 245: /// /// `PlusColon: PlusColonToken : VerylToken;` /// @@ -16776,7 +17041,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 243: + /// Semantic action for production 246: /// /// `RAngle: RAngleToken : VerylToken;` /// @@ -16796,7 +17061,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 244: + /// Semantic action for production 247: /// /// `RBrace: RBraceToken : VerylToken;` /// @@ -16816,7 +17081,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 245: + /// Semantic action for production 248: /// /// `RBracket: RBracketToken : VerylToken;` /// @@ -16836,7 +17101,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 246: + /// Semantic action for production 249: /// /// `RParen: RParenToken : VerylToken;` /// @@ -16856,7 +17121,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 247: + /// Semantic action for production 250: /// /// `Semicolon: SemicolonToken : VerylToken;` /// @@ -16876,7 +17141,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 248: + /// Semantic action for production 251: /// /// `Star: StarToken : VerylToken;` /// @@ -16896,7 +17161,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 249: + /// Semantic action for production 252: /// /// `AlwaysComb: AlwaysCombToken : VerylToken;` /// @@ -16916,7 +17181,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 250: + /// Semantic action for production 253: /// /// `AlwaysFf: AlwaysFfToken : VerylToken;` /// @@ -16936,7 +17201,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 251: + /// Semantic action for production 254: /// /// `As: AsToken : VerylToken;` /// @@ -16956,7 +17221,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 252: + /// Semantic action for production 255: /// /// `Assign: AssignToken : VerylToken;` /// @@ -16976,7 +17241,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 253: + /// Semantic action for production 256: /// /// `AsyncHigh: AsyncHighToken : VerylToken;` /// @@ -16996,7 +17261,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 254: + /// Semantic action for production 257: /// /// `AsyncLow: AsyncLowToken : VerylToken;` /// @@ -17016,7 +17281,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 255: + /// Semantic action for production 258: /// /// `Bit: BitToken : VerylToken;` /// @@ -17036,7 +17301,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 256: + /// Semantic action for production 259: /// /// `Break: BreakToken : VerylToken;` /// @@ -17056,7 +17321,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 257: + /// Semantic action for production 260: /// /// `Case: CaseToken : VerylToken;` /// @@ -17076,7 +17341,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 258: + /// Semantic action for production 261: /// /// `Defaul: DefaultToken : VerylToken;` /// @@ -17096,7 +17361,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 259: + /// Semantic action for production 262: /// /// `Else: ElseToken : VerylToken;` /// @@ -17116,7 +17381,27 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 260: + /// Semantic action for production 263: + /// + /// `Embed: EmbedToken : VerylToken;` + /// + #[parol_runtime::function_name::named] + fn embed(&mut self, _embed_token: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let embed_token = pop_item!(self, embed_token, EmbedToken, context); + let embed_built = Embed { + embed_token: (&embed_token) + .try_into() + .map_err(parol_runtime::ParolError::UserError)?, + }; + // Calling user action here + self.user_grammar.embed(&embed_built)?; + self.push(ASTType::Embed(embed_built), context); + Ok(()) + } + + /// Semantic action for production 264: /// /// `Enum: EnumToken : VerylToken;` /// @@ -17136,7 +17421,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 261: + /// Semantic action for production 265: /// /// `Export: ExportToken : VerylToken;` /// @@ -17156,7 +17441,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 262: + /// Semantic action for production 266: /// /// `F32: F32Token : VerylToken;` /// @@ -17176,7 +17461,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 263: + /// Semantic action for production 267: /// /// `F64: F64Token : VerylToken;` /// @@ -17196,7 +17481,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 264: + /// Semantic action for production 268: /// /// `Final: FinalToken : VerylToken;` /// @@ -17216,7 +17501,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 265: + /// Semantic action for production 269: /// /// `For: ForToken : VerylToken;` /// @@ -17236,7 +17521,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 266: + /// Semantic action for production 270: /// /// `Function: FunctionToken : VerylToken;` /// @@ -17256,7 +17541,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 267: + /// Semantic action for production 271: /// /// `I32: I32Token : VerylToken;` /// @@ -17276,7 +17561,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 268: + /// Semantic action for production 272: /// /// `I64: I64Token : VerylToken;` /// @@ -17296,7 +17581,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 269: + /// Semantic action for production 273: /// /// `If: IfToken : VerylToken;` /// @@ -17316,7 +17601,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 270: + /// Semantic action for production 274: /// /// `IfReset: IfResetToken : VerylToken;` /// @@ -17336,7 +17621,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 271: + /// Semantic action for production 275: /// /// `Import: ImportToken : VerylToken;` /// @@ -17356,7 +17641,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 272: + /// Semantic action for production 276: /// /// `In: InToken : VerylToken;` /// @@ -17376,7 +17661,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 273: + /// Semantic action for production 277: /// /// `Initial: InitialToken : VerylToken;` /// @@ -17396,7 +17681,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 274: + /// Semantic action for production 278: /// /// `Inout: InoutToken : VerylToken;` /// @@ -17416,7 +17701,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 275: + /// Semantic action for production 279: /// /// `Input: InputToken : VerylToken;` /// @@ -17436,7 +17721,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 276: + /// Semantic action for production 280: /// /// `Inside: InsideToken : VerylToken;` /// @@ -17456,7 +17741,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 277: + /// Semantic action for production 281: /// /// `Inst: InstToken : VerylToken;` /// @@ -17476,7 +17761,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 278: + /// Semantic action for production 282: /// /// `Interface: InterfaceToken : VerylToken;` /// @@ -17496,7 +17781,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 279: + /// Semantic action for production 283: /// /// `Let: LetToken : VerylToken;` /// @@ -17516,7 +17801,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 280: + /// Semantic action for production 284: /// /// `Local: LocalToken : VerylToken;` /// @@ -17536,7 +17821,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 281: + /// Semantic action for production 285: /// /// `Logic: LogicToken : VerylToken;` /// @@ -17556,7 +17841,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 282: + /// Semantic action for production 286: /// /// `Lsb: LsbToken : VerylToken;` /// @@ -17576,7 +17861,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 283: + /// Semantic action for production 287: /// /// `Modport: ModportToken : VerylToken;` /// @@ -17596,7 +17881,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 284: + /// Semantic action for production 288: /// /// `Module: ModuleToken : VerylToken;` /// @@ -17616,7 +17901,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 285: + /// Semantic action for production 289: /// /// `Msb: MsbToken : VerylToken;` /// @@ -17636,7 +17921,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 286: + /// Semantic action for production 290: /// /// `Negedge: NegedgeToken : VerylToken;` /// @@ -17656,7 +17941,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 287: + /// Semantic action for production 291: /// /// `Output: OutputToken : VerylToken;` /// @@ -17676,7 +17961,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 288: + /// Semantic action for production 292: /// /// `Outside: OutsideToken : VerylToken;` /// @@ -17696,7 +17981,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 289: + /// Semantic action for production 293: /// /// `Package: PackageToken : VerylToken;` /// @@ -17716,7 +18001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 290: + /// Semantic action for production 294: /// /// `Param: ParamToken : VerylToken;` /// @@ -17736,7 +18021,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 291: + /// Semantic action for production 295: /// /// `Posedge: PosedgeToken : VerylToken;` /// @@ -17756,7 +18041,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 292: + /// Semantic action for production 296: /// /// `Pub: PubToken : VerylToken;` /// @@ -17776,7 +18061,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 293: + /// Semantic action for production 297: /// /// `Ref: RefToken : VerylToken;` /// @@ -17796,7 +18081,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 294: + /// Semantic action for production 298: /// /// `Repeat: RepeatToken : VerylToken;` /// @@ -17816,7 +18101,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 295: + /// Semantic action for production 299: /// /// `Return: ReturnToken : VerylToken;` /// @@ -17836,7 +18121,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 296: + /// Semantic action for production 300: /// /// `Signed: SignedToken : VerylToken;` /// @@ -17856,7 +18141,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 297: + /// Semantic action for production 301: /// /// `Step: StepToken : VerylToken;` /// @@ -17876,7 +18161,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 298: + /// Semantic action for production 302: /// /// `Strin: StringToken : VerylToken;` /// @@ -17896,7 +18181,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 299: + /// Semantic action for production 303: /// /// `Struct: StructToken : VerylToken;` /// @@ -17916,7 +18201,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 300: + /// Semantic action for production 304: /// /// `SyncHigh: SyncHighToken : VerylToken;` /// @@ -17936,7 +18221,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 301: + /// Semantic action for production 305: /// /// `SyncLow: SyncLowToken : VerylToken;` /// @@ -17956,7 +18241,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 302: + /// Semantic action for production 306: /// /// `Tri: TriToken : VerylToken;` /// @@ -17976,7 +18261,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 303: + /// Semantic action for production 307: /// /// `Type: TypeToken : VerylToken;` /// @@ -17996,7 +18281,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 304: + /// Semantic action for production 308: /// /// `U32: U32Token : VerylToken;` /// @@ -18016,7 +18301,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 305: + /// Semantic action for production 309: /// /// `U64: U64Token : VerylToken;` /// @@ -18036,7 +18321,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 306: + /// Semantic action for production 310: /// /// `Union: UnionToken : VerylToken;` /// @@ -18056,7 +18341,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 307: + /// Semantic action for production 311: /// /// `Var: VarToken : VerylToken;` /// @@ -18076,7 +18361,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 308: + /// Semantic action for production 312: /// /// `Identifier: IdentifierToken : VerylToken;` /// @@ -18096,7 +18381,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 309: + /// Semantic action for production 313: /// /// `Number: IntegralNumber;` /// @@ -18115,7 +18400,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 310: + /// Semantic action for production 314: /// /// `Number: RealNumber;` /// @@ -18134,7 +18419,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 311: + /// Semantic action for production 315: /// /// `IntegralNumber: Based;` /// @@ -18154,7 +18439,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 312: + /// Semantic action for production 316: /// /// `IntegralNumber: BaseLess;` /// @@ -18174,7 +18459,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 313: + /// Semantic action for production 317: /// /// `IntegralNumber: AllBit;` /// @@ -18194,7 +18479,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 314: + /// Semantic action for production 318: /// /// `RealNumber: FixedPoint;` /// @@ -18213,7 +18498,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 315: + /// Semantic action for production 319: /// /// `RealNumber: Exponent;` /// @@ -18232,7 +18517,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 316: + /// Semantic action for production 320: /// /// `HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */;` /// @@ -18273,7 +18558,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 317: + /// Semantic action for production 321: /// /// `HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0;` /// @@ -18315,7 +18600,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 318: + /// Semantic action for production 322: /// /// `HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List;` /// @@ -18346,7 +18631,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 319: + /// Semantic action for production 323: /// /// `HierarchicalIdentifierList0List /* Vec::New */: ;` /// @@ -18362,7 +18647,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 320: + /// Semantic action for production 324: /// /// `HierarchicalIdentifierList0 /* Vec::New */: ;` /// @@ -18378,7 +18663,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 321: + /// Semantic action for production 325: /// /// `HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList;` /// @@ -18409,7 +18694,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 322: + /// Semantic action for production 326: /// /// `HierarchicalIdentifierList /* Vec::New */: ;` /// @@ -18425,7 +18710,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 323: + /// Semantic action for production 327: /// /// `ScopedIdentifier: ScopedIdentifierOpt /* Option */ Identifier ScopedIdentifierList /* Vec */;` /// @@ -18455,7 +18740,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 324: + /// Semantic action for production 328: /// /// `ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierList;` /// @@ -18485,7 +18770,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 325: + /// Semantic action for production 329: /// /// `ScopedIdentifierList /* Vec::New */: ;` /// @@ -18501,7 +18786,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 326: + /// Semantic action for production 330: /// /// `ScopedIdentifierOpt /* Option::Some */: Dollar;` /// @@ -18520,7 +18805,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 327: + /// Semantic action for production 331: /// /// `ScopedIdentifierOpt /* Option::None */: ;` /// @@ -18532,7 +18817,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 328: + /// Semantic action for production 332: /// /// `ExpressionIdentifier: ExpressionIdentifierOpt /* Option */ Identifier ExpressionIdentifierGroup;` /// @@ -18573,7 +18858,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 329: + /// Semantic action for production 333: /// /// `ExpressionIdentifierGroup: ExpressionIdentifierScoped;` /// @@ -18605,7 +18890,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 330: + /// Semantic action for production 334: /// /// `ExpressionIdentifierGroup: ExpressionIdentifierMember;` /// @@ -18637,7 +18922,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 331: + /// Semantic action for production 335: /// /// `ExpressionIdentifierOpt /* Option::Some */: Dollar;` /// @@ -18656,7 +18941,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 332: + /// Semantic action for production 336: /// /// `ExpressionIdentifierOpt /* Option::None */: ;` /// @@ -18668,7 +18953,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 333: + /// Semantic action for production 337: /// /// `ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */;` /// @@ -18712,7 +18997,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 334: + /// Semantic action for production 338: /// /// `ExpressionIdentifierScopedList0 /* Vec::Push */: Select ExpressionIdentifierScopedList0;` /// @@ -18743,7 +19028,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 335: + /// Semantic action for production 339: /// /// `ExpressionIdentifierScopedList0 /* Vec::New */: ;` /// @@ -18759,7 +19044,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 336: + /// Semantic action for production 340: /// /// `ExpressionIdentifierScopedList /* Vec::Push */: ColonColon Identifier ExpressionIdentifierScopedList;` /// @@ -18793,7 +19078,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 337: + /// Semantic action for production 341: /// /// `ExpressionIdentifierScopedList /* Vec::New */: ;` /// @@ -18809,7 +19094,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 338: + /// Semantic action for production 342: /// /// `ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */;` /// @@ -18847,7 +19132,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 339: + /// Semantic action for production 343: /// /// `ExpressionIdentifierMemberList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0;` /// @@ -18889,7 +19174,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 340: + /// Semantic action for production 344: /// /// `ExpressionIdentifierMemberList0List /* Vec::Push */: Select ExpressionIdentifierMemberList0List;` /// @@ -18921,7 +19206,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 341: + /// Semantic action for production 345: /// /// `ExpressionIdentifierMemberList0List /* Vec::New */: ;` /// @@ -18939,7 +19224,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 342: + /// Semantic action for production 346: /// /// `ExpressionIdentifierMemberList0 /* Vec::New */: ;` /// @@ -18955,7 +19240,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 343: + /// Semantic action for production 347: /// /// `ExpressionIdentifierMemberList /* Vec::Push */: Select ExpressionIdentifierMemberList;` /// @@ -18986,7 +19271,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 344: + /// Semantic action for production 348: /// /// `ExpressionIdentifierMemberList /* Vec::New */: ;` /// @@ -19002,7 +19287,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 345: + /// Semantic action for production 349: /// /// `Expression: Expression01 ExpressionList /* Vec */;` /// @@ -19026,7 +19311,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 346: + /// Semantic action for production 350: /// /// `ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList;` /// @@ -19052,7 +19337,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 347: + /// Semantic action for production 351: /// /// `ExpressionList /* Vec::New */: ;` /// @@ -19065,7 +19350,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 348: + /// Semantic action for production 352: /// /// `Expression01: Expression02 Expression01List /* Vec */;` /// @@ -19090,7 +19375,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 349: + /// Semantic action for production 353: /// /// `Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List;` /// @@ -19116,7 +19401,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 350: + /// Semantic action for production 354: /// /// `Expression01List /* Vec::New */: ;` /// @@ -19132,7 +19417,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 351: + /// Semantic action for production 355: /// /// `Expression02: Expression03 Expression02List /* Vec */;` /// @@ -19157,7 +19442,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 352: + /// Semantic action for production 356: /// /// `Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List;` /// @@ -19183,7 +19468,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 353: + /// Semantic action for production 357: /// /// `Expression02List /* Vec::New */: ;` /// @@ -19199,7 +19484,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 354: + /// Semantic action for production 358: /// /// `Expression03: Expression04 Expression03List /* Vec */;` /// @@ -19224,7 +19509,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 355: + /// Semantic action for production 359: /// /// `Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List;` /// @@ -19250,7 +19535,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 356: + /// Semantic action for production 360: /// /// `Expression03List /* Vec::New */: ;` /// @@ -19266,7 +19551,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 357: + /// Semantic action for production 361: /// /// `Expression04: Expression05 Expression04List /* Vec */;` /// @@ -19291,7 +19576,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 358: + /// Semantic action for production 362: /// /// `Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List;` /// @@ -19317,7 +19602,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 359: + /// Semantic action for production 363: /// /// `Expression04List /* Vec::New */: ;` /// @@ -19333,7 +19618,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 360: + /// Semantic action for production 364: /// /// `Expression05: Expression06 Expression05List /* Vec */;` /// @@ -19358,7 +19643,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 361: + /// Semantic action for production 365: /// /// `Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List;` /// @@ -19384,7 +19669,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 362: + /// Semantic action for production 366: /// /// `Expression05List /* Vec::New */: ;` /// @@ -19400,7 +19685,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 363: + /// Semantic action for production 367: /// /// `Expression06: Expression07 Expression06List /* Vec */;` /// @@ -19425,7 +19710,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 364: + /// Semantic action for production 368: /// /// `Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List;` /// @@ -19451,7 +19736,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 365: + /// Semantic action for production 369: /// /// `Expression06List /* Vec::New */: ;` /// @@ -19467,7 +19752,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 366: + /// Semantic action for production 370: /// /// `Expression07: Expression08 Expression07List /* Vec */;` /// @@ -19492,7 +19777,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 367: + /// Semantic action for production 371: /// /// `Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List;` /// @@ -19518,7 +19803,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 368: + /// Semantic action for production 372: /// /// `Expression07List /* Vec::New */: ;` /// @@ -19534,7 +19819,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 369: + /// Semantic action for production 373: /// /// `Expression08: Expression09 Expression08List /* Vec */;` /// @@ -19559,7 +19844,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 370: + /// Semantic action for production 374: /// /// `Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List;` /// @@ -19585,7 +19870,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 371: + /// Semantic action for production 375: /// /// `Expression08List /* Vec::New */: ;` /// @@ -19601,7 +19886,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 372: + /// Semantic action for production 376: /// /// `Expression09: Expression10 Expression09List /* Vec */;` /// @@ -19626,7 +19911,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 373: + /// Semantic action for production 377: /// /// `Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List;` /// @@ -19657,7 +19942,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 374: + /// Semantic action for production 378: /// /// `Expression09ListGroup: Operator10;` /// @@ -19678,7 +19963,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 375: + /// Semantic action for production 379: /// /// `Expression09ListGroup: Star;` /// @@ -19699,7 +19984,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 376: + /// Semantic action for production 380: /// /// `Expression09List /* Vec::New */: ;` /// @@ -19715,7 +20000,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 377: + /// Semantic action for production 381: /// /// `Expression10: Expression11 Expression10List /* Vec */;` /// @@ -19740,7 +20025,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 378: + /// Semantic action for production 382: /// /// `Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List;` /// @@ -19766,7 +20051,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 379: + /// Semantic action for production 383: /// /// `Expression10List /* Vec::New */: ;` /// @@ -19782,7 +20067,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 380: + /// Semantic action for production 384: /// /// `Expression11: Expression12 Expression11List /* Vec */;` /// @@ -19807,7 +20092,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 381: + /// Semantic action for production 385: /// /// `Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List;` /// @@ -19833,7 +20118,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 382: + /// Semantic action for production 386: /// /// `Expression11List /* Vec::New */: ;` /// @@ -19849,7 +20134,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 383: + /// Semantic action for production 387: /// /// `Expression12: Expression12List /* Vec */ Factor;` /// @@ -19874,7 +20159,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 384: + /// Semantic action for production 388: /// /// `Expression12List /* Vec::Push */: Expression12ListGroup Expression12List;` /// @@ -19902,7 +20187,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 385: + /// Semantic action for production 389: /// /// `Expression12ListGroup: UnaryOperator;` /// @@ -19923,7 +20208,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 386: + /// Semantic action for production 390: /// /// `Expression12ListGroup: Operator09;` /// @@ -19944,7 +20229,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 387: + /// Semantic action for production 391: /// /// `Expression12ListGroup: Operator05;` /// @@ -19965,7 +20250,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 388: + /// Semantic action for production 392: /// /// `Expression12ListGroup: Operator03;` /// @@ -19986,7 +20271,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 389: + /// Semantic action for production 393: /// /// `Expression12ListGroup: Operator04;` /// @@ -20007,7 +20292,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 390: + /// Semantic action for production 394: /// /// `Expression12List /* Vec::New */: ;` /// @@ -20023,7 +20308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 391: + /// Semantic action for production 395: /// /// `Factor: Number;` /// @@ -20042,7 +20327,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 392: + /// Semantic action for production 396: /// /// `Factor: ExpressionIdentifier FactorOpt /* Option */;` /// @@ -20068,7 +20353,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 393: + /// Semantic action for production 397: /// /// `Factor: LParen Expression RParen;` /// @@ -20096,7 +20381,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 394: + /// Semantic action for production 398: /// /// `Factor: LBrace ConcatenationList RBrace;` /// @@ -20124,7 +20409,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 395: + /// Semantic action for production 399: /// /// `Factor: IfExpression;` /// @@ -20143,7 +20428,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 396: + /// Semantic action for production 400: /// /// `Factor: CaseExpression;` /// @@ -20162,7 +20447,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 397: + /// Semantic action for production 401: /// /// `Factor: StringLiteral;` /// @@ -20181,7 +20466,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 398: + /// Semantic action for production 402: /// /// `Factor: FactorGroup;` /// @@ -20200,7 +20485,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 399: + /// Semantic action for production 403: /// /// `FactorGroup: Msb;` /// @@ -20215,7 +20500,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 400: + /// Semantic action for production 404: /// /// `FactorGroup: Lsb;` /// @@ -20230,7 +20515,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 401: + /// Semantic action for production 405: /// /// `Factor: InsideExpression;` /// @@ -20249,7 +20534,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 402: + /// Semantic action for production 406: /// /// `Factor: OutsideExpression;` /// @@ -20268,7 +20553,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 403: + /// Semantic action for production 407: /// /// `FactorOpt /* Option::Some */: FunctionCall;` /// @@ -20284,7 +20569,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 404: + /// Semantic action for production 408: /// /// `FactorOpt /* Option::None */: ;` /// @@ -20296,7 +20581,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 405: + /// Semantic action for production 409: /// /// `FunctionCall: LParen FunctionCallOpt /* Option */ RParen;` /// @@ -20323,7 +20608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 406: + /// Semantic action for production 410: /// /// `FunctionCallOpt /* Option::Some */: ArgumentList;` /// @@ -20342,7 +20627,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 407: + /// Semantic action for production 411: /// /// `FunctionCallOpt /* Option::None */: ;` /// @@ -20354,7 +20639,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 408: + /// Semantic action for production 412: /// /// `ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */;` /// @@ -20382,7 +20667,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 409: + /// Semantic action for production 413: /// /// `ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList;` /// @@ -20408,7 +20693,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 410: + /// Semantic action for production 414: /// /// `ArgumentListList /* Vec::New */: ;` /// @@ -20424,7 +20709,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 411: + /// Semantic action for production 415: /// /// `ArgumentListOpt /* Option::Some */: Comma;` /// @@ -20443,7 +20728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 412: + /// Semantic action for production 416: /// /// `ArgumentListOpt /* Option::None */: ;` /// @@ -20455,7 +20740,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 413: + /// Semantic action for production 417: /// /// `ArgumentItem: Expression;` /// @@ -20473,7 +20758,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 414: + /// Semantic action for production 418: /// /// `ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */;` /// @@ -20510,7 +20795,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 415: + /// Semantic action for production 419: /// /// `ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList;` /// @@ -20544,7 +20829,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 416: + /// Semantic action for production 420: /// /// `ConcatenationListList /* Vec::New */: ;` /// @@ -20560,7 +20845,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 417: + /// Semantic action for production 421: /// /// `ConcatenationListOpt /* Option::Some */: Comma;` /// @@ -20579,7 +20864,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 418: + /// Semantic action for production 422: /// /// `ConcatenationListOpt /* Option::None */: ;` /// @@ -20591,7 +20876,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 419: + /// Semantic action for production 423: /// /// `ConcatenationItem: Expression ConcatenationItemOpt /* Option */;` /// @@ -20620,7 +20905,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 420: + /// Semantic action for production 424: /// /// `ConcatenationItemOpt /* Option::Some */: Repeat Expression;` /// @@ -20645,7 +20930,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 421: + /// Semantic action for production 425: /// /// `ConcatenationItemOpt /* Option::None */: ;` /// @@ -20657,7 +20942,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 422: + /// Semantic action for production 426: /// /// `IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace;` /// @@ -20706,7 +20991,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 423: + /// Semantic action for production 427: /// /// `IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList;` /// @@ -20744,7 +21029,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 424: + /// Semantic action for production 428: /// /// `IfExpressionList /* Vec::New */: ;` /// @@ -20760,7 +21045,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 425: + /// Semantic action for production 429: /// /// `CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace;` /// @@ -20822,7 +21107,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 426: + /// Semantic action for production 430: /// /// `CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0;` /// @@ -20863,7 +21148,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 427: + /// Semantic action for production 431: /// /// `CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List;` /// @@ -20897,7 +21182,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 428: + /// Semantic action for production 432: /// /// `CaseExpressionList0List /* Vec::New */: ;` /// @@ -20913,7 +21198,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 429: + /// Semantic action for production 433: /// /// `CaseExpressionList0 /* Vec::New */: ;` /// @@ -20929,7 +21214,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 430: + /// Semantic action for production 434: /// /// `CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList;` /// @@ -20956,7 +21241,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 431: + /// Semantic action for production 435: /// /// `CaseExpressionList /* Vec::New */: ;` /// @@ -20972,7 +21257,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 432: + /// Semantic action for production 436: /// /// `CaseExpressionOpt /* Option::Some */: Comma;` /// @@ -20991,7 +21276,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 433: + /// Semantic action for production 437: /// /// `CaseExpressionOpt /* Option::None */: ;` /// @@ -21003,7 +21288,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 434: + /// Semantic action for production 438: /// /// `TypeExpression: ScalarType;` /// @@ -21023,7 +21308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 435: + /// Semantic action for production 439: /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -21056,7 +21341,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 436: + /// Semantic action for production 440: /// /// `InsideExpression: Inside Expression LBrace RangeList RBrace;` /// @@ -21090,7 +21375,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 437: + /// Semantic action for production 441: /// /// `OutsideExpression: Outside Expression LBrace RangeList RBrace;` /// @@ -21127,7 +21412,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 438: + /// Semantic action for production 442: /// /// `RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */;` /// @@ -21154,7 +21439,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 439: + /// Semantic action for production 443: /// /// `RangeListList /* Vec::Push */: Comma RangeItem RangeListList;` /// @@ -21180,7 +21465,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 440: + /// Semantic action for production 444: /// /// `RangeListList /* Vec::New */: ;` /// @@ -21193,7 +21478,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 441: + /// Semantic action for production 445: /// /// `RangeListOpt /* Option::Some */: Comma;` /// @@ -21209,7 +21494,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 442: + /// Semantic action for production 446: /// /// `RangeListOpt /* Option::None */: ;` /// @@ -21221,7 +21506,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 443: + /// Semantic action for production 447: /// /// `RangeItem: Range;` /// @@ -21239,7 +21524,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 444: + /// Semantic action for production 448: /// /// `Select: LBracket Expression SelectOpt /* Option */ RBracket;` /// @@ -21269,7 +21554,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 445: + /// Semantic action for production 449: /// /// `SelectOpt /* Option::Some */: SelectOperator Expression;` /// @@ -21291,7 +21576,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 446: + /// Semantic action for production 450: /// /// `SelectOpt /* Option::None */: ;` /// @@ -21303,7 +21588,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 447: + /// Semantic action for production 451: /// /// `SelectOperator: Colon;` /// @@ -21323,7 +21608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 448: + /// Semantic action for production 452: /// /// `SelectOperator: PlusColon;` /// @@ -21343,7 +21628,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 449: + /// Semantic action for production 453: /// /// `SelectOperator: MinusColon;` /// @@ -21363,7 +21648,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 450: + /// Semantic action for production 454: /// /// `SelectOperator: Step;` /// @@ -21383,7 +21668,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 451: + /// Semantic action for production 455: /// /// `Width: LAngle Expression WidthList /* Vec */ RAngle;` /// @@ -21413,7 +21698,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 452: + /// Semantic action for production 456: /// /// `WidthList /* Vec::Push */: Comma Expression WidthList;` /// @@ -21439,7 +21724,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 453: + /// Semantic action for production 457: /// /// `WidthList /* Vec::New */: ;` /// @@ -21452,7 +21737,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 454: + /// Semantic action for production 458: /// /// `Array: LBracket Expression ArrayList /* Vec */ RBracket;` /// @@ -21482,7 +21767,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 455: + /// Semantic action for production 459: /// /// `ArrayList /* Vec::Push */: Comma Expression ArrayList;` /// @@ -21508,7 +21793,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 456: + /// Semantic action for production 460: /// /// `ArrayList /* Vec::New */: ;` /// @@ -21521,7 +21806,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 457: + /// Semantic action for production 461: /// /// `Range: Expression RangeOpt /* Option */;` /// @@ -21545,7 +21830,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 458: + /// Semantic action for production 462: /// /// `RangeOpt /* Option::Some */: RangeOperator Expression;` /// @@ -21567,7 +21852,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 459: + /// Semantic action for production 463: /// /// `RangeOpt /* Option::None */: ;` /// @@ -21579,7 +21864,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 460: + /// Semantic action for production 464: /// /// `RangeOperator: DotDot;` /// @@ -21598,7 +21883,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 461: + /// Semantic action for production 465: /// /// `RangeOperator: DotDotEqu;` /// @@ -21617,7 +21902,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 462: + /// Semantic action for production 466: /// /// `FixedType: U32;` /// @@ -21634,7 +21919,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 463: + /// Semantic action for production 467: /// /// `FixedType: U64;` /// @@ -21651,7 +21936,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 464: + /// Semantic action for production 468: /// /// `FixedType: I32;` /// @@ -21668,7 +21953,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 465: + /// Semantic action for production 469: /// /// `FixedType: I64;` /// @@ -21685,7 +21970,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 466: + /// Semantic action for production 470: /// /// `FixedType: F32;` /// @@ -21702,7 +21987,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 467: + /// Semantic action for production 471: /// /// `FixedType: F64;` /// @@ -21719,7 +22004,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 468: + /// Semantic action for production 472: /// /// `FixedType: Strin;` /// @@ -21738,7 +22023,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 469: + /// Semantic action for production 473: /// /// `VariableType: VariableTypeGroup VariableTypeOpt /* Option */;` /// @@ -21762,7 +22047,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 470: + /// Semantic action for production 474: /// /// `VariableTypeGroup: Logic;` /// @@ -21782,7 +22067,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 471: + /// Semantic action for production 475: /// /// `VariableTypeGroup: Bit;` /// @@ -21800,7 +22085,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 472: + /// Semantic action for production 476: /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -21821,7 +22106,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 473: + /// Semantic action for production 477: /// /// `VariableTypeOpt /* Option::Some */: Width;` /// @@ -21840,7 +22125,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 474: + /// Semantic action for production 478: /// /// `VariableTypeOpt /* Option::None */: ;` /// @@ -21852,7 +22137,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 475: + /// Semantic action for production 479: /// /// `TypeModifier: Tri;` /// @@ -21869,7 +22154,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 476: + /// Semantic action for production 480: /// /// `TypeModifier: Signed;` /// @@ -21888,7 +22173,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 477: + /// Semantic action for production 481: /// /// `ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup;` /// @@ -21913,7 +22198,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 478: + /// Semantic action for production 482: /// /// `ScalarTypeGroup: VariableType;` /// @@ -21930,7 +22215,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 479: + /// Semantic action for production 483: /// /// `ScalarTypeGroup: FixedType;` /// @@ -21947,7 +22232,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 480: + /// Semantic action for production 484: /// /// `ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList;` /// @@ -21970,7 +22255,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 481: + /// Semantic action for production 485: /// /// `ScalarTypeList /* Vec::New */: ;` /// @@ -21983,7 +22268,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 482: + /// Semantic action for production 486: /// /// `ArrayType: ScalarType ArrayTypeOpt /* Option */;` /// @@ -22007,7 +22292,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 483: + /// Semantic action for production 487: /// /// `ArrayTypeOpt /* Option::Some */: Array;` /// @@ -22023,7 +22308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 484: + /// Semantic action for production 488: /// /// `ArrayTypeOpt /* Option::None */: ;` /// @@ -22035,7 +22320,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 485: + /// Semantic action for production 489: /// /// `Statement: LetStatement;` /// @@ -22054,7 +22339,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 486: + /// Semantic action for production 490: /// /// `Statement: IdentifierStatement;` /// @@ -22074,7 +22359,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 487: + /// Semantic action for production 491: /// /// `Statement: IfStatement;` /// @@ -22093,7 +22378,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 488: + /// Semantic action for production 492: /// /// `Statement: IfResetStatement;` /// @@ -22112,7 +22397,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 489: + /// Semantic action for production 493: /// /// `Statement: ReturnStatement;` /// @@ -22131,7 +22416,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 490: + /// Semantic action for production 494: /// /// `Statement: BreakStatement;` /// @@ -22150,7 +22435,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 491: + /// Semantic action for production 495: /// /// `Statement: ForStatement;` /// @@ -22169,7 +22454,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 492: + /// Semantic action for production 496: /// /// `Statement: CaseStatement;` /// @@ -22188,7 +22473,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 493: + /// Semantic action for production 497: /// /// `LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -22227,7 +22512,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 494: + /// Semantic action for production 498: /// /// `IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon;` /// @@ -22264,7 +22549,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 495: + /// Semantic action for production 499: /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -22285,7 +22570,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 496: + /// Semantic action for production 500: /// /// `IdentifierStatementGroup: Assignment;` /// @@ -22306,7 +22591,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 497: + /// Semantic action for production 501: /// /// `Assignment: AssignmentGroup Expression;` /// @@ -22330,7 +22615,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 498: + /// Semantic action for production 502: /// /// `AssignmentGroup: Equ;` /// @@ -22345,7 +22630,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 499: + /// Semantic action for production 503: /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -22363,7 +22648,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 500: + /// Semantic action for production 504: /// /// `IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */;` /// @@ -22404,7 +22689,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 501: + /// Semantic action for production 505: /// /// `IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0;` /// @@ -22443,7 +22728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 502: + /// Semantic action for production 506: /// /// `IfStatementList0List /* Vec::Push */: Statement IfStatementList0List;` /// @@ -22470,7 +22755,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 503: + /// Semantic action for production 507: /// /// `IfStatementList0List /* Vec::New */: ;` /// @@ -22486,7 +22771,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 504: + /// Semantic action for production 508: /// /// `IfStatementList0 /* Vec::New */: ;` /// @@ -22502,7 +22787,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 505: + /// Semantic action for production 509: /// /// `IfStatementList /* Vec::Push */: Statement IfStatementList;` /// @@ -22525,7 +22810,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 506: + /// Semantic action for production 510: /// /// `IfStatementList /* Vec::New */: ;` /// @@ -22538,7 +22823,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 507: + /// Semantic action for production 511: /// /// `IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace;` /// @@ -22570,7 +22855,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 508: + /// Semantic action for production 512: /// /// `IfStatementOptList /* Vec::Push */: Statement IfStatementOptList;` /// @@ -22594,7 +22879,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 509: + /// Semantic action for production 513: /// /// `IfStatementOptList /* Vec::New */: ;` /// @@ -22610,7 +22895,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 510: + /// Semantic action for production 514: /// /// `IfStatementOpt /* Option::None */: ;` /// @@ -22622,7 +22907,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 511: + /// Semantic action for production 515: /// /// `IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */;` /// @@ -22666,7 +22951,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 512: + /// Semantic action for production 516: /// /// `IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0;` /// @@ -22717,7 +23002,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 513: + /// Semantic action for production 517: /// /// `IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List;` /// @@ -22748,7 +23033,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 514: + /// Semantic action for production 518: /// /// `IfResetStatementList0List /* Vec::New */: ;` /// @@ -22764,7 +23049,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 515: + /// Semantic action for production 519: /// /// `IfResetStatementList0 /* Vec::New */: ;` /// @@ -22780,7 +23065,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 516: + /// Semantic action for production 520: /// /// `IfResetStatementList /* Vec::Push */: Statement IfResetStatementList;` /// @@ -22807,7 +23092,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 517: + /// Semantic action for production 521: /// /// `IfResetStatementList /* Vec::New */: ;` /// @@ -22823,7 +23108,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 518: + /// Semantic action for production 522: /// /// `IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace;` /// @@ -22859,7 +23144,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 519: + /// Semantic action for production 523: /// /// `IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList;` /// @@ -22890,7 +23175,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 520: + /// Semantic action for production 524: /// /// `IfResetStatementOptList /* Vec::New */: ;` /// @@ -22906,7 +23191,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 521: + /// Semantic action for production 525: /// /// `IfResetStatementOpt /* Option::None */: ;` /// @@ -22918,7 +23203,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 522: + /// Semantic action for production 526: /// /// `ReturnStatement: Return Expression Semicolon;` /// @@ -22946,7 +23231,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 523: + /// Semantic action for production 527: /// /// `BreakStatement: Break Semicolon;` /// @@ -22970,7 +23255,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 524: + /// Semantic action for production 528: /// /// `ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace;` /// @@ -23019,7 +23304,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 525: + /// Semantic action for production 529: /// /// `ForStatementList /* Vec::Push */: Statement ForStatementList;` /// @@ -23042,7 +23327,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 526: + /// Semantic action for production 530: /// /// `ForStatementList /* Vec::New */: ;` /// @@ -23058,7 +23343,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 527: + /// Semantic action for production 531: /// /// `ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -23086,7 +23371,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 528: + /// Semantic action for production 532: /// /// `ForStatementOpt /* Option::None */: ;` /// @@ -23098,7 +23383,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 529: + /// Semantic action for production 533: /// /// `CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace;` /// @@ -23132,7 +23417,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 530: + /// Semantic action for production 534: /// /// `CaseStatementList /* Vec::Push */: CaseItem CaseStatementList;` /// @@ -23156,7 +23441,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 531: + /// Semantic action for production 535: /// /// `CaseStatementList /* Vec::New */: ;` /// @@ -23172,7 +23457,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 532: + /// Semantic action for production 536: /// /// `CaseItem: CaseItemGroup Colon CaseItemGroup0;` /// @@ -23199,7 +23484,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 533: + /// Semantic action for production 537: /// /// `CaseItemGroup0: Statement;` /// @@ -23216,7 +23501,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 534: + /// Semantic action for production 538: /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -23244,7 +23529,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 535: + /// Semantic action for production 539: /// /// `CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List;` /// @@ -23268,7 +23553,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 536: + /// Semantic action for production 540: /// /// `CaseItemGroup0List /* Vec::New */: ;` /// @@ -23284,7 +23569,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 537: + /// Semantic action for production 541: /// /// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` /// @@ -23309,7 +23594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 538: + /// Semantic action for production 542: /// /// `CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList;` /// @@ -23336,7 +23621,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 539: + /// Semantic action for production 543: /// /// `CaseItemGroupList /* Vec::New */: ;` /// @@ -23352,7 +23637,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 540: + /// Semantic action for production 544: /// /// `CaseItemGroup: Defaul;` /// @@ -23369,7 +23654,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 541: + /// Semantic action for production 545: /// /// `Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket;` /// @@ -23402,7 +23687,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 542: + /// Semantic action for production 546: /// /// `AttributeOpt /* Option::Some */: LParen AttributeList RParen;` /// @@ -23427,7 +23712,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 543: + /// Semantic action for production 547: /// /// `AttributeOpt /* Option::None */: ;` /// @@ -23439,7 +23724,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 544: + /// Semantic action for production 548: /// /// `AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */;` /// @@ -23467,7 +23752,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 545: + /// Semantic action for production 549: /// /// `AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList;` /// @@ -23494,7 +23779,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 546: + /// Semantic action for production 550: /// /// `AttributeListList /* Vec::New */: ;` /// @@ -23510,7 +23795,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 547: + /// Semantic action for production 551: /// /// `AttributeListOpt /* Option::Some */: Comma;` /// @@ -23529,7 +23814,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 548: + /// Semantic action for production 552: /// /// `AttributeListOpt /* Option::None */: ;` /// @@ -23541,7 +23826,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 549: + /// Semantic action for production 553: /// /// `AttributeItem: Identifier;` /// @@ -23560,7 +23845,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 550: + /// Semantic action for production 554: /// /// `AttributeItem: StringLiteral;` /// @@ -23579,7 +23864,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 551: + /// Semantic action for production 555: /// /// `LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -23618,7 +23903,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 552: + /// Semantic action for production 556: /// /// `VarDeclaration: Var Identifier Colon ArrayType Semicolon;` /// @@ -23651,7 +23936,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 553: + /// Semantic action for production 557: /// /// `LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon;` /// @@ -23690,7 +23975,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 554: + /// Semantic action for production 558: /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -23720,7 +24005,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 555: + /// Semantic action for production 559: /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -23750,7 +24035,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 556: + /// Semantic action for production 560: /// /// `TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon;` /// @@ -23787,7 +24072,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 557: + /// Semantic action for production 561: /// /// `AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace;` /// @@ -23843,7 +24128,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 558: + /// Semantic action for production 562: /// /// `AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList;` /// @@ -23874,7 +24159,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 559: + /// Semantic action for production 563: /// /// `AlwaysFfDeclarationList /* Vec::New */: ;` /// @@ -23890,7 +24175,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 560: + /// Semantic action for production 564: /// /// `AlwaysFfDeclarationOpt /* Option::Some */: Comma AlwaysFfReset;` /// @@ -23915,7 +24200,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 561: + /// Semantic action for production 565: /// /// `AlwaysFfDeclarationOpt /* Option::None */: ;` /// @@ -23927,7 +24212,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 562: + /// Semantic action for production 566: /// /// `AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier;` /// @@ -23956,7 +24241,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 563: + /// Semantic action for production 567: /// /// `AlwaysFfClockOpt /* Option::Some */: AlwaysFfClockOptGroup;` /// @@ -23983,7 +24268,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 564: + /// Semantic action for production 568: /// /// `AlwaysFfClockOptGroup: Posedge;` /// @@ -24004,7 +24289,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 565: + /// Semantic action for production 569: /// /// `AlwaysFfClockOptGroup: Negedge;` /// @@ -24025,7 +24310,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 566: + /// Semantic action for production 570: /// /// `AlwaysFfClockOpt /* Option::None */: ;` /// @@ -24037,7 +24322,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 567: + /// Semantic action for production 571: /// /// `AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier;` /// @@ -24066,7 +24351,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 568: + /// Semantic action for production 572: /// /// `AlwaysFfResetOpt /* Option::Some */: AlwaysFfResetOptGroup;` /// @@ -24093,7 +24378,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 569: + /// Semantic action for production 573: /// /// `AlwaysFfResetOptGroup: AsyncLow;` /// @@ -24114,7 +24399,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 570: + /// Semantic action for production 574: /// /// `AlwaysFfResetOptGroup: AsyncHigh;` /// @@ -24135,7 +24420,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 571: + /// Semantic action for production 575: /// /// `AlwaysFfResetOptGroup: SyncLow;` /// @@ -24156,7 +24441,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 572: + /// Semantic action for production 576: /// /// `AlwaysFfResetOptGroup: SyncHigh;` /// @@ -24177,7 +24462,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 573: + /// Semantic action for production 577: /// /// `AlwaysFfResetOpt /* Option::None */: ;` /// @@ -24189,7 +24474,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 574: + /// Semantic action for production 578: /// /// `AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace;` /// @@ -24228,7 +24513,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 575: + /// Semantic action for production 579: /// /// `AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList;` /// @@ -24259,7 +24544,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 576: + /// Semantic action for production 580: /// /// `AlwaysCombDeclarationList /* Vec::New */: ;` /// @@ -24275,7 +24560,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 577: + /// Semantic action for production 581: /// /// `AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon;` /// @@ -24317,7 +24602,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 578: + /// Semantic action for production 582: /// /// `ModportDeclaration: Modport Identifier LBrace ModportList RBrace;` /// @@ -24354,7 +24639,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 579: + /// Semantic action for production 583: /// /// `ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */;` /// @@ -24382,7 +24667,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 580: + /// Semantic action for production 584: /// /// `ModportListList /* Vec::Push */: Comma ModportGroup ModportListList;` /// @@ -24408,7 +24693,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 581: + /// Semantic action for production 585: /// /// `ModportListList /* Vec::New */: ;` /// @@ -24421,7 +24706,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 582: + /// Semantic action for production 586: /// /// `ModportListOpt /* Option::Some */: Comma;` /// @@ -24440,7 +24725,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 583: + /// Semantic action for production 587: /// /// `ModportListOpt /* Option::None */: ;` /// @@ -24452,7 +24737,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 584: + /// Semantic action for production 588: /// /// `ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup;` /// @@ -24477,7 +24762,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 585: + /// Semantic action for production 589: /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -24507,7 +24792,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 586: + /// Semantic action for production 590: /// /// `ModportGroupGroup: ModportItem;` /// @@ -24528,7 +24813,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 587: + /// Semantic action for production 591: /// /// `ModportGroupList /* Vec::Push */: Attribute ModportGroupList;` /// @@ -24551,7 +24836,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 588: + /// Semantic action for production 592: /// /// `ModportGroupList /* Vec::New */: ;` /// @@ -24567,7 +24852,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 589: + /// Semantic action for production 593: /// /// `ModportItem: Identifier Colon Direction;` /// @@ -24594,7 +24879,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 590: + /// Semantic action for production 594: /// /// `EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace;` /// @@ -24634,7 +24919,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 591: + /// Semantic action for production 595: /// /// `EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */;` /// @@ -24661,7 +24946,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 592: + /// Semantic action for production 596: /// /// `EnumListList /* Vec::Push */: Comma EnumGroup EnumListList;` /// @@ -24687,7 +24972,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 593: + /// Semantic action for production 597: /// /// `EnumListList /* Vec::New */: ;` /// @@ -24700,7 +24985,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 594: + /// Semantic action for production 598: /// /// `EnumListOpt /* Option::Some */: Comma;` /// @@ -24716,7 +25001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 595: + /// Semantic action for production 599: /// /// `EnumListOpt /* Option::None */: ;` /// @@ -24728,7 +25013,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 596: + /// Semantic action for production 600: /// /// `EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup;` /// @@ -24752,7 +25037,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 597: + /// Semantic action for production 601: /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -24779,7 +25064,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 598: + /// Semantic action for production 602: /// /// `EnumGroupGroup: EnumItem;` /// @@ -24796,7 +25081,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 599: + /// Semantic action for production 603: /// /// `EnumGroupList /* Vec::Push */: Attribute EnumGroupList;` /// @@ -24819,7 +25104,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 600: + /// Semantic action for production 604: /// /// `EnumGroupList /* Vec::New */: ;` /// @@ -24832,7 +25117,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 601: + /// Semantic action for production 605: /// /// `EnumItem: Identifier EnumItemOpt /* Option */;` /// @@ -24856,7 +25141,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 602: + /// Semantic action for production 606: /// /// `EnumItemOpt /* Option::Some */: Equ Expression;` /// @@ -24878,7 +25163,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 603: + /// Semantic action for production 607: /// /// `EnumItemOpt /* Option::None */: ;` /// @@ -24890,7 +25175,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 604: + /// Semantic action for production 608: /// /// `StructUnion: Struct;` /// @@ -24909,7 +25194,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 605: + /// Semantic action for production 609: /// /// `StructUnion: Union;` /// @@ -24928,7 +25213,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 606: + /// Semantic action for production 610: /// /// `StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace;` /// @@ -24965,7 +25250,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 607: + /// Semantic action for production 611: /// /// `StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */;` /// @@ -24995,7 +25280,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 608: + /// Semantic action for production 612: /// /// `StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList;` /// @@ -25025,7 +25310,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 609: + /// Semantic action for production 613: /// /// `StructUnionListList /* Vec::New */: ;` /// @@ -25041,7 +25326,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 610: + /// Semantic action for production 614: /// /// `StructUnionListOpt /* Option::Some */: Comma;` /// @@ -25060,7 +25345,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 611: + /// Semantic action for production 615: /// /// `StructUnionListOpt /* Option::None */: ;` /// @@ -25072,7 +25357,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 612: + /// Semantic action for production 616: /// /// `StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup;` /// @@ -25103,7 +25388,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 613: + /// Semantic action for production 617: /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -25133,7 +25418,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 614: + /// Semantic action for production 618: /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -25154,7 +25439,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 615: + /// Semantic action for production 619: /// /// `StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList;` /// @@ -25181,7 +25466,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 616: + /// Semantic action for production 620: /// /// `StructUnionGroupList /* Vec::New */: ;` /// @@ -25197,7 +25482,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 617: + /// Semantic action for production 621: /// /// `StructUnionItem: Identifier Colon ScalarType;` /// @@ -25225,7 +25510,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 618: + /// Semantic action for production 622: /// /// `InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace;` /// @@ -25264,7 +25549,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 619: + /// Semantic action for production 623: /// /// `InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList;` /// @@ -25295,7 +25580,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 620: + /// Semantic action for production 624: /// /// `InitialDeclarationList /* Vec::New */: ;` /// @@ -25311,7 +25596,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 621: + /// Semantic action for production 625: /// /// `FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace;` /// @@ -25343,7 +25628,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 622: + /// Semantic action for production 626: /// /// `FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList;` /// @@ -25370,7 +25655,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 623: + /// Semantic action for production 627: /// /// `FinalDeclarationList /* Vec::New */: ;` /// @@ -25386,7 +25671,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 624: + /// Semantic action for production 628: /// /// `InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon;` /// @@ -25432,7 +25717,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 625: + /// Semantic action for production 629: /// /// `InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen;` /// @@ -25461,7 +25746,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 626: + /// Semantic action for production 630: /// /// `InstDeclarationOpt2 /* Option::Some */: InstPortList;` /// @@ -25480,7 +25765,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 627: + /// Semantic action for production 631: /// /// `InstDeclarationOpt2 /* Option::None */: ;` /// @@ -25492,7 +25777,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 628: + /// Semantic action for production 632: /// /// `InstDeclarationOpt1 /* Option::None */: ;` /// @@ -25504,7 +25789,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 629: + /// Semantic action for production 633: /// /// `InstDeclarationOpt0 /* Option::Some */: InstParameter;` /// @@ -25523,7 +25808,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 630: + /// Semantic action for production 634: /// /// `InstDeclarationOpt0 /* Option::None */: ;` /// @@ -25535,7 +25820,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 631: + /// Semantic action for production 635: /// /// `InstDeclarationOpt /* Option::Some */: Array;` /// @@ -25554,7 +25839,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 632: + /// Semantic action for production 636: /// /// `InstDeclarationOpt /* Option::None */: ;` /// @@ -25566,7 +25851,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 633: + /// Semantic action for production 637: /// /// `InstParameter: Hash LParen InstParameterOpt /* Option */ RParen;` /// @@ -25596,7 +25881,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 634: + /// Semantic action for production 638: /// /// `InstParameterOpt /* Option::Some */: InstParameterList;` /// @@ -25615,7 +25900,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 635: + /// Semantic action for production 639: /// /// `InstParameterOpt /* Option::None */: ;` /// @@ -25627,7 +25912,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 636: + /// Semantic action for production 640: /// /// `InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */;` /// @@ -25665,7 +25950,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 637: + /// Semantic action for production 641: /// /// `InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList;` /// @@ -25700,7 +25985,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 638: + /// Semantic action for production 642: /// /// `InstParameterListList /* Vec::New */: ;` /// @@ -25716,7 +26001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 639: + /// Semantic action for production 643: /// /// `InstParameterListOpt /* Option::Some */: Comma;` /// @@ -25735,7 +26020,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 640: + /// Semantic action for production 644: /// /// `InstParameterListOpt /* Option::None */: ;` /// @@ -25747,7 +26032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 641: + /// Semantic action for production 645: /// /// `InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup;` /// @@ -25785,7 +26070,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 642: + /// Semantic action for production 646: /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -25818,7 +26103,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 643: + /// Semantic action for production 647: /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -25842,7 +26127,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 644: + /// Semantic action for production 648: /// /// `InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList;` /// @@ -25873,7 +26158,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 645: + /// Semantic action for production 649: /// /// `InstParameterGroupList /* Vec::New */: ;` /// @@ -25889,7 +26174,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 646: + /// Semantic action for production 650: /// /// `InstParameterItem: Identifier InstParameterItemOpt /* Option */;` /// @@ -25918,7 +26203,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 647: + /// Semantic action for production 651: /// /// `InstParameterItemOpt /* Option::Some */: Colon Expression;` /// @@ -25943,7 +26228,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 648: + /// Semantic action for production 652: /// /// `InstParameterItemOpt /* Option::None */: ;` /// @@ -25955,7 +26240,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 649: + /// Semantic action for production 653: /// /// `InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */;` /// @@ -25983,7 +26268,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 650: + /// Semantic action for production 654: /// /// `InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList;` /// @@ -26010,7 +26295,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 651: + /// Semantic action for production 655: /// /// `InstPortListList /* Vec::New */: ;` /// @@ -26026,7 +26311,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 652: + /// Semantic action for production 656: /// /// `InstPortListOpt /* Option::Some */: Comma;` /// @@ -26045,7 +26330,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 653: + /// Semantic action for production 657: /// /// `InstPortListOpt /* Option::None */: ;` /// @@ -26057,7 +26342,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 654: + /// Semantic action for production 658: /// /// `InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup;` /// @@ -26083,7 +26368,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 655: + /// Semantic action for production 659: /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -26113,7 +26398,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 656: + /// Semantic action for production 660: /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -26134,7 +26419,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 657: + /// Semantic action for production 661: /// /// `InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList;` /// @@ -26158,7 +26443,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 658: + /// Semantic action for production 662: /// /// `InstPortGroupList /* Vec::New */: ;` /// @@ -26174,7 +26459,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 659: + /// Semantic action for production 663: /// /// `InstPortItem: Identifier InstPortItemOpt /* Option */;` /// @@ -26198,7 +26483,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 660: + /// Semantic action for production 664: /// /// `InstPortItemOpt /* Option::Some */: Colon Expression;` /// @@ -26223,7 +26508,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 661: + /// Semantic action for production 665: /// /// `InstPortItemOpt /* Option::None */: ;` /// @@ -26235,7 +26520,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 662: + /// Semantic action for production 666: /// /// `WithParameter: Hash LParen WithParameterOpt /* Option */ RParen;` /// @@ -26265,7 +26550,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 663: + /// Semantic action for production 667: /// /// `WithParameterOpt /* Option::Some */: WithParameterList;` /// @@ -26284,7 +26569,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 664: + /// Semantic action for production 668: /// /// `WithParameterOpt /* Option::None */: ;` /// @@ -26296,7 +26581,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 665: + /// Semantic action for production 669: /// /// `WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */;` /// @@ -26334,7 +26619,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 666: + /// Semantic action for production 670: /// /// `WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList;` /// @@ -26369,7 +26654,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 667: + /// Semantic action for production 671: /// /// `WithParameterListList /* Vec::New */: ;` /// @@ -26385,7 +26670,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 668: + /// Semantic action for production 672: /// /// `WithParameterListOpt /* Option::Some */: Comma;` /// @@ -26404,7 +26689,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 669: + /// Semantic action for production 673: /// /// `WithParameterListOpt /* Option::None */: ;` /// @@ -26416,7 +26701,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 670: + /// Semantic action for production 674: /// /// `WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup;` /// @@ -26454,7 +26739,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 671: + /// Semantic action for production 675: /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -26487,7 +26772,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 672: + /// Semantic action for production 676: /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -26511,7 +26796,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 673: + /// Semantic action for production 677: /// /// `WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList;` /// @@ -26542,7 +26827,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 674: + /// Semantic action for production 678: /// /// `WithParameterGroupList /* Vec::New */: ;` /// @@ -26558,7 +26843,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 675: + /// Semantic action for production 679: /// /// `WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0;` /// @@ -26602,7 +26887,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 676: + /// Semantic action for production 680: /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -26632,7 +26917,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 677: + /// Semantic action for production 681: /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -26662,7 +26947,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 678: + /// Semantic action for production 682: /// /// `WithParameterItemGroup: Param;` /// @@ -26683,7 +26968,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 679: + /// Semantic action for production 683: /// /// `WithParameterItemGroup: Local;` /// @@ -26704,7 +26989,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 680: + /// Semantic action for production 684: /// /// `PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen;` /// @@ -26733,7 +27018,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 681: + /// Semantic action for production 685: /// /// `PortDeclarationOpt /* Option::Some */: PortDeclarationList;` /// @@ -26753,7 +27038,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 682: + /// Semantic action for production 686: /// /// `PortDeclarationOpt /* Option::None */: ;` /// @@ -26765,7 +27050,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 683: + /// Semantic action for production 687: /// /// `PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */;` /// @@ -26807,7 +27092,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 684: + /// Semantic action for production 688: /// /// `PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList;` /// @@ -26842,7 +27127,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 685: + /// Semantic action for production 689: /// /// `PortDeclarationListList /* Vec::New */: ;` /// @@ -26858,7 +27143,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 686: + /// Semantic action for production 690: /// /// `PortDeclarationListOpt /* Option::Some */: Comma;` /// @@ -26877,7 +27162,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 687: + /// Semantic action for production 691: /// /// `PortDeclarationListOpt /* Option::None */: ;` /// @@ -26889,7 +27174,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 688: + /// Semantic action for production 692: /// /// `PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup;` /// @@ -26927,7 +27212,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 689: + /// Semantic action for production 693: /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -26961,7 +27246,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 690: + /// Semantic action for production 694: /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -26986,7 +27271,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 691: + /// Semantic action for production 695: /// /// `PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList;` /// @@ -27017,7 +27302,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 692: + /// Semantic action for production 696: /// /// `PortDeclarationGroupList /* Vec::New */: ;` /// @@ -27033,7 +27318,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 693: + /// Semantic action for production 697: /// /// `PortDeclarationItem: Identifier Colon PortDeclarationItemGroup;` /// @@ -27069,7 +27354,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 694: + /// Semantic action for production 698: /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -27096,7 +27381,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 695: + /// Semantic action for production 699: /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -27131,7 +27416,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 696: + /// Semantic action for production 700: /// /// `PortDeclarationItemOpt /* Option::Some */: Array;` /// @@ -27150,7 +27435,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 697: + /// Semantic action for production 701: /// /// `PortDeclarationItemOpt /* Option::None */: ;` /// @@ -27162,7 +27447,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 698: + /// Semantic action for production 702: /// /// `Direction: Input;` /// @@ -27181,7 +27466,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 699: + /// Semantic action for production 703: /// /// `Direction: Output;` /// @@ -27200,7 +27485,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 700: + /// Semantic action for production 704: /// /// `Direction: Inout;` /// @@ -27219,7 +27504,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 701: + /// Semantic action for production 705: /// /// `Direction: Ref;` /// @@ -27238,7 +27523,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 702: + /// Semantic action for production 706: /// /// `Direction: Modport;` /// @@ -27257,7 +27542,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 703: + /// Semantic action for production 707: /// /// `FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace;` /// @@ -27323,7 +27608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 704: + /// Semantic action for production 708: /// /// `FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList;` /// @@ -27354,7 +27639,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 705: + /// Semantic action for production 709: /// /// `FunctionDeclarationList /* Vec::New */: ;` /// @@ -27370,7 +27655,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 706: + /// Semantic action for production 710: /// /// `FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType;` /// @@ -27395,7 +27680,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 707: + /// Semantic action for production 711: /// /// `FunctionDeclarationOpt1 /* Option::None */: ;` /// @@ -27407,7 +27692,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 708: + /// Semantic action for production 712: /// /// `FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration;` /// @@ -27426,7 +27711,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 709: + /// Semantic action for production 713: /// /// `FunctionDeclarationOpt0 /* Option::None */: ;` /// @@ -27438,7 +27723,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 710: + /// Semantic action for production 714: /// /// `FunctionDeclarationOpt /* Option::Some */: WithParameter;` /// @@ -27457,7 +27742,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 711: + /// Semantic action for production 715: /// /// `FunctionDeclarationOpt /* Option::None */: ;` /// @@ -27469,7 +27754,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 712: + /// Semantic action for production 716: /// /// `FunctionItem: VarDeclaration;` /// @@ -27488,7 +27773,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 713: + /// Semantic action for production 717: /// /// `FunctionItem: Statement;` /// @@ -27507,7 +27792,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 714: + /// Semantic action for production 718: /// /// `ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon;` /// @@ -27542,7 +27827,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 715: + /// Semantic action for production 719: /// /// `ImportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -27567,7 +27852,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 716: + /// Semantic action for production 720: /// /// `ImportDeclarationOpt /* Option::None */: ;` /// @@ -27579,7 +27864,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 717: + /// Semantic action for production 721: /// /// `ExportDeclaration: Export ExportDeclarationGroup Semicolon;` /// @@ -27615,7 +27900,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 718: + /// Semantic action for production 722: /// /// `ExportDeclarationGroup: Star;` /// @@ -27636,7 +27921,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 719: + /// Semantic action for production 723: /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -27667,7 +27952,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 720: + /// Semantic action for production 724: /// /// `ExportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -27692,7 +27977,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 721: + /// Semantic action for production 725: /// /// `ExportDeclarationOpt /* Option::None */: ;` /// @@ -27704,7 +27989,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 722: + /// Semantic action for production 726: /// /// `ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace;` /// @@ -27766,7 +28051,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 723: + /// Semantic action for production 727: /// /// `ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList;` /// @@ -27797,7 +28082,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 724: + /// Semantic action for production 728: /// /// `ModuleDeclarationList /* Vec::New */: ;` /// @@ -27813,7 +28098,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 725: + /// Semantic action for production 729: /// /// `ModuleDeclarationOpt1 /* Option::Some */: PortDeclaration;` /// @@ -27832,7 +28117,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 726: + /// Semantic action for production 730: /// /// `ModuleDeclarationOpt1 /* Option::None */: ;` /// @@ -27844,7 +28129,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 727: + /// Semantic action for production 731: /// /// `ModuleDeclarationOpt0 /* Option::Some */: WithParameter;` /// @@ -27863,7 +28148,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 728: + /// Semantic action for production 732: /// /// `ModuleDeclarationOpt0 /* Option::None */: ;` /// @@ -27875,7 +28160,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 729: + /// Semantic action for production 733: /// /// `ModuleDeclarationOpt /* Option::Some */: Pub;` /// @@ -27894,7 +28179,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 730: + /// Semantic action for production 734: /// /// `ModuleDeclarationOpt /* Option::None */: ;` /// @@ -27906,7 +28191,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 731: + /// Semantic action for production 735: /// /// `ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */;` /// @@ -27953,7 +28238,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 732: + /// Semantic action for production 736: /// /// `ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList;` /// @@ -27998,7 +28283,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 733: + /// Semantic action for production 737: /// /// `ModuleIfDeclarationList /* Vec::New */: ;` /// @@ -28014,7 +28299,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 734: + /// Semantic action for production 738: /// /// `ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock;` /// @@ -28044,7 +28329,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 735: + /// Semantic action for production 739: /// /// `ModuleIfDeclarationOpt /* Option::None */: ;` /// @@ -28056,7 +28341,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 736: + /// Semantic action for production 740: /// /// `ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock;` /// @@ -28101,7 +28386,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 737: + /// Semantic action for production 741: /// /// `ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -28129,7 +28414,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 738: + /// Semantic action for production 742: /// /// `ModuleForDeclarationOpt /* Option::None */: ;` /// @@ -28141,7 +28426,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 739: + /// Semantic action for production 743: /// /// `ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace;` /// @@ -28176,7 +28461,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 740: + /// Semantic action for production 744: /// /// `ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList;` /// @@ -28203,7 +28488,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 741: + /// Semantic action for production 745: /// /// `ModuleNamedBlockList /* Vec::New */: ;` /// @@ -28219,7 +28504,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 742: + /// Semantic action for production 746: /// /// `ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -28263,7 +28548,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 743: + /// Semantic action for production 747: /// /// `ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList;` /// @@ -28294,7 +28579,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 744: + /// Semantic action for production 748: /// /// `ModuleOptionalNamedBlockList /* Vec::New */: ;` /// @@ -28310,7 +28595,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 745: + /// Semantic action for production 749: /// /// `ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -28335,7 +28620,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 746: + /// Semantic action for production 750: /// /// `ModuleOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -28347,7 +28632,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 747: + /// Semantic action for production 751: /// /// `ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup;` /// @@ -28372,7 +28657,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 748: + /// Semantic action for production 752: /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -28403,7 +28688,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 749: + /// Semantic action for production 753: /// /// `ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList;` /// @@ -28430,7 +28715,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 750: + /// Semantic action for production 754: /// /// `ModuleGroupGroupList /* Vec::New */: ;` /// @@ -28446,7 +28731,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 751: + /// Semantic action for production 755: /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -28466,7 +28751,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 752: + /// Semantic action for production 756: /// /// `ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList;` /// @@ -28489,7 +28774,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 753: + /// Semantic action for production 757: /// /// `ModuleGroupList /* Vec::New */: ;` /// @@ -28502,7 +28787,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 754: + /// Semantic action for production 758: /// /// `ModuleItem: LetDeclaration;` /// @@ -28521,7 +28806,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 755: + /// Semantic action for production 759: /// /// `ModuleItem: VarDeclaration;` /// @@ -28540,7 +28825,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 756: + /// Semantic action for production 760: /// /// `ModuleItem: InstDeclaration;` /// @@ -28559,7 +28844,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 757: + /// Semantic action for production 761: /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -28579,7 +28864,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 758: + /// Semantic action for production 762: /// /// `ModuleItem: LocalDeclaration;` /// @@ -28598,7 +28883,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 759: + /// Semantic action for production 763: /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -28618,7 +28903,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 760: + /// Semantic action for production 764: /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -28642,7 +28927,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 761: + /// Semantic action for production 765: /// /// `ModuleItem: AssignDeclaration;` /// @@ -28661,7 +28946,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 762: + /// Semantic action for production 766: /// /// `ModuleItem: FunctionDeclaration;` /// @@ -28681,7 +28966,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 763: + /// Semantic action for production 767: /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -28701,7 +28986,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 764: + /// Semantic action for production 768: /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -28721,7 +29006,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 765: + /// Semantic action for production 769: /// /// `ModuleItem: EnumDeclaration;` /// @@ -28740,7 +29025,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 766: + /// Semantic action for production 770: /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -28764,7 +29049,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 767: + /// Semantic action for production 771: /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -28783,7 +29068,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 768: + /// Semantic action for production 772: /// /// `ModuleItem: ImportDeclaration;` /// @@ -28802,7 +29087,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 769: + /// Semantic action for production 773: /// /// `ModuleItem: InitialDeclaration;` /// @@ -28821,7 +29106,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 770: + /// Semantic action for production 774: /// /// `ModuleItem: FinalDeclaration;` /// @@ -28840,7 +29125,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 771: + /// Semantic action for production 775: /// /// `InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace;` /// @@ -28898,7 +29183,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 772: + /// Semantic action for production 776: /// /// `InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList;` /// @@ -28929,7 +29214,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 773: + /// Semantic action for production 777: /// /// `InterfaceDeclarationList /* Vec::New */: ;` /// @@ -28945,7 +29230,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 774: + /// Semantic action for production 778: /// /// `InterfaceDeclarationOpt0 /* Option::Some */: WithParameter;` /// @@ -28964,7 +29249,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 775: + /// Semantic action for production 779: /// /// `InterfaceDeclarationOpt0 /* Option::None */: ;` /// @@ -28976,7 +29261,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 776: + /// Semantic action for production 780: /// /// `InterfaceDeclarationOpt /* Option::Some */: Pub;` /// @@ -28995,7 +29280,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 777: + /// Semantic action for production 781: /// /// `InterfaceDeclarationOpt /* Option::None */: ;` /// @@ -29007,7 +29292,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 778: + /// Semantic action for production 782: /// /// `InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */;` /// @@ -29055,7 +29340,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 779: + /// Semantic action for production 783: /// /// `InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList;` /// @@ -29100,7 +29385,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 780: + /// Semantic action for production 784: /// /// `InterfaceIfDeclarationList /* Vec::New */: ;` /// @@ -29116,7 +29401,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 781: + /// Semantic action for production 785: /// /// `InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock;` /// @@ -29146,7 +29431,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 782: + /// Semantic action for production 786: /// /// `InterfaceIfDeclarationOpt /* Option::None */: ;` /// @@ -29158,7 +29443,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 783: + /// Semantic action for production 787: /// /// `InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock;` /// @@ -29204,7 +29489,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 784: + /// Semantic action for production 788: /// /// `InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -29232,7 +29517,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 785: + /// Semantic action for production 789: /// /// `InterfaceForDeclarationOpt /* Option::None */: ;` /// @@ -29244,7 +29529,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 786: + /// Semantic action for production 790: /// /// `InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace;` /// @@ -29286,7 +29571,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 787: + /// Semantic action for production 791: /// /// `InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList;` /// @@ -29317,7 +29602,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 788: + /// Semantic action for production 792: /// /// `InterfaceNamedBlockList /* Vec::New */: ;` /// @@ -29333,7 +29618,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 789: + /// Semantic action for production 793: /// /// `InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -29377,7 +29662,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 790: + /// Semantic action for production 794: /// /// `InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList;` /// @@ -29408,7 +29693,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 791: + /// Semantic action for production 795: /// /// `InterfaceOptionalNamedBlockList /* Vec::New */: ;` /// @@ -29424,7 +29709,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 792: + /// Semantic action for production 796: /// /// `InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -29451,7 +29736,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 793: + /// Semantic action for production 797: /// /// `InterfaceOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -29463,7 +29748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 794: + /// Semantic action for production 798: /// /// `InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup;` /// @@ -29489,7 +29774,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 795: + /// Semantic action for production 799: /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -29525,7 +29810,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 796: + /// Semantic action for production 800: /// /// `InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList;` /// @@ -29556,7 +29841,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 797: + /// Semantic action for production 801: /// /// `InterfaceGroupGroupList /* Vec::New */: ;` /// @@ -29572,7 +29857,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 798: + /// Semantic action for production 802: /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -29593,7 +29878,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 799: + /// Semantic action for production 803: /// /// `InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList;` /// @@ -29617,7 +29902,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 800: + /// Semantic action for production 804: /// /// `InterfaceGroupList /* Vec::New */: ;` /// @@ -29633,7 +29918,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 801: + /// Semantic action for production 805: /// /// `InterfaceItem: LetDeclaration;` /// @@ -29652,7 +29937,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 802: + /// Semantic action for production 806: /// /// `InterfaceItem: VarDeclaration;` /// @@ -29671,7 +29956,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 803: + /// Semantic action for production 807: /// /// `InterfaceItem: LocalDeclaration;` /// @@ -29690,7 +29975,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 804: + /// Semantic action for production 808: /// /// `InterfaceItem: ModportDeclaration;` /// @@ -29709,7 +29994,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 805: + /// Semantic action for production 809: /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -29733,7 +30018,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 806: + /// Semantic action for production 810: /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -29757,7 +30042,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 807: + /// Semantic action for production 811: /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -29777,7 +30062,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 808: + /// Semantic action for production 812: /// /// `InterfaceItem: EnumDeclaration;` /// @@ -29796,7 +30081,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 809: + /// Semantic action for production 813: /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -29820,7 +30105,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 810: + /// Semantic action for production 814: /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -29840,7 +30125,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 811: + /// Semantic action for production 815: /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -29860,7 +30145,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 812: + /// Semantic action for production 816: /// /// `InterfaceItem: ImportDeclaration;` /// @@ -29879,7 +30164,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 813: + /// Semantic action for production 817: /// /// `InterfaceItem: InitialDeclaration;` /// @@ -29898,7 +30183,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 814: + /// Semantic action for production 818: /// /// `InterfaceItem: FinalDeclaration;` /// @@ -29917,7 +30202,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 815: + /// Semantic action for production 819: /// /// `PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace;` /// @@ -29967,7 +30252,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 816: + /// Semantic action for production 820: /// /// `PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList;` /// @@ -29998,7 +30283,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 817: + /// Semantic action for production 821: /// /// `PackageDeclarationList /* Vec::New */: ;` /// @@ -30014,7 +30299,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 818: + /// Semantic action for production 822: /// /// `PackageDeclarationOpt /* Option::Some */: Pub;` /// @@ -30033,7 +30318,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 819: + /// Semantic action for production 823: /// /// `PackageDeclarationOpt /* Option::None */: ;` /// @@ -30045,7 +30330,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 820: + /// Semantic action for production 824: /// /// `PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup;` /// @@ -30070,7 +30355,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 821: + /// Semantic action for production 825: /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -30105,7 +30390,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 822: + /// Semantic action for production 826: /// /// `PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList;` /// @@ -30136,7 +30421,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 823: + /// Semantic action for production 827: /// /// `PackageGroupGroupList /* Vec::New */: ;` /// @@ -30152,7 +30437,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 824: + /// Semantic action for production 828: /// /// `PackageGroupGroup: PackageItem;` /// @@ -30173,7 +30458,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 825: + /// Semantic action for production 829: /// /// `PackageGroupList /* Vec::Push */: Attribute PackageGroupList;` /// @@ -30196,7 +30481,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 826: + /// Semantic action for production 830: /// /// `PackageGroupList /* Vec::New */: ;` /// @@ -30212,7 +30497,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 827: + /// Semantic action for production 831: /// /// `PackageItem: VarDeclaration;` /// @@ -30231,7 +30516,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 828: + /// Semantic action for production 832: /// /// `PackageItem: LocalDeclaration;` /// @@ -30250,7 +30535,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 829: + /// Semantic action for production 833: /// /// `PackageItem: TypeDefDeclaration;` /// @@ -30270,7 +30555,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 830: + /// Semantic action for production 834: /// /// `PackageItem: EnumDeclaration;` /// @@ -30289,7 +30574,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 831: + /// Semantic action for production 835: /// /// `PackageItem: StructUnionDeclaration;` /// @@ -30313,7 +30598,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 832: + /// Semantic action for production 836: /// /// `PackageItem: FunctionDeclaration;` /// @@ -30333,7 +30618,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 833: + /// Semantic action for production 837: /// /// `PackageItem: ImportDeclaration;` /// @@ -30352,7 +30637,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 834: + /// Semantic action for production 838: /// /// `PackageItem: ExportDeclaration;` /// @@ -30371,7 +30656,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 835: + /// Semantic action for production 839: /// /// `PackageItem: InitialDeclaration;` /// @@ -30390,7 +30675,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 836: + /// Semantic action for production 840: /// /// `PackageItem: FinalDeclaration;` /// @@ -30409,7 +30694,242 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 837: + /// Semantic action for production 841: + /// + /// `EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent;` + /// + #[parol_runtime::function_name::named] + fn embed_declaration( + &mut self, + _embed: &ParseTreeType<'t>, + _l_paren: &ParseTreeType<'t>, + _identifier: &ParseTreeType<'t>, + _r_paren: &ParseTreeType<'t>, + _identifier0: &ParseTreeType<'t>, + _embed_content: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let embed_content = pop_item!(self, embed_content, EmbedContent, context); + let identifier0 = pop_item!(self, identifier0, Identifier, context); + let r_paren = pop_item!(self, r_paren, RParen, context); + let identifier = pop_item!(self, identifier, Identifier, context); + let l_paren = pop_item!(self, l_paren, LParen, context); + let embed = pop_item!(self, embed, Embed, context); + let embed_declaration_built = EmbedDeclaration { + embed: Box::new(embed), + l_paren: Box::new(l_paren), + identifier: Box::new(identifier), + r_paren: Box::new(r_paren), + identifier0: Box::new(identifier0), + embed_content: Box::new(embed_content), + }; + // Calling user action here + self.user_grammar + .embed_declaration(&embed_declaration_built)?; + self.push(ASTType::EmbedDeclaration(embed_declaration_built), context); + Ok(()) + } + + /// Semantic action for production 842: + /// + /// `EmbedContent: EmbedContentToken : VerylToken;` + /// + #[parol_runtime::function_name::named] + fn embed_content(&mut self, _embed_content_token: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let embed_content_token = pop_item!(self, embed_content_token, EmbedContentToken, context); + let embed_content_built = EmbedContent { + embed_content_token: (&embed_content_token) + .try_into() + .map_err(parol_runtime::ParolError::UserError)?, + }; + // Calling user action here + self.user_grammar.embed_content(&embed_content_built)?; + self.push(ASTType::EmbedContent(embed_content_built), context); + Ok(()) + } + + /// Semantic action for production 843: + /// + /// `EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop();` + /// + #[parol_runtime::function_name::named] + fn embed_content_token( + &mut self, + _l_brace_term: &ParseTreeType<'t>, + _l_brace_term0: &ParseTreeType<'t>, + _l_brace_term1: &ParseTreeType<'t>, + _embed_content_token_list: &ParseTreeType<'t>, + _r_brace_term: &ParseTreeType<'t>, + _r_brace_term0: &ParseTreeType<'t>, + _r_brace_term1: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let r_brace_term1 = pop_item!(self, r_brace_term1, RBraceTerm, context); + let r_brace_term0 = pop_item!(self, r_brace_term0, RBraceTerm, context); + let r_brace_term = pop_item!(self, r_brace_term, RBraceTerm, context); + let embed_content_token_list = pop_and_reverse_item!( + self, + embed_content_token_list, + EmbedContentTokenList, + context + ); + let l_brace_term1 = pop_item!(self, l_brace_term1, LBraceTerm, context); + let l_brace_term0 = pop_item!(self, l_brace_term0, LBraceTerm, context); + let l_brace_term = pop_item!(self, l_brace_term, LBraceTerm, context); + let embed_content_token_built = EmbedContentToken { + l_brace_term: Box::new(l_brace_term), + l_brace_term0: Box::new(l_brace_term0), + l_brace_term1: Box::new(l_brace_term1), + embed_content_token_list, + r_brace_term: Box::new(r_brace_term), + r_brace_term0: Box::new(r_brace_term0), + r_brace_term1: Box::new(r_brace_term1), + }; + // Calling user action here + self.user_grammar + .embed_content_token(&embed_content_token_built)?; + self.push( + ASTType::EmbedContentToken(embed_content_token_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 844: + /// + /// `EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList;` + /// + #[parol_runtime::function_name::named] + fn embed_content_token_list_0( + &mut self, + _embed_item: &ParseTreeType<'t>, + _embed_content_token_list: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let mut embed_content_token_list = pop_item!( + self, + embed_content_token_list, + EmbedContentTokenList, + context + ); + let embed_item = pop_item!(self, embed_item, EmbedItem, context); + let embed_content_token_list_0_built = EmbedContentTokenList { + embed_item: Box::new(embed_item), + }; + // Add an element to the vector + embed_content_token_list.push(embed_content_token_list_0_built); + self.push( + ASTType::EmbedContentTokenList(embed_content_token_list), + context, + ); + Ok(()) + } + + /// Semantic action for production 845: + /// + /// `EmbedContentTokenList /* Vec::New */: ;` + /// + #[parol_runtime::function_name::named] + fn embed_content_token_list_1(&mut self) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let embed_content_token_list_1_built = Vec::new(); + self.push( + ASTType::EmbedContentTokenList(embed_content_token_list_1_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 846: + /// + /// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` + /// + #[parol_runtime::function_name::named] + fn embed_item_0( + &mut self, + _l_brace_term: &ParseTreeType<'t>, + _embed_item_list: &ParseTreeType<'t>, + _r_brace_term: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let r_brace_term = pop_item!(self, r_brace_term, RBraceTerm, context); + let embed_item_list = pop_and_reverse_item!(self, embed_item_list, EmbedItemList, context); + let l_brace_term = pop_item!(self, l_brace_term, LBraceTerm, context); + let embed_item_0_built = EmbedItemLBraceTermEmbedItemListRBraceTerm { + l_brace_term: Box::new(l_brace_term), + embed_item_list, + r_brace_term: Box::new(r_brace_term), + }; + let embed_item_0_built = EmbedItem::LBraceTermEmbedItemListRBraceTerm(embed_item_0_built); + // Calling user action here + self.user_grammar.embed_item(&embed_item_0_built)?; + self.push(ASTType::EmbedItem(embed_item_0_built), context); + Ok(()) + } + + /// Semantic action for production 847: + /// + /// `EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList;` + /// + #[parol_runtime::function_name::named] + fn embed_item_list_0( + &mut self, + _embed_item: &ParseTreeType<'t>, + _embed_item_list: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let mut embed_item_list = pop_item!(self, embed_item_list, EmbedItemList, context); + let embed_item = pop_item!(self, embed_item, EmbedItem, context); + let embed_item_list_0_built = EmbedItemList { + embed_item: Box::new(embed_item), + }; + // Add an element to the vector + embed_item_list.push(embed_item_list_0_built); + self.push(ASTType::EmbedItemList(embed_item_list), context); + Ok(()) + } + + /// Semantic action for production 848: + /// + /// `EmbedItemList /* Vec::New */: ;` + /// + #[parol_runtime::function_name::named] + fn embed_item_list_1(&mut self) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let embed_item_list_1_built = Vec::new(); + self.push(ASTType::EmbedItemList(embed_item_list_1_built), context); + Ok(()) + } + + /// Semantic action for production 849: + /// + /// `EmbedItem: AnyTerm;` + /// + #[parol_runtime::function_name::named] + fn embed_item_1(&mut self, _any_term: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let any_term = pop_item!(self, any_term, AnyTerm, context); + let embed_item_1_built = EmbedItemAnyTerm { + any_term: Box::new(any_term), + }; + let embed_item_1_built = EmbedItem::AnyTerm(embed_item_1_built); + // Calling user action here + self.user_grammar.embed_item(&embed_item_1_built)?; + self.push(ASTType::EmbedItem(embed_item_1_built), context); + Ok(()) + } + + /// Semantic action for production 850: /// /// `DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup;` /// @@ -30440,7 +30960,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 838: + /// Semantic action for production 851: /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -30478,7 +30998,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 839: + /// Semantic action for production 852: /// /// `DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList;` /// @@ -30509,7 +31029,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 840: + /// Semantic action for production 853: /// /// `DescriptionGroupGroupList /* Vec::New */: ;` /// @@ -30525,7 +31045,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 841: + /// Semantic action for production 854: /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -30546,7 +31066,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 842: + /// Semantic action for production 855: /// /// `DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList;` /// @@ -30573,7 +31093,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 843: + /// Semantic action for production 856: /// /// `DescriptionGroupList /* Vec::New */: ;` /// @@ -30589,7 +31109,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 844: + /// Semantic action for production 857: /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -30609,7 +31129,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 845: + /// Semantic action for production 858: /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -30631,7 +31151,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 846: + /// Semantic action for production 859: /// /// `DescriptionItem: PackageDeclaration;` /// @@ -30652,7 +31172,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 847: + /// Semantic action for production 860: /// /// `DescriptionItem: ImportDeclaration;` /// @@ -30672,7 +31192,27 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 848: + /// Semantic action for production 861: + /// + /// `DescriptionItem: EmbedDeclaration;` + /// + #[parol_runtime::function_name::named] + fn description_item_4(&mut self, _embed_declaration: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let embed_declaration = pop_item!(self, embed_declaration, EmbedDeclaration, context); + let description_item_4_built = DescriptionItemEmbedDeclaration { + embed_declaration: Box::new(embed_declaration), + }; + let description_item_4_built = DescriptionItem::EmbedDeclaration(description_item_4_built); + // Calling user action here + self.user_grammar + .description_item(&description_item_4_built)?; + self.push(ASTType::DescriptionItem(description_item_4_built), context); + Ok(()) + } + + /// Semantic action for production 862: /// /// `Veryl: Start VerylList /* Vec */;` /// @@ -30692,7 +31232,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 849: + /// Semantic action for production 863: /// /// `VerylList /* Vec::Push */: DescriptionGroup VerylList;` /// @@ -30715,7 +31255,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 850: + /// Semantic action for production 864: /// /// `VerylList /* Vec::New */: ;` /// @@ -30791,394 +31331,398 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { 49 => self.case_term(&children[0]), 50 => self.default_term(&children[0]), 51 => self.else_term(&children[0]), - 52 => self.enum_term(&children[0]), - 53 => self.export_term(&children[0]), - 54 => self.f32_term(&children[0]), - 55 => self.f64_term(&children[0]), - 56 => self.final_term(&children[0]), - 57 => self.for_term(&children[0]), - 58 => self.function_term(&children[0]), - 59 => self.i32_term(&children[0]), - 60 => self.i64_term(&children[0]), - 61 => self.if_reset_term(&children[0]), - 62 => self.if_term(&children[0]), - 63 => self.import_term(&children[0]), - 64 => self.initial_term(&children[0]), - 65 => self.inout_term(&children[0]), - 66 => self.input_term(&children[0]), - 67 => self.inside_term(&children[0]), - 68 => self.inst_term(&children[0]), - 69 => self.interface_term(&children[0]), - 70 => self.in_term(&children[0]), - 71 => self.let_term(&children[0]), - 72 => self.local_term(&children[0]), - 73 => self.logic_term(&children[0]), - 74 => self.lsb_term(&children[0]), - 75 => self.modport_term(&children[0]), - 76 => self.module_term(&children[0]), - 77 => self.msb_term(&children[0]), - 78 => self.negedge_term(&children[0]), - 79 => self.output_term(&children[0]), - 80 => self.outside_term(&children[0]), - 81 => self.package_term(&children[0]), - 82 => self.param_term(&children[0]), - 83 => self.posedge_term(&children[0]), - 84 => self.pub_term(&children[0]), - 85 => self.ref_term(&children[0]), - 86 => self.repeat_term(&children[0]), - 87 => self.return_term(&children[0]), - 88 => self.break_term(&children[0]), - 89 => self.signed_term(&children[0]), - 90 => self.step_term(&children[0]), - 91 => self.string_term(&children[0]), - 92 => self.struct_term(&children[0]), - 93 => self.sync_high_term(&children[0]), - 94 => self.sync_low_term(&children[0]), - 95 => self.tri_term(&children[0]), - 96 => self.type_term(&children[0]), - 97 => self.u32_term(&children[0]), - 98 => self.u64_term(&children[0]), - 99 => self.union_term(&children[0]), - 100 => self.var_term(&children[0]), - 101 => self.identifier_term(&children[0]), - 102 => self.comments(&children[0]), - 103 => self.comments_opt_0(&children[0]), - 104 => self.comments_opt_1(), - 105 => self.start_token(&children[0]), - 106 => self.string_literal_token(&children[0], &children[1]), - 107 => self.exponent_token(&children[0], &children[1]), - 108 => self.fixed_point_token(&children[0], &children[1]), - 109 => self.based_token(&children[0], &children[1]), - 110 => self.base_less_token(&children[0], &children[1]), - 111 => self.all_bit_token(&children[0], &children[1]), - 112 => self.assignment_operator_token(&children[0], &children[1]), - 113 => self.operator01_token(&children[0], &children[1]), - 114 => self.operator02_token(&children[0], &children[1]), - 115 => self.operator03_token(&children[0], &children[1]), - 116 => self.operator04_token(&children[0], &children[1]), - 117 => self.operator05_token(&children[0], &children[1]), - 118 => self.operator06_token(&children[0], &children[1]), - 119 => self.operator07_token(&children[0], &children[1]), - 120 => self.operator08_token(&children[0], &children[1]), - 121 => self.operator09_token(&children[0], &children[1]), - 122 => self.operator10_token(&children[0], &children[1]), - 123 => self.operator11_token(&children[0], &children[1]), - 124 => self.unary_operator_token(&children[0], &children[1]), - 125 => self.colon_token(&children[0], &children[1]), - 126 => self.colon_colon_token(&children[0], &children[1]), - 127 => self.comma_token(&children[0], &children[1]), - 128 => self.dollar_token(&children[0], &children[1]), - 129 => self.dot_dot_token(&children[0], &children[1]), - 130 => self.dot_dot_equ_token(&children[0], &children[1]), - 131 => self.dot_token(&children[0], &children[1]), - 132 => self.equ_token(&children[0], &children[1]), - 133 => self.hash_token(&children[0], &children[1]), - 134 => self.l_angle_token(&children[0], &children[1]), - 135 => self.l_brace_token(&children[0], &children[1]), - 136 => self.l_bracket_token(&children[0], &children[1]), - 137 => self.l_paren_token(&children[0], &children[1]), - 138 => self.minus_colon_token(&children[0], &children[1]), - 139 => self.minus_g_t_token(&children[0], &children[1]), - 140 => self.plus_colon_token(&children[0], &children[1]), - 141 => self.r_angle_token(&children[0], &children[1]), - 142 => self.r_brace_token(&children[0], &children[1]), - 143 => self.r_bracket_token(&children[0], &children[1]), - 144 => self.r_paren_token(&children[0], &children[1]), - 145 => self.semicolon_token(&children[0], &children[1]), - 146 => self.star_token(&children[0], &children[1]), - 147 => self.always_comb_token(&children[0], &children[1]), - 148 => self.always_ff_token(&children[0], &children[1]), - 149 => self.as_token(&children[0], &children[1]), - 150 => self.assign_token(&children[0], &children[1]), - 151 => self.async_high_token(&children[0], &children[1]), - 152 => self.async_low_token(&children[0], &children[1]), - 153 => self.bit_token(&children[0], &children[1]), - 154 => self.case_token(&children[0], &children[1]), - 155 => self.default_token(&children[0], &children[1]), - 156 => self.else_token(&children[0], &children[1]), - 157 => self.enum_token(&children[0], &children[1]), - 158 => self.export_token(&children[0], &children[1]), - 159 => self.f32_token(&children[0], &children[1]), - 160 => self.f64_token(&children[0], &children[1]), - 161 => self.final_token(&children[0], &children[1]), - 162 => self.for_token(&children[0], &children[1]), - 163 => self.function_token(&children[0], &children[1]), - 164 => self.i32_token(&children[0], &children[1]), - 165 => self.i64_token(&children[0], &children[1]), - 166 => self.if_reset_token(&children[0], &children[1]), - 167 => self.if_token(&children[0], &children[1]), - 168 => self.import_token(&children[0], &children[1]), - 169 => self.initial_token(&children[0], &children[1]), - 170 => self.inout_token(&children[0], &children[1]), - 171 => self.input_token(&children[0], &children[1]), - 172 => self.inside_token(&children[0], &children[1]), - 173 => self.inst_token(&children[0], &children[1]), - 174 => self.interface_token(&children[0], &children[1]), - 175 => self.in_token(&children[0], &children[1]), - 176 => self.let_token(&children[0], &children[1]), - 177 => self.local_token(&children[0], &children[1]), - 178 => self.logic_token(&children[0], &children[1]), - 179 => self.lsb_token(&children[0], &children[1]), - 180 => self.modport_token(&children[0], &children[1]), - 181 => self.module_token(&children[0], &children[1]), - 182 => self.msb_token(&children[0], &children[1]), - 183 => self.negedge_token(&children[0], &children[1]), - 184 => self.output_token(&children[0], &children[1]), - 185 => self.outside_token(&children[0], &children[1]), - 186 => self.package_token(&children[0], &children[1]), - 187 => self.param_token(&children[0], &children[1]), - 188 => self.posedge_token(&children[0], &children[1]), - 189 => self.pub_token(&children[0], &children[1]), - 190 => self.ref_token(&children[0], &children[1]), - 191 => self.repeat_token(&children[0], &children[1]), - 192 => self.return_token(&children[0], &children[1]), - 193 => self.break_token(&children[0], &children[1]), - 194 => self.signed_token(&children[0], &children[1]), - 195 => self.step_token(&children[0], &children[1]), - 196 => self.string_token(&children[0], &children[1]), - 197 => self.struct_token(&children[0], &children[1]), - 198 => self.sync_high_token(&children[0], &children[1]), - 199 => self.sync_low_token(&children[0], &children[1]), - 200 => self.tri_token(&children[0], &children[1]), - 201 => self.type_token(&children[0], &children[1]), - 202 => self.u32_token(&children[0], &children[1]), - 203 => self.u64_token(&children[0], &children[1]), - 204 => self.union_token(&children[0], &children[1]), - 205 => self.var_token(&children[0], &children[1]), - 206 => self.identifier_token(&children[0], &children[1]), - 207 => self.start(&children[0]), - 208 => self.string_literal(&children[0]), - 209 => self.exponent(&children[0]), - 210 => self.fixed_point(&children[0]), - 211 => self.based(&children[0]), - 212 => self.base_less(&children[0]), - 213 => self.all_bit(&children[0]), - 214 => self.assignment_operator(&children[0]), - 215 => self.operator01(&children[0]), - 216 => self.operator02(&children[0]), - 217 => self.operator03(&children[0]), - 218 => self.operator04(&children[0]), - 219 => self.operator05(&children[0]), - 220 => self.operator06(&children[0]), - 221 => self.operator07(&children[0]), - 222 => self.operator08(&children[0]), - 223 => self.operator09(&children[0]), - 224 => self.operator10(&children[0]), - 225 => self.operator11(&children[0]), - 226 => self.unary_operator(&children[0]), - 227 => self.colon(&children[0]), - 228 => self.colon_colon(&children[0]), - 229 => self.comma(&children[0]), - 230 => self.dollar(&children[0]), - 231 => self.dot_dot(&children[0]), - 232 => self.dot_dot_equ(&children[0]), - 233 => self.dot(&children[0]), - 234 => self.equ(&children[0]), - 235 => self.hash(&children[0]), - 236 => self.l_angle(&children[0]), - 237 => self.l_brace(&children[0]), - 238 => self.l_bracket(&children[0]), - 239 => self.l_paren(&children[0]), - 240 => self.minus_colon(&children[0]), - 241 => self.minus_g_t(&children[0]), - 242 => self.plus_colon(&children[0]), - 243 => self.r_angle(&children[0]), - 244 => self.r_brace(&children[0]), - 245 => self.r_bracket(&children[0]), - 246 => self.r_paren(&children[0]), - 247 => self.semicolon(&children[0]), - 248 => self.star(&children[0]), - 249 => self.always_comb(&children[0]), - 250 => self.always_ff(&children[0]), - 251 => self.r#as(&children[0]), - 252 => self.assign(&children[0]), - 253 => self.async_high(&children[0]), - 254 => self.async_low(&children[0]), - 255 => self.bit(&children[0]), - 256 => self.r#break(&children[0]), - 257 => self.case(&children[0]), - 258 => self.defaul(&children[0]), - 259 => self.r#else(&children[0]), - 260 => self.r#enum(&children[0]), - 261 => self.export(&children[0]), - 262 => self.f32(&children[0]), - 263 => self.f64(&children[0]), - 264 => self.r#final(&children[0]), - 265 => self.r#for(&children[0]), - 266 => self.function(&children[0]), - 267 => self.i32(&children[0]), - 268 => self.i64(&children[0]), - 269 => self.r#if(&children[0]), - 270 => self.if_reset(&children[0]), - 271 => self.import(&children[0]), - 272 => self.r#in(&children[0]), - 273 => self.initial(&children[0]), - 274 => self.inout(&children[0]), - 275 => self.input(&children[0]), - 276 => self.inside(&children[0]), - 277 => self.inst(&children[0]), - 278 => self.interface(&children[0]), - 279 => self.r#let(&children[0]), - 280 => self.local(&children[0]), - 281 => self.logic(&children[0]), - 282 => self.lsb(&children[0]), - 283 => self.modport(&children[0]), - 284 => self.module(&children[0]), - 285 => self.msb(&children[0]), - 286 => self.negedge(&children[0]), - 287 => self.output(&children[0]), - 288 => self.outside(&children[0]), - 289 => self.package(&children[0]), - 290 => self.param(&children[0]), - 291 => self.posedge(&children[0]), - 292 => self.r#pub(&children[0]), - 293 => self.r#ref(&children[0]), - 294 => self.repeat(&children[0]), - 295 => self.r#return(&children[0]), - 296 => self.signed(&children[0]), - 297 => self.step(&children[0]), - 298 => self.strin(&children[0]), - 299 => self.r#struct(&children[0]), - 300 => self.sync_high(&children[0]), - 301 => self.sync_low(&children[0]), - 302 => self.tri(&children[0]), - 303 => self.r#type(&children[0]), - 304 => self.u32(&children[0]), - 305 => self.u64(&children[0]), - 306 => self.r#union(&children[0]), - 307 => self.var(&children[0]), - 308 => self.identifier(&children[0]), - 309 => self.number_0(&children[0]), - 310 => self.number_1(&children[0]), - 311 => self.integral_number_0(&children[0]), - 312 => self.integral_number_1(&children[0]), - 313 => self.integral_number_2(&children[0]), - 314 => self.real_number_0(&children[0]), - 315 => self.real_number_1(&children[0]), - 316 => self.hierarchical_identifier(&children[0], &children[1], &children[2]), - 317 => self.hierarchical_identifier_list0_0( + 52 => self.embed_term(&children[0]), + 53 => self.enum_term(&children[0]), + 54 => self.export_term(&children[0]), + 55 => self.f32_term(&children[0]), + 56 => self.f64_term(&children[0]), + 57 => self.final_term(&children[0]), + 58 => self.for_term(&children[0]), + 59 => self.function_term(&children[0]), + 60 => self.i32_term(&children[0]), + 61 => self.i64_term(&children[0]), + 62 => self.if_reset_term(&children[0]), + 63 => self.if_term(&children[0]), + 64 => self.import_term(&children[0]), + 65 => self.initial_term(&children[0]), + 66 => self.inout_term(&children[0]), + 67 => self.input_term(&children[0]), + 68 => self.inside_term(&children[0]), + 69 => self.inst_term(&children[0]), + 70 => self.interface_term(&children[0]), + 71 => self.in_term(&children[0]), + 72 => self.let_term(&children[0]), + 73 => self.local_term(&children[0]), + 74 => self.logic_term(&children[0]), + 75 => self.lsb_term(&children[0]), + 76 => self.modport_term(&children[0]), + 77 => self.module_term(&children[0]), + 78 => self.msb_term(&children[0]), + 79 => self.negedge_term(&children[0]), + 80 => self.output_term(&children[0]), + 81 => self.outside_term(&children[0]), + 82 => self.package_term(&children[0]), + 83 => self.param_term(&children[0]), + 84 => self.posedge_term(&children[0]), + 85 => self.pub_term(&children[0]), + 86 => self.ref_term(&children[0]), + 87 => self.repeat_term(&children[0]), + 88 => self.return_term(&children[0]), + 89 => self.break_term(&children[0]), + 90 => self.signed_term(&children[0]), + 91 => self.step_term(&children[0]), + 92 => self.string_term(&children[0]), + 93 => self.struct_term(&children[0]), + 94 => self.sync_high_term(&children[0]), + 95 => self.sync_low_term(&children[0]), + 96 => self.tri_term(&children[0]), + 97 => self.type_term(&children[0]), + 98 => self.u32_term(&children[0]), + 99 => self.u64_term(&children[0]), + 100 => self.union_term(&children[0]), + 101 => self.var_term(&children[0]), + 102 => self.identifier_term(&children[0]), + 103 => self.any_term(&children[0]), + 104 => self.comments(&children[0]), + 105 => self.comments_opt_0(&children[0]), + 106 => self.comments_opt_1(), + 107 => self.start_token(&children[0]), + 108 => self.string_literal_token(&children[0], &children[1]), + 109 => self.exponent_token(&children[0], &children[1]), + 110 => self.fixed_point_token(&children[0], &children[1]), + 111 => self.based_token(&children[0], &children[1]), + 112 => self.base_less_token(&children[0], &children[1]), + 113 => self.all_bit_token(&children[0], &children[1]), + 114 => self.assignment_operator_token(&children[0], &children[1]), + 115 => self.operator01_token(&children[0], &children[1]), + 116 => self.operator02_token(&children[0], &children[1]), + 117 => self.operator03_token(&children[0], &children[1]), + 118 => self.operator04_token(&children[0], &children[1]), + 119 => self.operator05_token(&children[0], &children[1]), + 120 => self.operator06_token(&children[0], &children[1]), + 121 => self.operator07_token(&children[0], &children[1]), + 122 => self.operator08_token(&children[0], &children[1]), + 123 => self.operator09_token(&children[0], &children[1]), + 124 => self.operator10_token(&children[0], &children[1]), + 125 => self.operator11_token(&children[0], &children[1]), + 126 => self.unary_operator_token(&children[0], &children[1]), + 127 => self.colon_token(&children[0], &children[1]), + 128 => self.colon_colon_token(&children[0], &children[1]), + 129 => self.comma_token(&children[0], &children[1]), + 130 => self.dollar_token(&children[0], &children[1]), + 131 => self.dot_dot_token(&children[0], &children[1]), + 132 => self.dot_dot_equ_token(&children[0], &children[1]), + 133 => self.dot_token(&children[0], &children[1]), + 134 => self.equ_token(&children[0], &children[1]), + 135 => self.hash_token(&children[0], &children[1]), + 136 => self.l_angle_token(&children[0], &children[1]), + 137 => self.l_brace_token(&children[0], &children[1]), + 138 => self.l_bracket_token(&children[0], &children[1]), + 139 => self.l_paren_token(&children[0], &children[1]), + 140 => self.minus_colon_token(&children[0], &children[1]), + 141 => self.minus_g_t_token(&children[0], &children[1]), + 142 => self.plus_colon_token(&children[0], &children[1]), + 143 => self.r_angle_token(&children[0], &children[1]), + 144 => self.r_brace_token(&children[0], &children[1]), + 145 => self.r_bracket_token(&children[0], &children[1]), + 146 => self.r_paren_token(&children[0], &children[1]), + 147 => self.semicolon_token(&children[0], &children[1]), + 148 => self.star_token(&children[0], &children[1]), + 149 => self.always_comb_token(&children[0], &children[1]), + 150 => self.always_ff_token(&children[0], &children[1]), + 151 => self.as_token(&children[0], &children[1]), + 152 => self.assign_token(&children[0], &children[1]), + 153 => self.async_high_token(&children[0], &children[1]), + 154 => self.async_low_token(&children[0], &children[1]), + 155 => self.bit_token(&children[0], &children[1]), + 156 => self.case_token(&children[0], &children[1]), + 157 => self.default_token(&children[0], &children[1]), + 158 => self.else_token(&children[0], &children[1]), + 159 => self.embed_token(&children[0], &children[1]), + 160 => self.enum_token(&children[0], &children[1]), + 161 => self.export_token(&children[0], &children[1]), + 162 => self.f32_token(&children[0], &children[1]), + 163 => self.f64_token(&children[0], &children[1]), + 164 => self.final_token(&children[0], &children[1]), + 165 => self.for_token(&children[0], &children[1]), + 166 => self.function_token(&children[0], &children[1]), + 167 => self.i32_token(&children[0], &children[1]), + 168 => self.i64_token(&children[0], &children[1]), + 169 => self.if_reset_token(&children[0], &children[1]), + 170 => self.if_token(&children[0], &children[1]), + 171 => self.import_token(&children[0], &children[1]), + 172 => self.initial_token(&children[0], &children[1]), + 173 => self.inout_token(&children[0], &children[1]), + 174 => self.input_token(&children[0], &children[1]), + 175 => self.inside_token(&children[0], &children[1]), + 176 => self.inst_token(&children[0], &children[1]), + 177 => self.interface_token(&children[0], &children[1]), + 178 => self.in_token(&children[0], &children[1]), + 179 => self.let_token(&children[0], &children[1]), + 180 => self.local_token(&children[0], &children[1]), + 181 => self.logic_token(&children[0], &children[1]), + 182 => self.lsb_token(&children[0], &children[1]), + 183 => self.modport_token(&children[0], &children[1]), + 184 => self.module_token(&children[0], &children[1]), + 185 => self.msb_token(&children[0], &children[1]), + 186 => self.negedge_token(&children[0], &children[1]), + 187 => self.output_token(&children[0], &children[1]), + 188 => self.outside_token(&children[0], &children[1]), + 189 => self.package_token(&children[0], &children[1]), + 190 => self.param_token(&children[0], &children[1]), + 191 => self.posedge_token(&children[0], &children[1]), + 192 => self.pub_token(&children[0], &children[1]), + 193 => self.ref_token(&children[0], &children[1]), + 194 => self.repeat_token(&children[0], &children[1]), + 195 => self.return_token(&children[0], &children[1]), + 196 => self.break_token(&children[0], &children[1]), + 197 => self.signed_token(&children[0], &children[1]), + 198 => self.step_token(&children[0], &children[1]), + 199 => self.string_token(&children[0], &children[1]), + 200 => self.struct_token(&children[0], &children[1]), + 201 => self.sync_high_token(&children[0], &children[1]), + 202 => self.sync_low_token(&children[0], &children[1]), + 203 => self.tri_token(&children[0], &children[1]), + 204 => self.type_token(&children[0], &children[1]), + 205 => self.u32_token(&children[0], &children[1]), + 206 => self.u64_token(&children[0], &children[1]), + 207 => self.union_token(&children[0], &children[1]), + 208 => self.var_token(&children[0], &children[1]), + 209 => self.identifier_token(&children[0], &children[1]), + 210 => self.start(&children[0]), + 211 => self.string_literal(&children[0]), + 212 => self.exponent(&children[0]), + 213 => self.fixed_point(&children[0]), + 214 => self.based(&children[0]), + 215 => self.base_less(&children[0]), + 216 => self.all_bit(&children[0]), + 217 => self.assignment_operator(&children[0]), + 218 => self.operator01(&children[0]), + 219 => self.operator02(&children[0]), + 220 => self.operator03(&children[0]), + 221 => self.operator04(&children[0]), + 222 => self.operator05(&children[0]), + 223 => self.operator06(&children[0]), + 224 => self.operator07(&children[0]), + 225 => self.operator08(&children[0]), + 226 => self.operator09(&children[0]), + 227 => self.operator10(&children[0]), + 228 => self.operator11(&children[0]), + 229 => self.unary_operator(&children[0]), + 230 => self.colon(&children[0]), + 231 => self.colon_colon(&children[0]), + 232 => self.comma(&children[0]), + 233 => self.dollar(&children[0]), + 234 => self.dot_dot(&children[0]), + 235 => self.dot_dot_equ(&children[0]), + 236 => self.dot(&children[0]), + 237 => self.equ(&children[0]), + 238 => self.hash(&children[0]), + 239 => self.l_angle(&children[0]), + 240 => self.l_brace(&children[0]), + 241 => self.l_bracket(&children[0]), + 242 => self.l_paren(&children[0]), + 243 => self.minus_colon(&children[0]), + 244 => self.minus_g_t(&children[0]), + 245 => self.plus_colon(&children[0]), + 246 => self.r_angle(&children[0]), + 247 => self.r_brace(&children[0]), + 248 => self.r_bracket(&children[0]), + 249 => self.r_paren(&children[0]), + 250 => self.semicolon(&children[0]), + 251 => self.star(&children[0]), + 252 => self.always_comb(&children[0]), + 253 => self.always_ff(&children[0]), + 254 => self.r#as(&children[0]), + 255 => self.assign(&children[0]), + 256 => self.async_high(&children[0]), + 257 => self.async_low(&children[0]), + 258 => self.bit(&children[0]), + 259 => self.r#break(&children[0]), + 260 => self.case(&children[0]), + 261 => self.defaul(&children[0]), + 262 => self.r#else(&children[0]), + 263 => self.embed(&children[0]), + 264 => self.r#enum(&children[0]), + 265 => self.export(&children[0]), + 266 => self.f32(&children[0]), + 267 => self.f64(&children[0]), + 268 => self.r#final(&children[0]), + 269 => self.r#for(&children[0]), + 270 => self.function(&children[0]), + 271 => self.i32(&children[0]), + 272 => self.i64(&children[0]), + 273 => self.r#if(&children[0]), + 274 => self.if_reset(&children[0]), + 275 => self.import(&children[0]), + 276 => self.r#in(&children[0]), + 277 => self.initial(&children[0]), + 278 => self.inout(&children[0]), + 279 => self.input(&children[0]), + 280 => self.inside(&children[0]), + 281 => self.inst(&children[0]), + 282 => self.interface(&children[0]), + 283 => self.r#let(&children[0]), + 284 => self.local(&children[0]), + 285 => self.logic(&children[0]), + 286 => self.lsb(&children[0]), + 287 => self.modport(&children[0]), + 288 => self.module(&children[0]), + 289 => self.msb(&children[0]), + 290 => self.negedge(&children[0]), + 291 => self.output(&children[0]), + 292 => self.outside(&children[0]), + 293 => self.package(&children[0]), + 294 => self.param(&children[0]), + 295 => self.posedge(&children[0]), + 296 => self.r#pub(&children[0]), + 297 => self.r#ref(&children[0]), + 298 => self.repeat(&children[0]), + 299 => self.r#return(&children[0]), + 300 => self.signed(&children[0]), + 301 => self.step(&children[0]), + 302 => self.strin(&children[0]), + 303 => self.r#struct(&children[0]), + 304 => self.sync_high(&children[0]), + 305 => self.sync_low(&children[0]), + 306 => self.tri(&children[0]), + 307 => self.r#type(&children[0]), + 308 => self.u32(&children[0]), + 309 => self.u64(&children[0]), + 310 => self.r#union(&children[0]), + 311 => self.var(&children[0]), + 312 => self.identifier(&children[0]), + 313 => self.number_0(&children[0]), + 314 => self.number_1(&children[0]), + 315 => self.integral_number_0(&children[0]), + 316 => self.integral_number_1(&children[0]), + 317 => self.integral_number_2(&children[0]), + 318 => self.real_number_0(&children[0]), + 319 => self.real_number_1(&children[0]), + 320 => self.hierarchical_identifier(&children[0], &children[1], &children[2]), + 321 => self.hierarchical_identifier_list0_0( &children[0], &children[1], &children[2], &children[3], ), - 318 => self.hierarchical_identifier_list0_list_0(&children[0], &children[1]), - 319 => self.hierarchical_identifier_list0_list_1(), - 320 => self.hierarchical_identifier_list0_1(), - 321 => self.hierarchical_identifier_list_0(&children[0], &children[1]), - 322 => self.hierarchical_identifier_list_1(), - 323 => self.scoped_identifier(&children[0], &children[1], &children[2]), - 324 => self.scoped_identifier_list_0(&children[0], &children[1], &children[2]), - 325 => self.scoped_identifier_list_1(), - 326 => self.scoped_identifier_opt_0(&children[0]), - 327 => self.scoped_identifier_opt_1(), - 328 => self.expression_identifier(&children[0], &children[1], &children[2]), - 329 => self.expression_identifier_group_0(&children[0]), - 330 => self.expression_identifier_group_1(&children[0]), - 331 => self.expression_identifier_opt_0(&children[0]), - 332 => self.expression_identifier_opt_1(), - 333 => self.expression_identifier_scoped( + 322 => self.hierarchical_identifier_list0_list_0(&children[0], &children[1]), + 323 => self.hierarchical_identifier_list0_list_1(), + 324 => self.hierarchical_identifier_list0_1(), + 325 => self.hierarchical_identifier_list_0(&children[0], &children[1]), + 326 => self.hierarchical_identifier_list_1(), + 327 => self.scoped_identifier(&children[0], &children[1], &children[2]), + 328 => self.scoped_identifier_list_0(&children[0], &children[1], &children[2]), + 329 => self.scoped_identifier_list_1(), + 330 => self.scoped_identifier_opt_0(&children[0]), + 331 => self.scoped_identifier_opt_1(), + 332 => self.expression_identifier(&children[0], &children[1], &children[2]), + 333 => self.expression_identifier_group_0(&children[0]), + 334 => self.expression_identifier_group_1(&children[0]), + 335 => self.expression_identifier_opt_0(&children[0]), + 336 => self.expression_identifier_opt_1(), + 337 => self.expression_identifier_scoped( &children[0], &children[1], &children[2], &children[3], ), - 334 => self.expression_identifier_scoped_list0_0(&children[0], &children[1]), - 335 => self.expression_identifier_scoped_list0_1(), - 336 => { + 338 => self.expression_identifier_scoped_list0_0(&children[0], &children[1]), + 339 => self.expression_identifier_scoped_list0_1(), + 340 => { self.expression_identifier_scoped_list_0(&children[0], &children[1], &children[2]) } - 337 => self.expression_identifier_scoped_list_1(), - 338 => self.expression_identifier_member(&children[0], &children[1]), - 339 => self.expression_identifier_member_list0_0( + 341 => self.expression_identifier_scoped_list_1(), + 342 => self.expression_identifier_member(&children[0], &children[1]), + 343 => self.expression_identifier_member_list0_0( &children[0], &children[1], &children[2], &children[3], ), - 340 => self.expression_identifier_member_list0_list_0(&children[0], &children[1]), - 341 => self.expression_identifier_member_list0_list_1(), - 342 => self.expression_identifier_member_list0_1(), - 343 => self.expression_identifier_member_list_0(&children[0], &children[1]), - 344 => self.expression_identifier_member_list_1(), - 345 => self.expression(&children[0], &children[1]), - 346 => self.expression_list_0(&children[0], &children[1], &children[2]), - 347 => self.expression_list_1(), - 348 => self.expression01(&children[0], &children[1]), - 349 => self.expression01_list_0(&children[0], &children[1], &children[2]), - 350 => self.expression01_list_1(), - 351 => self.expression02(&children[0], &children[1]), - 352 => self.expression02_list_0(&children[0], &children[1], &children[2]), - 353 => self.expression02_list_1(), - 354 => self.expression03(&children[0], &children[1]), - 355 => self.expression03_list_0(&children[0], &children[1], &children[2]), - 356 => self.expression03_list_1(), - 357 => self.expression04(&children[0], &children[1]), - 358 => self.expression04_list_0(&children[0], &children[1], &children[2]), - 359 => self.expression04_list_1(), - 360 => self.expression05(&children[0], &children[1]), - 361 => self.expression05_list_0(&children[0], &children[1], &children[2]), - 362 => self.expression05_list_1(), - 363 => self.expression06(&children[0], &children[1]), - 364 => self.expression06_list_0(&children[0], &children[1], &children[2]), - 365 => self.expression06_list_1(), - 366 => self.expression07(&children[0], &children[1]), - 367 => self.expression07_list_0(&children[0], &children[1], &children[2]), - 368 => self.expression07_list_1(), - 369 => self.expression08(&children[0], &children[1]), - 370 => self.expression08_list_0(&children[0], &children[1], &children[2]), - 371 => self.expression08_list_1(), - 372 => self.expression09(&children[0], &children[1]), - 373 => self.expression09_list_0(&children[0], &children[1], &children[2]), - 374 => self.expression09_list_group_0(&children[0]), - 375 => self.expression09_list_group_1(&children[0]), - 376 => self.expression09_list_1(), - 377 => self.expression10(&children[0], &children[1]), - 378 => self.expression10_list_0(&children[0], &children[1], &children[2]), - 379 => self.expression10_list_1(), - 380 => self.expression11(&children[0], &children[1]), - 381 => self.expression11_list_0(&children[0], &children[1], &children[2]), - 382 => self.expression11_list_1(), - 383 => self.expression12(&children[0], &children[1]), - 384 => self.expression12_list_0(&children[0], &children[1]), - 385 => self.expression12_list_group_0(&children[0]), - 386 => self.expression12_list_group_1(&children[0]), - 387 => self.expression12_list_group_2(&children[0]), - 388 => self.expression12_list_group_3(&children[0]), - 389 => self.expression12_list_group_4(&children[0]), - 390 => self.expression12_list_1(), - 391 => self.factor_0(&children[0]), - 392 => self.factor_1(&children[0], &children[1]), - 393 => self.factor_2(&children[0], &children[1], &children[2]), - 394 => self.factor_3(&children[0], &children[1], &children[2]), - 395 => self.factor_4(&children[0]), - 396 => self.factor_5(&children[0]), - 397 => self.factor_6(&children[0]), - 398 => self.factor_7(&children[0]), - 399 => self.factor_group_0(&children[0]), - 400 => self.factor_group_1(&children[0]), - 401 => self.factor_8(&children[0]), - 402 => self.factor_9(&children[0]), - 403 => self.factor_opt_0(&children[0]), - 404 => self.factor_opt_1(), - 405 => self.function_call(&children[0], &children[1], &children[2]), - 406 => self.function_call_opt_0(&children[0]), - 407 => self.function_call_opt_1(), - 408 => self.argument_list(&children[0], &children[1], &children[2]), - 409 => self.argument_list_list_0(&children[0], &children[1], &children[2]), - 410 => self.argument_list_list_1(), - 411 => self.argument_list_opt_0(&children[0]), - 412 => self.argument_list_opt_1(), - 413 => self.argument_item(&children[0]), - 414 => self.concatenation_list(&children[0], &children[1], &children[2]), - 415 => self.concatenation_list_list_0(&children[0], &children[1], &children[2]), - 416 => self.concatenation_list_list_1(), - 417 => self.concatenation_list_opt_0(&children[0]), - 418 => self.concatenation_list_opt_1(), - 419 => self.concatenation_item(&children[0], &children[1]), - 420 => self.concatenation_item_opt_0(&children[0], &children[1]), - 421 => self.concatenation_item_opt_1(), - 422 => self.if_expression( + 344 => self.expression_identifier_member_list0_list_0(&children[0], &children[1]), + 345 => self.expression_identifier_member_list0_list_1(), + 346 => self.expression_identifier_member_list0_1(), + 347 => self.expression_identifier_member_list_0(&children[0], &children[1]), + 348 => self.expression_identifier_member_list_1(), + 349 => self.expression(&children[0], &children[1]), + 350 => self.expression_list_0(&children[0], &children[1], &children[2]), + 351 => self.expression_list_1(), + 352 => self.expression01(&children[0], &children[1]), + 353 => self.expression01_list_0(&children[0], &children[1], &children[2]), + 354 => self.expression01_list_1(), + 355 => self.expression02(&children[0], &children[1]), + 356 => self.expression02_list_0(&children[0], &children[1], &children[2]), + 357 => self.expression02_list_1(), + 358 => self.expression03(&children[0], &children[1]), + 359 => self.expression03_list_0(&children[0], &children[1], &children[2]), + 360 => self.expression03_list_1(), + 361 => self.expression04(&children[0], &children[1]), + 362 => self.expression04_list_0(&children[0], &children[1], &children[2]), + 363 => self.expression04_list_1(), + 364 => self.expression05(&children[0], &children[1]), + 365 => self.expression05_list_0(&children[0], &children[1], &children[2]), + 366 => self.expression05_list_1(), + 367 => self.expression06(&children[0], &children[1]), + 368 => self.expression06_list_0(&children[0], &children[1], &children[2]), + 369 => self.expression06_list_1(), + 370 => self.expression07(&children[0], &children[1]), + 371 => self.expression07_list_0(&children[0], &children[1], &children[2]), + 372 => self.expression07_list_1(), + 373 => self.expression08(&children[0], &children[1]), + 374 => self.expression08_list_0(&children[0], &children[1], &children[2]), + 375 => self.expression08_list_1(), + 376 => self.expression09(&children[0], &children[1]), + 377 => self.expression09_list_0(&children[0], &children[1], &children[2]), + 378 => self.expression09_list_group_0(&children[0]), + 379 => self.expression09_list_group_1(&children[0]), + 380 => self.expression09_list_1(), + 381 => self.expression10(&children[0], &children[1]), + 382 => self.expression10_list_0(&children[0], &children[1], &children[2]), + 383 => self.expression10_list_1(), + 384 => self.expression11(&children[0], &children[1]), + 385 => self.expression11_list_0(&children[0], &children[1], &children[2]), + 386 => self.expression11_list_1(), + 387 => self.expression12(&children[0], &children[1]), + 388 => self.expression12_list_0(&children[0], &children[1]), + 389 => self.expression12_list_group_0(&children[0]), + 390 => self.expression12_list_group_1(&children[0]), + 391 => self.expression12_list_group_2(&children[0]), + 392 => self.expression12_list_group_3(&children[0]), + 393 => self.expression12_list_group_4(&children[0]), + 394 => self.expression12_list_1(), + 395 => self.factor_0(&children[0]), + 396 => self.factor_1(&children[0], &children[1]), + 397 => self.factor_2(&children[0], &children[1], &children[2]), + 398 => self.factor_3(&children[0], &children[1], &children[2]), + 399 => self.factor_4(&children[0]), + 400 => self.factor_5(&children[0]), + 401 => self.factor_6(&children[0]), + 402 => self.factor_7(&children[0]), + 403 => self.factor_group_0(&children[0]), + 404 => self.factor_group_1(&children[0]), + 405 => self.factor_8(&children[0]), + 406 => self.factor_9(&children[0]), + 407 => self.factor_opt_0(&children[0]), + 408 => self.factor_opt_1(), + 409 => self.function_call(&children[0], &children[1], &children[2]), + 410 => self.function_call_opt_0(&children[0]), + 411 => self.function_call_opt_1(), + 412 => self.argument_list(&children[0], &children[1], &children[2]), + 413 => self.argument_list_list_0(&children[0], &children[1], &children[2]), + 414 => self.argument_list_list_1(), + 415 => self.argument_list_opt_0(&children[0]), + 416 => self.argument_list_opt_1(), + 417 => self.argument_item(&children[0]), + 418 => self.concatenation_list(&children[0], &children[1], &children[2]), + 419 => self.concatenation_list_list_0(&children[0], &children[1], &children[2]), + 420 => self.concatenation_list_list_1(), + 421 => self.concatenation_list_opt_0(&children[0]), + 422 => self.concatenation_list_opt_1(), + 423 => self.concatenation_item(&children[0], &children[1]), + 424 => self.concatenation_item_opt_0(&children[0], &children[1]), + 425 => self.concatenation_item_opt_1(), + 426 => self.if_expression( &children[0], &children[1], &children[2], @@ -31190,7 +31734,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[8], &children[9], ), - 423 => self.if_expression_list_0( + 427 => self.if_expression_list_0( &children[0], &children[1], &children[2], @@ -31199,8 +31743,8 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 424 => self.if_expression_list_1(), - 425 => self.case_expression( + 428 => self.if_expression_list_1(), + 429 => self.case_expression( &children[0], &children[1], &children[2], @@ -31216,7 +31760,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[12], &children[13], ), - 426 => self.case_expression_list0_0( + 430 => self.case_expression_list0_0( &children[0], &children[1], &children[2], @@ -31224,85 +31768,85 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 427 => self.case_expression_list0_list_0(&children[0], &children[1], &children[2]), - 428 => self.case_expression_list0_list_1(), - 429 => self.case_expression_list0_1(), - 430 => self.case_expression_list_0(&children[0], &children[1], &children[2]), - 431 => self.case_expression_list_1(), - 432 => self.case_expression_opt_0(&children[0]), - 433 => self.case_expression_opt_1(), - 434 => self.type_expression_0(&children[0]), - 435 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), - 436 => self.inside_expression( + 431 => self.case_expression_list0_list_0(&children[0], &children[1], &children[2]), + 432 => self.case_expression_list0_list_1(), + 433 => self.case_expression_list0_1(), + 434 => self.case_expression_list_0(&children[0], &children[1], &children[2]), + 435 => self.case_expression_list_1(), + 436 => self.case_expression_opt_0(&children[0]), + 437 => self.case_expression_opt_1(), + 438 => self.type_expression_0(&children[0]), + 439 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), + 440 => self.inside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 437 => self.outside_expression( + 441 => self.outside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 438 => self.range_list(&children[0], &children[1], &children[2]), - 439 => self.range_list_list_0(&children[0], &children[1], &children[2]), - 440 => self.range_list_list_1(), - 441 => self.range_list_opt_0(&children[0]), - 442 => self.range_list_opt_1(), - 443 => self.range_item(&children[0]), - 444 => self.select(&children[0], &children[1], &children[2], &children[3]), - 445 => self.select_opt_0(&children[0], &children[1]), - 446 => self.select_opt_1(), - 447 => self.select_operator_0(&children[0]), - 448 => self.select_operator_1(&children[0]), - 449 => self.select_operator_2(&children[0]), - 450 => self.select_operator_3(&children[0]), - 451 => self.width(&children[0], &children[1], &children[2], &children[3]), - 452 => self.width_list_0(&children[0], &children[1], &children[2]), - 453 => self.width_list_1(), - 454 => self.array(&children[0], &children[1], &children[2], &children[3]), - 455 => self.array_list_0(&children[0], &children[1], &children[2]), - 456 => self.array_list_1(), - 457 => self.range(&children[0], &children[1]), - 458 => self.range_opt_0(&children[0], &children[1]), - 459 => self.range_opt_1(), - 460 => self.range_operator_0(&children[0]), - 461 => self.range_operator_1(&children[0]), - 462 => self.fixed_type_0(&children[0]), - 463 => self.fixed_type_1(&children[0]), - 464 => self.fixed_type_2(&children[0]), - 465 => self.fixed_type_3(&children[0]), - 466 => self.fixed_type_4(&children[0]), - 467 => self.fixed_type_5(&children[0]), - 468 => self.fixed_type_6(&children[0]), - 469 => self.variable_type(&children[0], &children[1]), - 470 => self.variable_type_group_0(&children[0]), - 471 => self.variable_type_group_1(&children[0]), - 472 => self.variable_type_group_2(&children[0]), - 473 => self.variable_type_opt_0(&children[0]), - 474 => self.variable_type_opt_1(), - 475 => self.type_modifier_0(&children[0]), - 476 => self.type_modifier_1(&children[0]), - 477 => self.scalar_type(&children[0], &children[1]), - 478 => self.scalar_type_group_0(&children[0]), - 479 => self.scalar_type_group_1(&children[0]), - 480 => self.scalar_type_list_0(&children[0], &children[1]), - 481 => self.scalar_type_list_1(), - 482 => self.array_type(&children[0], &children[1]), - 483 => self.array_type_opt_0(&children[0]), - 484 => self.array_type_opt_1(), - 485 => self.statement_0(&children[0]), - 486 => self.statement_1(&children[0]), - 487 => self.statement_2(&children[0]), - 488 => self.statement_3(&children[0]), - 489 => self.statement_4(&children[0]), - 490 => self.statement_5(&children[0]), - 491 => self.statement_6(&children[0]), - 492 => self.statement_7(&children[0]), - 493 => self.let_statement( + 442 => self.range_list(&children[0], &children[1], &children[2]), + 443 => self.range_list_list_0(&children[0], &children[1], &children[2]), + 444 => self.range_list_list_1(), + 445 => self.range_list_opt_0(&children[0]), + 446 => self.range_list_opt_1(), + 447 => self.range_item(&children[0]), + 448 => self.select(&children[0], &children[1], &children[2], &children[3]), + 449 => self.select_opt_0(&children[0], &children[1]), + 450 => self.select_opt_1(), + 451 => self.select_operator_0(&children[0]), + 452 => self.select_operator_1(&children[0]), + 453 => self.select_operator_2(&children[0]), + 454 => self.select_operator_3(&children[0]), + 455 => self.width(&children[0], &children[1], &children[2], &children[3]), + 456 => self.width_list_0(&children[0], &children[1], &children[2]), + 457 => self.width_list_1(), + 458 => self.array(&children[0], &children[1], &children[2], &children[3]), + 459 => self.array_list_0(&children[0], &children[1], &children[2]), + 460 => self.array_list_1(), + 461 => self.range(&children[0], &children[1]), + 462 => self.range_opt_0(&children[0], &children[1]), + 463 => self.range_opt_1(), + 464 => self.range_operator_0(&children[0]), + 465 => self.range_operator_1(&children[0]), + 466 => self.fixed_type_0(&children[0]), + 467 => self.fixed_type_1(&children[0]), + 468 => self.fixed_type_2(&children[0]), + 469 => self.fixed_type_3(&children[0]), + 470 => self.fixed_type_4(&children[0]), + 471 => self.fixed_type_5(&children[0]), + 472 => self.fixed_type_6(&children[0]), + 473 => self.variable_type(&children[0], &children[1]), + 474 => self.variable_type_group_0(&children[0]), + 475 => self.variable_type_group_1(&children[0]), + 476 => self.variable_type_group_2(&children[0]), + 477 => self.variable_type_opt_0(&children[0]), + 478 => self.variable_type_opt_1(), + 479 => self.type_modifier_0(&children[0]), + 480 => self.type_modifier_1(&children[0]), + 481 => self.scalar_type(&children[0], &children[1]), + 482 => self.scalar_type_group_0(&children[0]), + 483 => self.scalar_type_group_1(&children[0]), + 484 => self.scalar_type_list_0(&children[0], &children[1]), + 485 => self.scalar_type_list_1(), + 486 => self.array_type(&children[0], &children[1]), + 487 => self.array_type_opt_0(&children[0]), + 488 => self.array_type_opt_1(), + 489 => self.statement_0(&children[0]), + 490 => self.statement_1(&children[0]), + 491 => self.statement_2(&children[0]), + 492 => self.statement_3(&children[0]), + 493 => self.statement_4(&children[0]), + 494 => self.statement_5(&children[0]), + 495 => self.statement_6(&children[0]), + 496 => self.statement_7(&children[0]), + 497 => self.let_statement( &children[0], &children[1], &children[2], @@ -31311,13 +31855,13 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 494 => self.identifier_statement(&children[0], &children[1], &children[2]), - 495 => self.identifier_statement_group_0(&children[0]), - 496 => self.identifier_statement_group_1(&children[0]), - 497 => self.assignment(&children[0], &children[1]), - 498 => self.assignment_group_0(&children[0]), - 499 => self.assignment_group_1(&children[0]), - 500 => self.if_statement( + 498 => self.identifier_statement(&children[0], &children[1], &children[2]), + 499 => self.identifier_statement_group_0(&children[0]), + 500 => self.identifier_statement_group_1(&children[0]), + 501 => self.assignment(&children[0], &children[1]), + 502 => self.assignment_group_0(&children[0]), + 503 => self.assignment_group_1(&children[0]), + 504 => self.if_statement( &children[0], &children[1], &children[2], @@ -31326,7 +31870,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 501 => self.if_statement_list0_0( + 505 => self.if_statement_list0_0( &children[0], &children[1], &children[2], @@ -31335,16 +31879,16 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 502 => self.if_statement_list0_list_0(&children[0], &children[1]), - 503 => self.if_statement_list0_list_1(), - 504 => self.if_statement_list0_1(), - 505 => self.if_statement_list_0(&children[0], &children[1]), - 506 => self.if_statement_list_1(), - 507 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), - 508 => self.if_statement_opt_list_0(&children[0], &children[1]), - 509 => self.if_statement_opt_list_1(), - 510 => self.if_statement_opt_1(), - 511 => self.if_reset_statement( + 506 => self.if_statement_list0_list_0(&children[0], &children[1]), + 507 => self.if_statement_list0_list_1(), + 508 => self.if_statement_list0_1(), + 509 => self.if_statement_list_0(&children[0], &children[1]), + 510 => self.if_statement_list_1(), + 511 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), + 512 => self.if_statement_opt_list_0(&children[0], &children[1]), + 513 => self.if_statement_opt_list_1(), + 514 => self.if_statement_opt_1(), + 515 => self.if_reset_statement( &children[0], &children[1], &children[2], @@ -31352,7 +31896,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 512 => self.if_reset_statement_list0_0( + 516 => self.if_reset_statement_list0_0( &children[0], &children[1], &children[2], @@ -31361,23 +31905,23 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 513 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), - 514 => self.if_reset_statement_list0_list_1(), - 515 => self.if_reset_statement_list0_1(), - 516 => self.if_reset_statement_list_0(&children[0], &children[1]), - 517 => self.if_reset_statement_list_1(), - 518 => self.if_reset_statement_opt_0( + 517 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), + 518 => self.if_reset_statement_list0_list_1(), + 519 => self.if_reset_statement_list0_1(), + 520 => self.if_reset_statement_list_0(&children[0], &children[1]), + 521 => self.if_reset_statement_list_1(), + 522 => self.if_reset_statement_opt_0( &children[0], &children[1], &children[2], &children[3], ), - 519 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), - 520 => self.if_reset_statement_opt_list_1(), - 521 => self.if_reset_statement_opt_1(), - 522 => self.return_statement(&children[0], &children[1], &children[2]), - 523 => self.break_statement(&children[0], &children[1]), - 524 => self.for_statement( + 523 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), + 524 => self.if_reset_statement_opt_list_1(), + 525 => self.if_reset_statement_opt_1(), + 526 => self.return_statement(&children[0], &children[1], &children[2]), + 527 => self.break_statement(&children[0], &children[1]), + 528 => self.for_statement( &children[0], &children[1], &children[2], @@ -31389,45 +31933,45 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[8], &children[9], ), - 525 => self.for_statement_list_0(&children[0], &children[1]), - 526 => self.for_statement_list_1(), - 527 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), - 528 => self.for_statement_opt_1(), - 529 => self.case_statement( + 529 => self.for_statement_list_0(&children[0], &children[1]), + 530 => self.for_statement_list_1(), + 531 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), + 532 => self.for_statement_opt_1(), + 533 => self.case_statement( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 530 => self.case_statement_list_0(&children[0], &children[1]), - 531 => self.case_statement_list_1(), - 532 => self.case_item(&children[0], &children[1], &children[2]), - 533 => self.case_item_group0_0(&children[0]), - 534 => self.case_item_group0_1(&children[0], &children[1], &children[2]), - 535 => self.case_item_group0_list_0(&children[0], &children[1]), - 536 => self.case_item_group0_list_1(), - 537 => self.case_item_group_0(&children[0], &children[1]), - 538 => self.case_item_group_list_0(&children[0], &children[1], &children[2]), - 539 => self.case_item_group_list_1(), - 540 => self.case_item_group_1(&children[0]), - 541 => self.attribute( + 534 => self.case_statement_list_0(&children[0], &children[1]), + 535 => self.case_statement_list_1(), + 536 => self.case_item(&children[0], &children[1], &children[2]), + 537 => self.case_item_group0_0(&children[0]), + 538 => self.case_item_group0_1(&children[0], &children[1], &children[2]), + 539 => self.case_item_group0_list_0(&children[0], &children[1]), + 540 => self.case_item_group0_list_1(), + 541 => self.case_item_group_0(&children[0], &children[1]), + 542 => self.case_item_group_list_0(&children[0], &children[1], &children[2]), + 543 => self.case_item_group_list_1(), + 544 => self.case_item_group_1(&children[0]), + 545 => self.attribute( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 542 => self.attribute_opt_0(&children[0], &children[1], &children[2]), - 543 => self.attribute_opt_1(), - 544 => self.attribute_list(&children[0], &children[1], &children[2]), - 545 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), - 546 => self.attribute_list_list_1(), - 547 => self.attribute_list_opt_0(&children[0]), - 548 => self.attribute_list_opt_1(), - 549 => self.attribute_item_0(&children[0]), - 550 => self.attribute_item_1(&children[0]), - 551 => self.let_declaration( + 546 => self.attribute_opt_0(&children[0], &children[1], &children[2]), + 547 => self.attribute_opt_1(), + 548 => self.attribute_list(&children[0], &children[1], &children[2]), + 549 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), + 550 => self.attribute_list_list_1(), + 551 => self.attribute_list_opt_0(&children[0]), + 552 => self.attribute_list_opt_1(), + 553 => self.attribute_item_0(&children[0]), + 554 => self.attribute_item_1(&children[0]), + 555 => self.let_declaration( &children[0], &children[1], &children[2], @@ -31436,30 +31980,30 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 552 => self.var_declaration( + 556 => self.var_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 553 => self.local_declaration( + 557 => self.local_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 554 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), - 555 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), - 556 => self.type_def_declaration( + 558 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), + 559 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), + 560 => self.type_def_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 557 => self.always_ff_declaration( + 561 => self.always_ff_declaration( &children[0], &children[1], &children[2], @@ -31469,53 +32013,53 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 558 => self.always_ff_declaration_list_0(&children[0], &children[1]), - 559 => self.always_ff_declaration_list_1(), - 560 => self.always_ff_declaration_opt_0(&children[0], &children[1]), - 561 => self.always_ff_declaration_opt_1(), - 562 => self.always_ff_clock(&children[0], &children[1]), - 563 => self.always_ff_clock_opt_0(&children[0]), - 564 => self.always_ff_clock_opt_group_0(&children[0]), - 565 => self.always_ff_clock_opt_group_1(&children[0]), - 566 => self.always_ff_clock_opt_1(), - 567 => self.always_ff_reset(&children[0], &children[1]), - 568 => self.always_ff_reset_opt_0(&children[0]), - 569 => self.always_ff_reset_opt_group_0(&children[0]), - 570 => self.always_ff_reset_opt_group_1(&children[0]), - 571 => self.always_ff_reset_opt_group_2(&children[0]), - 572 => self.always_ff_reset_opt_group_3(&children[0]), - 573 => self.always_ff_reset_opt_1(), - 574 => { + 562 => self.always_ff_declaration_list_0(&children[0], &children[1]), + 563 => self.always_ff_declaration_list_1(), + 564 => self.always_ff_declaration_opt_0(&children[0], &children[1]), + 565 => self.always_ff_declaration_opt_1(), + 566 => self.always_ff_clock(&children[0], &children[1]), + 567 => self.always_ff_clock_opt_0(&children[0]), + 568 => self.always_ff_clock_opt_group_0(&children[0]), + 569 => self.always_ff_clock_opt_group_1(&children[0]), + 570 => self.always_ff_clock_opt_1(), + 571 => self.always_ff_reset(&children[0], &children[1]), + 572 => self.always_ff_reset_opt_0(&children[0]), + 573 => self.always_ff_reset_opt_group_0(&children[0]), + 574 => self.always_ff_reset_opt_group_1(&children[0]), + 575 => self.always_ff_reset_opt_group_2(&children[0]), + 576 => self.always_ff_reset_opt_group_3(&children[0]), + 577 => self.always_ff_reset_opt_1(), + 578 => { self.always_comb_declaration(&children[0], &children[1], &children[2], &children[3]) } - 575 => self.always_comb_declaration_list_0(&children[0], &children[1]), - 576 => self.always_comb_declaration_list_1(), - 577 => self.assign_declaration( + 579 => self.always_comb_declaration_list_0(&children[0], &children[1]), + 580 => self.always_comb_declaration_list_1(), + 581 => self.assign_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 578 => self.modport_declaration( + 582 => self.modport_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 579 => self.modport_list(&children[0], &children[1], &children[2]), - 580 => self.modport_list_list_0(&children[0], &children[1], &children[2]), - 581 => self.modport_list_list_1(), - 582 => self.modport_list_opt_0(&children[0]), - 583 => self.modport_list_opt_1(), - 584 => self.modport_group(&children[0], &children[1]), - 585 => self.modport_group_group_0(&children[0], &children[1], &children[2]), - 586 => self.modport_group_group_1(&children[0]), - 587 => self.modport_group_list_0(&children[0], &children[1]), - 588 => self.modport_group_list_1(), - 589 => self.modport_item(&children[0], &children[1], &children[2]), - 590 => self.enum_declaration( + 583 => self.modport_list(&children[0], &children[1], &children[2]), + 584 => self.modport_list_list_0(&children[0], &children[1], &children[2]), + 585 => self.modport_list_list_1(), + 586 => self.modport_list_opt_0(&children[0]), + 587 => self.modport_list_opt_1(), + 588 => self.modport_group(&children[0], &children[1]), + 589 => self.modport_group_group_0(&children[0], &children[1], &children[2]), + 590 => self.modport_group_group_1(&children[0]), + 591 => self.modport_group_list_0(&children[0], &children[1]), + 592 => self.modport_group_list_1(), + 593 => self.modport_item(&children[0], &children[1], &children[2]), + 594 => self.enum_declaration( &children[0], &children[1], &children[2], @@ -31524,46 +32068,46 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 591 => self.enum_list(&children[0], &children[1], &children[2]), - 592 => self.enum_list_list_0(&children[0], &children[1], &children[2]), - 593 => self.enum_list_list_1(), - 594 => self.enum_list_opt_0(&children[0]), - 595 => self.enum_list_opt_1(), - 596 => self.enum_group(&children[0], &children[1]), - 597 => self.enum_group_group_0(&children[0], &children[1], &children[2]), - 598 => self.enum_group_group_1(&children[0]), - 599 => self.enum_group_list_0(&children[0], &children[1]), - 600 => self.enum_group_list_1(), - 601 => self.enum_item(&children[0], &children[1]), - 602 => self.enum_item_opt_0(&children[0], &children[1]), - 603 => self.enum_item_opt_1(), - 604 => self.struct_union_0(&children[0]), - 605 => self.struct_union_1(&children[0]), - 606 => self.struct_union_declaration( + 595 => self.enum_list(&children[0], &children[1], &children[2]), + 596 => self.enum_list_list_0(&children[0], &children[1], &children[2]), + 597 => self.enum_list_list_1(), + 598 => self.enum_list_opt_0(&children[0]), + 599 => self.enum_list_opt_1(), + 600 => self.enum_group(&children[0], &children[1]), + 601 => self.enum_group_group_0(&children[0], &children[1], &children[2]), + 602 => self.enum_group_group_1(&children[0]), + 603 => self.enum_group_list_0(&children[0], &children[1]), + 604 => self.enum_group_list_1(), + 605 => self.enum_item(&children[0], &children[1]), + 606 => self.enum_item_opt_0(&children[0], &children[1]), + 607 => self.enum_item_opt_1(), + 608 => self.struct_union_0(&children[0]), + 609 => self.struct_union_1(&children[0]), + 610 => self.struct_union_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 607 => self.struct_union_list(&children[0], &children[1], &children[2]), - 608 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), - 609 => self.struct_union_list_list_1(), - 610 => self.struct_union_list_opt_0(&children[0]), - 611 => self.struct_union_list_opt_1(), - 612 => self.struct_union_group(&children[0], &children[1]), - 613 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), - 614 => self.struct_union_group_group_1(&children[0]), - 615 => self.struct_union_group_list_0(&children[0], &children[1]), - 616 => self.struct_union_group_list_1(), - 617 => self.struct_union_item(&children[0], &children[1], &children[2]), - 618 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), - 619 => self.initial_declaration_list_0(&children[0], &children[1]), - 620 => self.initial_declaration_list_1(), - 621 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), - 622 => self.final_declaration_list_0(&children[0], &children[1]), - 623 => self.final_declaration_list_1(), - 624 => self.inst_declaration( + 611 => self.struct_union_list(&children[0], &children[1], &children[2]), + 612 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), + 613 => self.struct_union_list_list_1(), + 614 => self.struct_union_list_opt_0(&children[0]), + 615 => self.struct_union_list_opt_1(), + 616 => self.struct_union_group(&children[0], &children[1]), + 617 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), + 618 => self.struct_union_group_group_1(&children[0]), + 619 => self.struct_union_group_list_0(&children[0], &children[1]), + 620 => self.struct_union_group_list_1(), + 621 => self.struct_union_item(&children[0], &children[1], &children[2]), + 622 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), + 623 => self.initial_declaration_list_0(&children[0], &children[1]), + 624 => self.initial_declaration_list_1(), + 625 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), + 626 => self.final_declaration_list_0(&children[0], &children[1]), + 627 => self.final_declaration_list_1(), + 628 => self.inst_declaration( &children[0], &children[1], &children[2], @@ -31573,85 +32117,85 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 625 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), - 626 => self.inst_declaration_opt2_0(&children[0]), - 627 => self.inst_declaration_opt2_1(), - 628 => self.inst_declaration_opt1_1(), - 629 => self.inst_declaration_opt0_0(&children[0]), - 630 => self.inst_declaration_opt0_1(), - 631 => self.inst_declaration_opt_0(&children[0]), - 632 => self.inst_declaration_opt_1(), - 633 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), - 634 => self.inst_parameter_opt_0(&children[0]), - 635 => self.inst_parameter_opt_1(), - 636 => self.inst_parameter_list(&children[0], &children[1], &children[2]), - 637 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), - 638 => self.inst_parameter_list_list_1(), - 639 => self.inst_parameter_list_opt_0(&children[0]), - 640 => self.inst_parameter_list_opt_1(), - 641 => self.inst_parameter_group(&children[0], &children[1]), - 642 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), - 643 => self.inst_parameter_group_group_1(&children[0]), - 644 => self.inst_parameter_group_list_0(&children[0], &children[1]), - 645 => self.inst_parameter_group_list_1(), - 646 => self.inst_parameter_item(&children[0], &children[1]), - 647 => self.inst_parameter_item_opt_0(&children[0], &children[1]), - 648 => self.inst_parameter_item_opt_1(), - 649 => self.inst_port_list(&children[0], &children[1], &children[2]), - 650 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), - 651 => self.inst_port_list_list_1(), - 652 => self.inst_port_list_opt_0(&children[0]), - 653 => self.inst_port_list_opt_1(), - 654 => self.inst_port_group(&children[0], &children[1]), - 655 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), - 656 => self.inst_port_group_group_1(&children[0]), - 657 => self.inst_port_group_list_0(&children[0], &children[1]), - 658 => self.inst_port_group_list_1(), - 659 => self.inst_port_item(&children[0], &children[1]), - 660 => self.inst_port_item_opt_0(&children[0], &children[1]), - 661 => self.inst_port_item_opt_1(), - 662 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), - 663 => self.with_parameter_opt_0(&children[0]), - 664 => self.with_parameter_opt_1(), - 665 => self.with_parameter_list(&children[0], &children[1], &children[2]), - 666 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), - 667 => self.with_parameter_list_list_1(), - 668 => self.with_parameter_list_opt_0(&children[0]), - 669 => self.with_parameter_list_opt_1(), - 670 => self.with_parameter_group(&children[0], &children[1]), - 671 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), - 672 => self.with_parameter_group_group_1(&children[0]), - 673 => self.with_parameter_group_list_0(&children[0], &children[1]), - 674 => self.with_parameter_group_list_1(), - 675 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), - 676 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), - 677 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), - 678 => self.with_parameter_item_group_0(&children[0]), - 679 => self.with_parameter_item_group_1(&children[0]), - 680 => self.port_declaration(&children[0], &children[1], &children[2]), - 681 => self.port_declaration_opt_0(&children[0]), - 682 => self.port_declaration_opt_1(), - 683 => self.port_declaration_list(&children[0], &children[1], &children[2]), - 684 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), - 685 => self.port_declaration_list_list_1(), - 686 => self.port_declaration_list_opt_0(&children[0]), - 687 => self.port_declaration_list_opt_1(), - 688 => self.port_declaration_group(&children[0], &children[1]), - 689 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), - 690 => self.port_declaration_group_group_1(&children[0]), - 691 => self.port_declaration_group_list_0(&children[0], &children[1]), - 692 => self.port_declaration_group_list_1(), - 693 => self.port_declaration_item(&children[0], &children[1], &children[2]), - 694 => self.port_declaration_item_group_0(&children[0], &children[1]), - 695 => self.port_declaration_item_group_1(&children[0], &children[1]), - 696 => self.port_declaration_item_opt_0(&children[0]), - 697 => self.port_declaration_item_opt_1(), - 698 => self.direction_0(&children[0]), - 699 => self.direction_1(&children[0]), - 700 => self.direction_2(&children[0]), - 701 => self.direction_3(&children[0]), - 702 => self.direction_4(&children[0]), - 703 => self.function_declaration( + 629 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), + 630 => self.inst_declaration_opt2_0(&children[0]), + 631 => self.inst_declaration_opt2_1(), + 632 => self.inst_declaration_opt1_1(), + 633 => self.inst_declaration_opt0_0(&children[0]), + 634 => self.inst_declaration_opt0_1(), + 635 => self.inst_declaration_opt_0(&children[0]), + 636 => self.inst_declaration_opt_1(), + 637 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), + 638 => self.inst_parameter_opt_0(&children[0]), + 639 => self.inst_parameter_opt_1(), + 640 => self.inst_parameter_list(&children[0], &children[1], &children[2]), + 641 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), + 642 => self.inst_parameter_list_list_1(), + 643 => self.inst_parameter_list_opt_0(&children[0]), + 644 => self.inst_parameter_list_opt_1(), + 645 => self.inst_parameter_group(&children[0], &children[1]), + 646 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), + 647 => self.inst_parameter_group_group_1(&children[0]), + 648 => self.inst_parameter_group_list_0(&children[0], &children[1]), + 649 => self.inst_parameter_group_list_1(), + 650 => self.inst_parameter_item(&children[0], &children[1]), + 651 => self.inst_parameter_item_opt_0(&children[0], &children[1]), + 652 => self.inst_parameter_item_opt_1(), + 653 => self.inst_port_list(&children[0], &children[1], &children[2]), + 654 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), + 655 => self.inst_port_list_list_1(), + 656 => self.inst_port_list_opt_0(&children[0]), + 657 => self.inst_port_list_opt_1(), + 658 => self.inst_port_group(&children[0], &children[1]), + 659 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), + 660 => self.inst_port_group_group_1(&children[0]), + 661 => self.inst_port_group_list_0(&children[0], &children[1]), + 662 => self.inst_port_group_list_1(), + 663 => self.inst_port_item(&children[0], &children[1]), + 664 => self.inst_port_item_opt_0(&children[0], &children[1]), + 665 => self.inst_port_item_opt_1(), + 666 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), + 667 => self.with_parameter_opt_0(&children[0]), + 668 => self.with_parameter_opt_1(), + 669 => self.with_parameter_list(&children[0], &children[1], &children[2]), + 670 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), + 671 => self.with_parameter_list_list_1(), + 672 => self.with_parameter_list_opt_0(&children[0]), + 673 => self.with_parameter_list_opt_1(), + 674 => self.with_parameter_group(&children[0], &children[1]), + 675 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), + 676 => self.with_parameter_group_group_1(&children[0]), + 677 => self.with_parameter_group_list_0(&children[0], &children[1]), + 678 => self.with_parameter_group_list_1(), + 679 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), + 680 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), + 681 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), + 682 => self.with_parameter_item_group_0(&children[0]), + 683 => self.with_parameter_item_group_1(&children[0]), + 684 => self.port_declaration(&children[0], &children[1], &children[2]), + 685 => self.port_declaration_opt_0(&children[0]), + 686 => self.port_declaration_opt_1(), + 687 => self.port_declaration_list(&children[0], &children[1], &children[2]), + 688 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), + 689 => self.port_declaration_list_list_1(), + 690 => self.port_declaration_list_opt_0(&children[0]), + 691 => self.port_declaration_list_opt_1(), + 692 => self.port_declaration_group(&children[0], &children[1]), + 693 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), + 694 => self.port_declaration_group_group_1(&children[0]), + 695 => self.port_declaration_group_list_0(&children[0], &children[1]), + 696 => self.port_declaration_group_list_1(), + 697 => self.port_declaration_item(&children[0], &children[1], &children[2]), + 698 => self.port_declaration_item_group_0(&children[0], &children[1]), + 699 => self.port_declaration_item_group_1(&children[0], &children[1]), + 700 => self.port_declaration_item_opt_0(&children[0]), + 701 => self.port_declaration_item_opt_1(), + 702 => self.direction_0(&children[0]), + 703 => self.direction_1(&children[0]), + 704 => self.direction_2(&children[0]), + 705 => self.direction_3(&children[0]), + 706 => self.direction_4(&children[0]), + 707 => self.function_declaration( &children[0], &children[1], &children[2], @@ -31661,25 +32205,25 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 704 => self.function_declaration_list_0(&children[0], &children[1]), - 705 => self.function_declaration_list_1(), - 706 => self.function_declaration_opt1_0(&children[0], &children[1]), - 707 => self.function_declaration_opt1_1(), - 708 => self.function_declaration_opt0_0(&children[0]), - 709 => self.function_declaration_opt0_1(), - 710 => self.function_declaration_opt_0(&children[0]), - 711 => self.function_declaration_opt_1(), - 712 => self.function_item_0(&children[0]), - 713 => self.function_item_1(&children[0]), - 714 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), - 715 => self.import_declaration_opt_0(&children[0], &children[1]), - 716 => self.import_declaration_opt_1(), - 717 => self.export_declaration(&children[0], &children[1], &children[2]), - 718 => self.export_declaration_group_0(&children[0]), - 719 => self.export_declaration_group_1(&children[0], &children[1]), - 720 => self.export_declaration_opt_0(&children[0], &children[1]), - 721 => self.export_declaration_opt_1(), - 722 => self.module_declaration( + 708 => self.function_declaration_list_0(&children[0], &children[1]), + 709 => self.function_declaration_list_1(), + 710 => self.function_declaration_opt1_0(&children[0], &children[1]), + 711 => self.function_declaration_opt1_1(), + 712 => self.function_declaration_opt0_0(&children[0]), + 713 => self.function_declaration_opt0_1(), + 714 => self.function_declaration_opt_0(&children[0]), + 715 => self.function_declaration_opt_1(), + 716 => self.function_item_0(&children[0]), + 717 => self.function_item_1(&children[0]), + 718 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), + 719 => self.import_declaration_opt_0(&children[0], &children[1]), + 720 => self.import_declaration_opt_1(), + 721 => self.export_declaration(&children[0], &children[1], &children[2]), + 722 => self.export_declaration_group_0(&children[0]), + 723 => self.export_declaration_group_1(&children[0], &children[1]), + 724 => self.export_declaration_opt_0(&children[0], &children[1]), + 725 => self.export_declaration_opt_1(), + 726 => self.module_declaration( &children[0], &children[1], &children[2], @@ -31689,32 +32233,32 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 723 => self.module_declaration_list_0(&children[0], &children[1]), - 724 => self.module_declaration_list_1(), - 725 => self.module_declaration_opt1_0(&children[0]), - 726 => self.module_declaration_opt1_1(), - 727 => self.module_declaration_opt0_0(&children[0]), - 728 => self.module_declaration_opt0_1(), - 729 => self.module_declaration_opt_0(&children[0]), - 730 => self.module_declaration_opt_1(), - 731 => self.module_if_declaration( + 727 => self.module_declaration_list_0(&children[0], &children[1]), + 728 => self.module_declaration_list_1(), + 729 => self.module_declaration_opt1_0(&children[0]), + 730 => self.module_declaration_opt1_1(), + 731 => self.module_declaration_opt0_0(&children[0]), + 732 => self.module_declaration_opt0_1(), + 733 => self.module_declaration_opt_0(&children[0]), + 734 => self.module_declaration_opt_1(), + 735 => self.module_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 732 => self.module_if_declaration_list_0( + 736 => self.module_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 733 => self.module_if_declaration_list_1(), - 734 => self.module_if_declaration_opt_0(&children[0], &children[1]), - 735 => self.module_if_declaration_opt_1(), - 736 => self.module_for_declaration( + 737 => self.module_if_declaration_list_1(), + 738 => self.module_if_declaration_opt_0(&children[0], &children[1]), + 739 => self.module_if_declaration_opt_1(), + 740 => self.module_for_declaration( &children[0], &children[1], &children[2], @@ -31722,52 +32266,52 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 737 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 738 => self.module_for_declaration_opt_1(), - 739 => self.module_named_block( + 741 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 742 => self.module_for_declaration_opt_1(), + 743 => self.module_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 740 => self.module_named_block_list_0(&children[0], &children[1]), - 741 => self.module_named_block_list_1(), - 742 => self.module_optional_named_block( + 744 => self.module_named_block_list_0(&children[0], &children[1]), + 745 => self.module_named_block_list_1(), + 746 => self.module_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 743 => self.module_optional_named_block_list_0(&children[0], &children[1]), - 744 => self.module_optional_named_block_list_1(), - 745 => self.module_optional_named_block_opt_0(&children[0], &children[1]), - 746 => self.module_optional_named_block_opt_1(), - 747 => self.module_group(&children[0], &children[1]), - 748 => self.module_group_group_0(&children[0], &children[1], &children[2]), - 749 => self.module_group_group_list_0(&children[0], &children[1]), - 750 => self.module_group_group_list_1(), - 751 => self.module_group_group_1(&children[0]), - 752 => self.module_group_list_0(&children[0], &children[1]), - 753 => self.module_group_list_1(), - 754 => self.module_item_0(&children[0]), - 755 => self.module_item_1(&children[0]), - 756 => self.module_item_2(&children[0]), - 757 => self.module_item_3(&children[0]), - 758 => self.module_item_4(&children[0]), - 759 => self.module_item_5(&children[0]), - 760 => self.module_item_6(&children[0]), - 761 => self.module_item_7(&children[0]), - 762 => self.module_item_8(&children[0]), - 763 => self.module_item_9(&children[0]), - 764 => self.module_item_10(&children[0]), - 765 => self.module_item_11(&children[0]), - 766 => self.module_item_12(&children[0]), - 767 => self.module_item_13(&children[0]), - 768 => self.module_item_14(&children[0]), - 769 => self.module_item_15(&children[0]), - 770 => self.module_item_16(&children[0]), - 771 => self.interface_declaration( + 747 => self.module_optional_named_block_list_0(&children[0], &children[1]), + 748 => self.module_optional_named_block_list_1(), + 749 => self.module_optional_named_block_opt_0(&children[0], &children[1]), + 750 => self.module_optional_named_block_opt_1(), + 751 => self.module_group(&children[0], &children[1]), + 752 => self.module_group_group_0(&children[0], &children[1], &children[2]), + 753 => self.module_group_group_list_0(&children[0], &children[1]), + 754 => self.module_group_group_list_1(), + 755 => self.module_group_group_1(&children[0]), + 756 => self.module_group_list_0(&children[0], &children[1]), + 757 => self.module_group_list_1(), + 758 => self.module_item_0(&children[0]), + 759 => self.module_item_1(&children[0]), + 760 => self.module_item_2(&children[0]), + 761 => self.module_item_3(&children[0]), + 762 => self.module_item_4(&children[0]), + 763 => self.module_item_5(&children[0]), + 764 => self.module_item_6(&children[0]), + 765 => self.module_item_7(&children[0]), + 766 => self.module_item_8(&children[0]), + 767 => self.module_item_9(&children[0]), + 768 => self.module_item_10(&children[0]), + 769 => self.module_item_11(&children[0]), + 770 => self.module_item_12(&children[0]), + 771 => self.module_item_13(&children[0]), + 772 => self.module_item_14(&children[0]), + 773 => self.module_item_15(&children[0]), + 774 => self.module_item_16(&children[0]), + 775 => self.interface_declaration( &children[0], &children[1], &children[2], @@ -31776,30 +32320,30 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 772 => self.interface_declaration_list_0(&children[0], &children[1]), - 773 => self.interface_declaration_list_1(), - 774 => self.interface_declaration_opt0_0(&children[0]), - 775 => self.interface_declaration_opt0_1(), - 776 => self.interface_declaration_opt_0(&children[0]), - 777 => self.interface_declaration_opt_1(), - 778 => self.interface_if_declaration( + 776 => self.interface_declaration_list_0(&children[0], &children[1]), + 777 => self.interface_declaration_list_1(), + 778 => self.interface_declaration_opt0_0(&children[0]), + 779 => self.interface_declaration_opt0_1(), + 780 => self.interface_declaration_opt_0(&children[0]), + 781 => self.interface_declaration_opt_1(), + 782 => self.interface_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 779 => self.interface_if_declaration_list_0( + 783 => self.interface_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 780 => self.interface_if_declaration_list_1(), - 781 => self.interface_if_declaration_opt_0(&children[0], &children[1]), - 782 => self.interface_if_declaration_opt_1(), - 783 => self.interface_for_declaration( + 784 => self.interface_if_declaration_list_1(), + 785 => self.interface_if_declaration_opt_0(&children[0], &children[1]), + 786 => self.interface_if_declaration_opt_1(), + 787 => self.interface_for_declaration( &children[0], &children[1], &children[2], @@ -31807,49 +32351,49 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 784 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 785 => self.interface_for_declaration_opt_1(), - 786 => self.interface_named_block( + 788 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 789 => self.interface_for_declaration_opt_1(), + 790 => self.interface_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 787 => self.interface_named_block_list_0(&children[0], &children[1]), - 788 => self.interface_named_block_list_1(), - 789 => self.interface_optional_named_block( + 791 => self.interface_named_block_list_0(&children[0], &children[1]), + 792 => self.interface_named_block_list_1(), + 793 => self.interface_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 790 => self.interface_optional_named_block_list_0(&children[0], &children[1]), - 791 => self.interface_optional_named_block_list_1(), - 792 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), - 793 => self.interface_optional_named_block_opt_1(), - 794 => self.interface_group(&children[0], &children[1]), - 795 => self.interface_group_group_0(&children[0], &children[1], &children[2]), - 796 => self.interface_group_group_list_0(&children[0], &children[1]), - 797 => self.interface_group_group_list_1(), - 798 => self.interface_group_group_1(&children[0]), - 799 => self.interface_group_list_0(&children[0], &children[1]), - 800 => self.interface_group_list_1(), - 801 => self.interface_item_0(&children[0]), - 802 => self.interface_item_1(&children[0]), - 803 => self.interface_item_2(&children[0]), - 804 => self.interface_item_3(&children[0]), - 805 => self.interface_item_4(&children[0]), - 806 => self.interface_item_5(&children[0]), - 807 => self.interface_item_6(&children[0]), - 808 => self.interface_item_7(&children[0]), - 809 => self.interface_item_8(&children[0]), - 810 => self.interface_item_9(&children[0]), - 811 => self.interface_item_10(&children[0]), - 812 => self.interface_item_11(&children[0]), - 813 => self.interface_item_12(&children[0]), - 814 => self.interface_item_13(&children[0]), - 815 => self.package_declaration( + 794 => self.interface_optional_named_block_list_0(&children[0], &children[1]), + 795 => self.interface_optional_named_block_list_1(), + 796 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), + 797 => self.interface_optional_named_block_opt_1(), + 798 => self.interface_group(&children[0], &children[1]), + 799 => self.interface_group_group_0(&children[0], &children[1], &children[2]), + 800 => self.interface_group_group_list_0(&children[0], &children[1]), + 801 => self.interface_group_group_list_1(), + 802 => self.interface_group_group_1(&children[0]), + 803 => self.interface_group_list_0(&children[0], &children[1]), + 804 => self.interface_group_list_1(), + 805 => self.interface_item_0(&children[0]), + 806 => self.interface_item_1(&children[0]), + 807 => self.interface_item_2(&children[0]), + 808 => self.interface_item_3(&children[0]), + 809 => self.interface_item_4(&children[0]), + 810 => self.interface_item_5(&children[0]), + 811 => self.interface_item_6(&children[0]), + 812 => self.interface_item_7(&children[0]), + 813 => self.interface_item_8(&children[0]), + 814 => self.interface_item_9(&children[0]), + 815 => self.interface_item_10(&children[0]), + 816 => self.interface_item_11(&children[0]), + 817 => self.interface_item_12(&children[0]), + 818 => self.interface_item_13(&children[0]), + 819 => self.package_declaration( &children[0], &children[1], &children[2], @@ -31857,41 +32401,66 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 816 => self.package_declaration_list_0(&children[0], &children[1]), - 817 => self.package_declaration_list_1(), - 818 => self.package_declaration_opt_0(&children[0]), - 819 => self.package_declaration_opt_1(), - 820 => self.package_group(&children[0], &children[1]), - 821 => self.package_group_group_0(&children[0], &children[1], &children[2]), - 822 => self.package_group_group_list_0(&children[0], &children[1]), - 823 => self.package_group_group_list_1(), - 824 => self.package_group_group_1(&children[0]), - 825 => self.package_group_list_0(&children[0], &children[1]), - 826 => self.package_group_list_1(), - 827 => self.package_item_0(&children[0]), - 828 => self.package_item_1(&children[0]), - 829 => self.package_item_2(&children[0]), - 830 => self.package_item_3(&children[0]), - 831 => self.package_item_4(&children[0]), - 832 => self.package_item_5(&children[0]), - 833 => self.package_item_6(&children[0]), - 834 => self.package_item_7(&children[0]), - 835 => self.package_item_8(&children[0]), - 836 => self.package_item_9(&children[0]), - 837 => self.description_group(&children[0], &children[1]), - 838 => self.description_group_group_0(&children[0], &children[1], &children[2]), - 839 => self.description_group_group_list_0(&children[0], &children[1]), - 840 => self.description_group_group_list_1(), - 841 => self.description_group_group_1(&children[0]), - 842 => self.description_group_list_0(&children[0], &children[1]), - 843 => self.description_group_list_1(), - 844 => self.description_item_0(&children[0]), - 845 => self.description_item_1(&children[0]), - 846 => self.description_item_2(&children[0]), - 847 => self.description_item_3(&children[0]), - 848 => self.veryl(&children[0], &children[1]), - 849 => self.veryl_list_0(&children[0], &children[1]), - 850 => self.veryl_list_1(), + 820 => self.package_declaration_list_0(&children[0], &children[1]), + 821 => self.package_declaration_list_1(), + 822 => self.package_declaration_opt_0(&children[0]), + 823 => self.package_declaration_opt_1(), + 824 => self.package_group(&children[0], &children[1]), + 825 => self.package_group_group_0(&children[0], &children[1], &children[2]), + 826 => self.package_group_group_list_0(&children[0], &children[1]), + 827 => self.package_group_group_list_1(), + 828 => self.package_group_group_1(&children[0]), + 829 => self.package_group_list_0(&children[0], &children[1]), + 830 => self.package_group_list_1(), + 831 => self.package_item_0(&children[0]), + 832 => self.package_item_1(&children[0]), + 833 => self.package_item_2(&children[0]), + 834 => self.package_item_3(&children[0]), + 835 => self.package_item_4(&children[0]), + 836 => self.package_item_5(&children[0]), + 837 => self.package_item_6(&children[0]), + 838 => self.package_item_7(&children[0]), + 839 => self.package_item_8(&children[0]), + 840 => self.package_item_9(&children[0]), + 841 => self.embed_declaration( + &children[0], + &children[1], + &children[2], + &children[3], + &children[4], + &children[5], + ), + 842 => self.embed_content(&children[0]), + 843 => self.embed_content_token( + &children[0], + &children[1], + &children[2], + &children[3], + &children[4], + &children[5], + &children[6], + ), + 844 => self.embed_content_token_list_0(&children[0], &children[1]), + 845 => self.embed_content_token_list_1(), + 846 => self.embed_item_0(&children[0], &children[1], &children[2]), + 847 => self.embed_item_list_0(&children[0], &children[1]), + 848 => self.embed_item_list_1(), + 849 => self.embed_item_1(&children[0]), + 850 => self.description_group(&children[0], &children[1]), + 851 => self.description_group_group_0(&children[0], &children[1], &children[2]), + 852 => self.description_group_group_list_0(&children[0], &children[1]), + 853 => self.description_group_group_list_1(), + 854 => self.description_group_group_1(&children[0]), + 855 => self.description_group_list_0(&children[0], &children[1]), + 856 => self.description_group_list_1(), + 857 => self.description_item_0(&children[0]), + 858 => self.description_item_1(&children[0]), + 859 => self.description_item_2(&children[0]), + 860 => self.description_item_3(&children[0]), + 861 => self.description_item_4(&children[0]), + 862 => self.veryl(&children[0], &children[1]), + 863 => self.veryl_list_0(&children[0], &children[1]), + 864 => self.veryl_list_1(), _ => Err(ParserError::InternalError(format!( "Unhandled production number: {}", prod_num diff --git a/crates/parser/src/generated/veryl_parser.rs b/crates/parser/src/generated/veryl_parser.rs index cb6f8aa9..c348a2ac 100644 --- a/crates/parser/src/generated/veryl_parser.rs +++ b/crates/parser/src/generated/veryl_parser.rs @@ -18,7 +18,7 @@ use parol_runtime::lexer::tokenizer::{ ERROR_TOKEN, NEW_LINE_TOKEN, UNMATCHABLE_TOKEN, WHITESPACE_TOKEN, }; -pub const TERMINALS: &[&str; 108] = &[ +pub const TERMINALS: &[&str; 110] = &[ /* 0 */ UNMATCHABLE_TOKEN, /* 1 */ UNMATCHABLE_TOKEN, /* 2 */ UNMATCHABLE_TOKEN, @@ -77,60 +77,62 @@ pub const TERMINALS: &[&str; 108] = &[ /* 54 */ r"(?-u:\b)case(?-u:\b)", /* 55 */ r"(?-u:\b)default(?-u:\b)", /* 56 */ r"(?-u:\b)else(?-u:\b)", - /* 57 */ r"(?-u:\b)enum(?-u:\b)", - /* 58 */ r"(?-u:\b)export(?-u:\b)", - /* 59 */ r"(?-u:\b)f32(?-u:\b)", - /* 60 */ r"(?-u:\b)f64(?-u:\b)", - /* 61 */ r"(?-u:\b)final(?-u:\b)", - /* 62 */ r"(?-u:\b)for(?-u:\b)", - /* 63 */ r"(?-u:\b)function(?-u:\b)", - /* 64 */ r"(?-u:\b)i32(?-u:\b)", - /* 65 */ r"(?-u:\b)i64(?-u:\b)", - /* 66 */ r"(?-u:\b)if_reset(?-u:\b)", - /* 67 */ r"(?-u:\b)if(?-u:\b)", - /* 68 */ r"(?-u:\b)import(?-u:\b)", - /* 69 */ r"(?-u:\b)initial(?-u:\b)", - /* 70 */ r"(?-u:\b)inout(?-u:\b)", - /* 71 */ r"(?-u:\b)input(?-u:\b)", - /* 72 */ r"(?-u:\b)inside(?-u:\b)", - /* 73 */ r"(?-u:\b)inst(?-u:\b)", - /* 74 */ r"(?-u:\b)interface(?-u:\b)", - /* 75 */ r"(?-u:\b)in(?-u:\b)", - /* 76 */ r"(?-u:\b)let(?-u:\b)", - /* 77 */ r"(?-u:\b)local(?-u:\b)", - /* 78 */ r"(?-u:\b)logic(?-u:\b)", - /* 79 */ r"(?-u:\b)lsb(?-u:\b)", - /* 80 */ r"(?-u:\b)modport(?-u:\b)", - /* 81 */ r"(?-u:\b)module(?-u:\b)", - /* 82 */ r"(?-u:\b)msb(?-u:\b)", - /* 83 */ r"(?-u:\b)negedge(?-u:\b)", - /* 84 */ r"(?-u:\b)output(?-u:\b)", - /* 85 */ r"(?-u:\b)outside(?-u:\b)", - /* 86 */ r"(?-u:\b)package(?-u:\b)", - /* 87 */ r"(?-u:\b)param(?-u:\b)", - /* 88 */ r"(?-u:\b)posedge(?-u:\b)", - /* 89 */ r"(?-u:\b)pub(?-u:\b)", - /* 90 */ r"(?-u:\b)ref(?-u:\b)", - /* 91 */ r"(?-u:\b)repeat(?-u:\b)", - /* 92 */ r"(?-u:\b)return(?-u:\b)", - /* 93 */ r"(?-u:\b)break(?-u:\b)", - /* 94 */ r"(?-u:\b)signed(?-u:\b)", - /* 95 */ r"(?-u:\b)step(?-u:\b)", - /* 96 */ r"(?-u:\b)string(?-u:\b)", - /* 97 */ r"(?-u:\b)struct(?-u:\b)", - /* 98 */ r"(?-u:\b)sync_high(?-u:\b)", - /* 99 */ r"(?-u:\b)sync_low(?-u:\b)", - /* 100 */ r"(?-u:\b)tri(?-u:\b)", - /* 101 */ r"(?-u:\b)type(?-u:\b)", - /* 102 */ r"(?-u:\b)u32(?-u:\b)", - /* 103 */ r"(?-u:\b)u64(?-u:\b)", - /* 104 */ r"(?-u:\b)union(?-u:\b)", - /* 105 */ r"(?-u:\b)var(?-u:\b)", - /* 106 */ r"[a-zA-Z_][0-9a-zA-Z_$]*", - /* 107 */ ERROR_TOKEN, + /* 57 */ r"(?-u:\b)embed(?-u:\b)", + /* 58 */ r"(?-u:\b)enum(?-u:\b)", + /* 59 */ r"(?-u:\b)export(?-u:\b)", + /* 60 */ r"(?-u:\b)f32(?-u:\b)", + /* 61 */ r"(?-u:\b)f64(?-u:\b)", + /* 62 */ r"(?-u:\b)final(?-u:\b)", + /* 63 */ r"(?-u:\b)for(?-u:\b)", + /* 64 */ r"(?-u:\b)function(?-u:\b)", + /* 65 */ r"(?-u:\b)i32(?-u:\b)", + /* 66 */ r"(?-u:\b)i64(?-u:\b)", + /* 67 */ r"(?-u:\b)if_reset(?-u:\b)", + /* 68 */ r"(?-u:\b)if(?-u:\b)", + /* 69 */ r"(?-u:\b)import(?-u:\b)", + /* 70 */ r"(?-u:\b)initial(?-u:\b)", + /* 71 */ r"(?-u:\b)inout(?-u:\b)", + /* 72 */ r"(?-u:\b)input(?-u:\b)", + /* 73 */ r"(?-u:\b)inside(?-u:\b)", + /* 74 */ r"(?-u:\b)inst(?-u:\b)", + /* 75 */ r"(?-u:\b)interface(?-u:\b)", + /* 76 */ r"(?-u:\b)in(?-u:\b)", + /* 77 */ r"(?-u:\b)let(?-u:\b)", + /* 78 */ r"(?-u:\b)local(?-u:\b)", + /* 79 */ r"(?-u:\b)logic(?-u:\b)", + /* 80 */ r"(?-u:\b)lsb(?-u:\b)", + /* 81 */ r"(?-u:\b)modport(?-u:\b)", + /* 82 */ r"(?-u:\b)module(?-u:\b)", + /* 83 */ r"(?-u:\b)msb(?-u:\b)", + /* 84 */ r"(?-u:\b)negedge(?-u:\b)", + /* 85 */ r"(?-u:\b)output(?-u:\b)", + /* 86 */ r"(?-u:\b)outside(?-u:\b)", + /* 87 */ r"(?-u:\b)package(?-u:\b)", + /* 88 */ r"(?-u:\b)param(?-u:\b)", + /* 89 */ r"(?-u:\b)posedge(?-u:\b)", + /* 90 */ r"(?-u:\b)pub(?-u:\b)", + /* 91 */ r"(?-u:\b)ref(?-u:\b)", + /* 92 */ r"(?-u:\b)repeat(?-u:\b)", + /* 93 */ r"(?-u:\b)return(?-u:\b)", + /* 94 */ r"(?-u:\b)break(?-u:\b)", + /* 95 */ r"(?-u:\b)signed(?-u:\b)", + /* 96 */ r"(?-u:\b)step(?-u:\b)", + /* 97 */ r"(?-u:\b)string(?-u:\b)", + /* 98 */ r"(?-u:\b)struct(?-u:\b)", + /* 99 */ r"(?-u:\b)sync_high(?-u:\b)", + /* 100 */ r"(?-u:\b)sync_low(?-u:\b)", + /* 101 */ r"(?-u:\b)tri(?-u:\b)", + /* 102 */ r"(?-u:\b)type(?-u:\b)", + /* 103 */ r"(?-u:\b)u32(?-u:\b)", + /* 104 */ r"(?-u:\b)u64(?-u:\b)", + /* 105 */ r"(?-u:\b)union(?-u:\b)", + /* 106 */ r"(?-u:\b)var(?-u:\b)", + /* 107 */ r"[a-zA-Z_][0-9a-zA-Z_$]*", + /* 108 */ r"[^{}]*", + /* 109 */ ERROR_TOKEN, ]; -pub const TERMINAL_NAMES: &[&str; 108] = &[ +pub const TERMINAL_NAMES: &[&str; 110] = &[ /* 0 */ "EndOfInput", /* 1 */ "Newline", /* 2 */ "Whitespace", @@ -188,61 +190,63 @@ pub const TERMINAL_NAMES: &[&str; 108] = &[ /* 54 */ "CaseTerm", /* 55 */ "DefaultTerm", /* 56 */ "ElseTerm", - /* 57 */ "EnumTerm", - /* 58 */ "ExportTerm", - /* 59 */ "F32Term", - /* 60 */ "F64Term", - /* 61 */ "FinalTerm", - /* 62 */ "ForTerm", - /* 63 */ "FunctionTerm", - /* 64 */ "I32Term", - /* 65 */ "I64Term", - /* 66 */ "IfResetTerm", - /* 67 */ "IfTerm", - /* 68 */ "ImportTerm", - /* 69 */ "InitialTerm", - /* 70 */ "InoutTerm", - /* 71 */ "InputTerm", - /* 72 */ "InsideTerm", - /* 73 */ "InstTerm", - /* 74 */ "InterfaceTerm", - /* 75 */ "InTerm", - /* 76 */ "LetTerm", - /* 77 */ "LocalTerm", - /* 78 */ "LogicTerm", - /* 79 */ "LsbTerm", - /* 80 */ "ModportTerm", - /* 81 */ "ModuleTerm", - /* 82 */ "MsbTerm", - /* 83 */ "NegedgeTerm", - /* 84 */ "OutputTerm", - /* 85 */ "OutsideTerm", - /* 86 */ "PackageTerm", - /* 87 */ "ParamTerm", - /* 88 */ "PosedgeTerm", - /* 89 */ "PubTerm", - /* 90 */ "RefTerm", - /* 91 */ "RepeatTerm", - /* 92 */ "ReturnTerm", - /* 93 */ "BreakTerm", - /* 94 */ "SignedTerm", - /* 95 */ "StepTerm", - /* 96 */ "StringTerm", - /* 97 */ "StructTerm", - /* 98 */ "SyncHighTerm", - /* 99 */ "SyncLowTerm", - /* 100 */ "TriTerm", - /* 101 */ "TypeTerm", - /* 102 */ "U32Term", - /* 103 */ "U64Term", - /* 104 */ "UnionTerm", - /* 105 */ "VarTerm", - /* 106 */ "IdentifierTerm", - /* 107 */ "Error", + /* 57 */ "EmbedTerm", + /* 58 */ "EnumTerm", + /* 59 */ "ExportTerm", + /* 60 */ "F32Term", + /* 61 */ "F64Term", + /* 62 */ "FinalTerm", + /* 63 */ "ForTerm", + /* 64 */ "FunctionTerm", + /* 65 */ "I32Term", + /* 66 */ "I64Term", + /* 67 */ "IfResetTerm", + /* 68 */ "IfTerm", + /* 69 */ "ImportTerm", + /* 70 */ "InitialTerm", + /* 71 */ "InoutTerm", + /* 72 */ "InputTerm", + /* 73 */ "InsideTerm", + /* 74 */ "InstTerm", + /* 75 */ "InterfaceTerm", + /* 76 */ "InTerm", + /* 77 */ "LetTerm", + /* 78 */ "LocalTerm", + /* 79 */ "LogicTerm", + /* 80 */ "LsbTerm", + /* 81 */ "ModportTerm", + /* 82 */ "ModuleTerm", + /* 83 */ "MsbTerm", + /* 84 */ "NegedgeTerm", + /* 85 */ "OutputTerm", + /* 86 */ "OutsideTerm", + /* 87 */ "PackageTerm", + /* 88 */ "ParamTerm", + /* 89 */ "PosedgeTerm", + /* 90 */ "PubTerm", + /* 91 */ "RefTerm", + /* 92 */ "RepeatTerm", + /* 93 */ "ReturnTerm", + /* 94 */ "BreakTerm", + /* 95 */ "SignedTerm", + /* 96 */ "StepTerm", + /* 97 */ "StringTerm", + /* 98 */ "StructTerm", + /* 99 */ "SyncHighTerm", + /* 100 */ "SyncLowTerm", + /* 101 */ "TriTerm", + /* 102 */ "TypeTerm", + /* 103 */ "U32Term", + /* 104 */ "U64Term", + /* 105 */ "UnionTerm", + /* 106 */ "VarTerm", + /* 107 */ "IdentifierTerm", + /* 108 */ "AnyTerm", + /* 109 */ "Error", ]; /* SCANNER_0: "INITIAL" */ -const SCANNER_0: (&[&str; 5], &[TerminalIndex; 102]) = ( +const SCANNER_0: (&[&str; 5], &[TerminalIndex; 103]) = ( &[ /* 0 */ UNMATCHABLE_TOKEN, /* 1 */ NEW_LINE_TOKEN, @@ -303,62 +307,79 @@ const SCANNER_0: (&[&str; 5], &[TerminalIndex; 102]) = ( 54, /* CaseTerm */ 55, /* DefaultTerm */ 56, /* ElseTerm */ - 57, /* EnumTerm */ - 58, /* ExportTerm */ - 59, /* F32Term */ - 60, /* F64Term */ - 61, /* FinalTerm */ - 62, /* ForTerm */ - 63, /* FunctionTerm */ - 64, /* I32Term */ - 65, /* I64Term */ - 66, /* IfResetTerm */ - 67, /* IfTerm */ - 68, /* ImportTerm */ - 69, /* InitialTerm */ - 70, /* InoutTerm */ - 71, /* InputTerm */ - 72, /* InsideTerm */ - 73, /* InstTerm */ - 74, /* InterfaceTerm */ - 75, /* InTerm */ - 76, /* LetTerm */ - 77, /* LocalTerm */ - 78, /* LogicTerm */ - 79, /* LsbTerm */ - 80, /* ModportTerm */ - 81, /* ModuleTerm */ - 82, /* MsbTerm */ - 83, /* NegedgeTerm */ - 84, /* OutputTerm */ - 85, /* OutsideTerm */ - 86, /* PackageTerm */ - 87, /* ParamTerm */ - 88, /* PosedgeTerm */ - 89, /* PubTerm */ - 90, /* RefTerm */ - 91, /* RepeatTerm */ - 92, /* ReturnTerm */ - 93, /* BreakTerm */ - 94, /* SignedTerm */ - 95, /* StepTerm */ - 96, /* StringTerm */ - 97, /* StructTerm */ - 98, /* SyncHighTerm */ - 99, /* SyncLowTerm */ - 100, /* TriTerm */ - 101, /* TypeTerm */ - 102, /* U32Term */ - 103, /* U64Term */ - 104, /* UnionTerm */ - 105, /* VarTerm */ - 106, /* IdentifierTerm */ + 57, /* EmbedTerm */ + 58, /* EnumTerm */ + 59, /* ExportTerm */ + 60, /* F32Term */ + 61, /* F64Term */ + 62, /* FinalTerm */ + 63, /* ForTerm */ + 64, /* FunctionTerm */ + 65, /* I32Term */ + 66, /* I64Term */ + 67, /* IfResetTerm */ + 68, /* IfTerm */ + 69, /* ImportTerm */ + 70, /* InitialTerm */ + 71, /* InoutTerm */ + 72, /* InputTerm */ + 73, /* InsideTerm */ + 74, /* InstTerm */ + 75, /* InterfaceTerm */ + 76, /* InTerm */ + 77, /* LetTerm */ + 78, /* LocalTerm */ + 79, /* LogicTerm */ + 80, /* LsbTerm */ + 81, /* ModportTerm */ + 82, /* ModuleTerm */ + 83, /* MsbTerm */ + 84, /* NegedgeTerm */ + 85, /* OutputTerm */ + 86, /* OutsideTerm */ + 87, /* PackageTerm */ + 88, /* ParamTerm */ + 89, /* PosedgeTerm */ + 90, /* PubTerm */ + 91, /* RefTerm */ + 92, /* RepeatTerm */ + 93, /* ReturnTerm */ + 94, /* BreakTerm */ + 95, /* SignedTerm */ + 96, /* StepTerm */ + 97, /* StringTerm */ + 98, /* StructTerm */ + 99, /* SyncHighTerm */ + 100, /* SyncLowTerm */ + 101, /* TriTerm */ + 102, /* TypeTerm */ + 103, /* U32Term */ + 104, /* U64Term */ + 105, /* UnionTerm */ + 106, /* VarTerm */ + 107, /* IdentifierTerm */ + ], +); + +/* SCANNER_1: "Embed" */ +const SCANNER_1: (&[&str; 5], &[TerminalIndex; 3]) = ( + &[ + /* 0 */ UNMATCHABLE_TOKEN, + /* 1 */ UNMATCHABLE_TOKEN, + /* 2 */ UNMATCHABLE_TOKEN, + /* 3 */ UNMATCHABLE_TOKEN, + /* 4 */ UNMATCHABLE_TOKEN, + ], + &[ + 38, /* LBraceTerm */ + 42, /* RBraceTerm */ + 108, /* AnyTerm */ ], ); const MAX_K: usize = 3; -pub const NON_TERMINALS: &[&str; 598] = &[ +pub const NON_TERMINALS: &[&str; 608] = &[ /* 0 */ "AllBit", /* 1 */ "AllBitTerm", /* 2 */ "AllBitToken", @@ -379,590 +400,600 @@ pub const NON_TERMINALS: &[&str; 598] = &[ /* 17 */ "AlwaysFfResetOptGroup", /* 18 */ "AlwaysFfTerm", /* 19 */ "AlwaysFfToken", - /* 20 */ "ArgumentItem", - /* 21 */ "ArgumentList", - /* 22 */ "ArgumentListList", - /* 23 */ "ArgumentListOpt", - /* 24 */ "Array", - /* 25 */ "ArrayList", - /* 26 */ "ArrayType", - /* 27 */ "ArrayTypeOpt", - /* 28 */ "As", - /* 29 */ "AsTerm", - /* 30 */ "AsToken", - /* 31 */ "Assign", - /* 32 */ "AssignDeclaration", - /* 33 */ "AssignTerm", - /* 34 */ "AssignToken", - /* 35 */ "Assignment", - /* 36 */ "AssignmentGroup", - /* 37 */ "AssignmentOperator", - /* 38 */ "AssignmentOperatorTerm", - /* 39 */ "AssignmentOperatorToken", - /* 40 */ "AsyncHigh", - /* 41 */ "AsyncHighTerm", - /* 42 */ "AsyncHighToken", - /* 43 */ "AsyncLow", - /* 44 */ "AsyncLowTerm", - /* 45 */ "AsyncLowToken", - /* 46 */ "Attribute", - /* 47 */ "AttributeItem", - /* 48 */ "AttributeList", - /* 49 */ "AttributeListList", - /* 50 */ "AttributeListOpt", - /* 51 */ "AttributeOpt", - /* 52 */ "BaseLess", - /* 53 */ "BaseLessTerm", - /* 54 */ "BaseLessToken", - /* 55 */ "Based", - /* 56 */ "BasedTerm", - /* 57 */ "BasedToken", - /* 58 */ "Bit", - /* 59 */ "BitTerm", - /* 60 */ "BitToken", - /* 61 */ "Break", - /* 62 */ "BreakStatement", - /* 63 */ "BreakTerm", - /* 64 */ "BreakToken", - /* 65 */ "Case", - /* 66 */ "CaseExpression", - /* 67 */ "CaseExpressionList", - /* 68 */ "CaseExpressionList0", - /* 69 */ "CaseExpressionList0List", - /* 70 */ "CaseExpressionOpt", - /* 71 */ "CaseItem", - /* 72 */ "CaseItemGroup", - /* 73 */ "CaseItemGroup0", - /* 74 */ "CaseItemGroup0List", - /* 75 */ "CaseItemGroupList", - /* 76 */ "CaseStatement", - /* 77 */ "CaseStatementList", - /* 78 */ "CaseTerm", - /* 79 */ "CaseToken", - /* 80 */ "Colon", - /* 81 */ "ColonColon", - /* 82 */ "ColonColonTerm", - /* 83 */ "ColonColonToken", - /* 84 */ "ColonTerm", - /* 85 */ "ColonToken", - /* 86 */ "Comma", - /* 87 */ "CommaTerm", - /* 88 */ "CommaToken", - /* 89 */ "Comments", - /* 90 */ "CommentsOpt", - /* 91 */ "CommentsTerm", - /* 92 */ "ConcatenationItem", - /* 93 */ "ConcatenationItemOpt", - /* 94 */ "ConcatenationList", - /* 95 */ "ConcatenationListList", - /* 96 */ "ConcatenationListOpt", - /* 97 */ "Defaul", - /* 98 */ "DefaultTerm", - /* 99 */ "DefaultToken", - /* 100 */ "DescriptionGroup", - /* 101 */ "DescriptionGroupGroup", - /* 102 */ "DescriptionGroupGroupList", - /* 103 */ "DescriptionGroupList", - /* 104 */ "DescriptionItem", - /* 105 */ "Direction", - /* 106 */ "Dollar", - /* 107 */ "DollarTerm", - /* 108 */ "DollarToken", - /* 109 */ "Dot", - /* 110 */ "DotDot", - /* 111 */ "DotDotEqu", - /* 112 */ "DotDotEquTerm", - /* 113 */ "DotDotEquToken", - /* 114 */ "DotDotTerm", - /* 115 */ "DotDotToken", - /* 116 */ "DotTerm", - /* 117 */ "DotToken", - /* 118 */ "Else", - /* 119 */ "ElseTerm", - /* 120 */ "ElseToken", - /* 121 */ "Enum", - /* 122 */ "EnumDeclaration", - /* 123 */ "EnumGroup", - /* 124 */ "EnumGroupGroup", - /* 125 */ "EnumGroupList", - /* 126 */ "EnumItem", - /* 127 */ "EnumItemOpt", - /* 128 */ "EnumList", - /* 129 */ "EnumListList", - /* 130 */ "EnumListOpt", - /* 131 */ "EnumTerm", - /* 132 */ "EnumToken", - /* 133 */ "Equ", - /* 134 */ "EquTerm", - /* 135 */ "EquToken", - /* 136 */ "Exponent", - /* 137 */ "ExponentTerm", - /* 138 */ "ExponentToken", - /* 139 */ "Export", - /* 140 */ "ExportDeclaration", - /* 141 */ "ExportDeclarationGroup", - /* 142 */ "ExportDeclarationOpt", - /* 143 */ "ExportTerm", - /* 144 */ "ExportToken", - /* 145 */ "Expression", - /* 146 */ "Expression01", - /* 147 */ "Expression01List", - /* 148 */ "Expression02", - /* 149 */ "Expression02List", - /* 150 */ "Expression03", - /* 151 */ "Expression03List", - /* 152 */ "Expression04", - /* 153 */ "Expression04List", - /* 154 */ "Expression05", - /* 155 */ "Expression05List", - /* 156 */ "Expression06", - /* 157 */ "Expression06List", - /* 158 */ "Expression07", - /* 159 */ "Expression07List", - /* 160 */ "Expression08", - /* 161 */ "Expression08List", - /* 162 */ "Expression09", - /* 163 */ "Expression09List", - /* 164 */ "Expression09ListGroup", - /* 165 */ "Expression10", - /* 166 */ "Expression10List", - /* 167 */ "Expression11", - /* 168 */ "Expression11List", - /* 169 */ "Expression12", - /* 170 */ "Expression12List", - /* 171 */ "Expression12ListGroup", - /* 172 */ "ExpressionIdentifier", - /* 173 */ "ExpressionIdentifierGroup", - /* 174 */ "ExpressionIdentifierMember", - /* 175 */ "ExpressionIdentifierMemberList", - /* 176 */ "ExpressionIdentifierMemberList0", - /* 177 */ "ExpressionIdentifierMemberList0List", - /* 178 */ "ExpressionIdentifierOpt", - /* 179 */ "ExpressionIdentifierScoped", - /* 180 */ "ExpressionIdentifierScopedList", - /* 181 */ "ExpressionIdentifierScopedList0", - /* 182 */ "ExpressionList", - /* 183 */ "F32", - /* 184 */ "F32Term", - /* 185 */ "F32Token", - /* 186 */ "F64", - /* 187 */ "F64Term", - /* 188 */ "F64Token", - /* 189 */ "Factor", - /* 190 */ "FactorGroup", - /* 191 */ "FactorOpt", - /* 192 */ "Final", - /* 193 */ "FinalDeclaration", - /* 194 */ "FinalDeclarationList", - /* 195 */ "FinalTerm", - /* 196 */ "FinalToken", - /* 197 */ "FixedPoint", - /* 198 */ "FixedPointTerm", - /* 199 */ "FixedPointToken", - /* 200 */ "FixedType", - /* 201 */ "For", - /* 202 */ "ForStatement", - /* 203 */ "ForStatementList", - /* 204 */ "ForStatementOpt", - /* 205 */ "ForTerm", - /* 206 */ "ForToken", - /* 207 */ "Function", - /* 208 */ "FunctionCall", - /* 209 */ "FunctionCallOpt", - /* 210 */ "FunctionDeclaration", - /* 211 */ "FunctionDeclarationList", - /* 212 */ "FunctionDeclarationOpt", - /* 213 */ "FunctionDeclarationOpt0", - /* 214 */ "FunctionDeclarationOpt1", - /* 215 */ "FunctionItem", - /* 216 */ "FunctionTerm", - /* 217 */ "FunctionToken", - /* 218 */ "Hash", - /* 219 */ "HashTerm", - /* 220 */ "HashToken", - /* 221 */ "HierarchicalIdentifier", - /* 222 */ "HierarchicalIdentifierList", - /* 223 */ "HierarchicalIdentifierList0", - /* 224 */ "HierarchicalIdentifierList0List", - /* 225 */ "I32", - /* 226 */ "I32Term", - /* 227 */ "I32Token", - /* 228 */ "I64", - /* 229 */ "I64Term", - /* 230 */ "I64Token", - /* 231 */ "Identifier", - /* 232 */ "IdentifierStatement", - /* 233 */ "IdentifierStatementGroup", - /* 234 */ "IdentifierTerm", - /* 235 */ "IdentifierToken", - /* 236 */ "If", - /* 237 */ "IfExpression", - /* 238 */ "IfExpressionList", - /* 239 */ "IfReset", - /* 240 */ "IfResetStatement", - /* 241 */ "IfResetStatementList", - /* 242 */ "IfResetStatementList0", - /* 243 */ "IfResetStatementList0List", - /* 244 */ "IfResetStatementOpt", - /* 245 */ "IfResetStatementOptList", - /* 246 */ "IfResetTerm", - /* 247 */ "IfResetToken", - /* 248 */ "IfStatement", - /* 249 */ "IfStatementList", - /* 250 */ "IfStatementList0", - /* 251 */ "IfStatementList0List", - /* 252 */ "IfStatementOpt", - /* 253 */ "IfStatementOptList", - /* 254 */ "IfTerm", - /* 255 */ "IfToken", - /* 256 */ "Import", - /* 257 */ "ImportDeclaration", - /* 258 */ "ImportDeclarationOpt", - /* 259 */ "ImportTerm", - /* 260 */ "ImportToken", - /* 261 */ "In", - /* 262 */ "InTerm", - /* 263 */ "InToken", - /* 264 */ "Initial", - /* 265 */ "InitialDeclaration", - /* 266 */ "InitialDeclarationList", - /* 267 */ "InitialTerm", - /* 268 */ "InitialToken", - /* 269 */ "Inout", - /* 270 */ "InoutTerm", - /* 271 */ "InoutToken", - /* 272 */ "Input", - /* 273 */ "InputTerm", - /* 274 */ "InputToken", - /* 275 */ "Inside", - /* 276 */ "InsideExpression", - /* 277 */ "InsideTerm", - /* 278 */ "InsideToken", - /* 279 */ "Inst", - /* 280 */ "InstDeclaration", - /* 281 */ "InstDeclarationOpt", - /* 282 */ "InstDeclarationOpt0", - /* 283 */ "InstDeclarationOpt1", - /* 284 */ "InstDeclarationOpt2", - /* 285 */ "InstParameter", - /* 286 */ "InstParameterGroup", - /* 287 */ "InstParameterGroupGroup", - /* 288 */ "InstParameterGroupList", - /* 289 */ "InstParameterItem", - /* 290 */ "InstParameterItemOpt", - /* 291 */ "InstParameterList", - /* 292 */ "InstParameterListList", - /* 293 */ "InstParameterListOpt", - /* 294 */ "InstParameterOpt", - /* 295 */ "InstPortGroup", - /* 296 */ "InstPortGroupGroup", - /* 297 */ "InstPortGroupList", - /* 298 */ "InstPortItem", - /* 299 */ "InstPortItemOpt", - /* 300 */ "InstPortList", - /* 301 */ "InstPortListList", - /* 302 */ "InstPortListOpt", - /* 303 */ "InstTerm", - /* 304 */ "InstToken", - /* 305 */ "IntegralNumber", - /* 306 */ "Interface", - /* 307 */ "InterfaceDeclaration", - /* 308 */ "InterfaceDeclarationList", - /* 309 */ "InterfaceDeclarationOpt", - /* 310 */ "InterfaceDeclarationOpt0", - /* 311 */ "InterfaceForDeclaration", - /* 312 */ "InterfaceForDeclarationOpt", - /* 313 */ "InterfaceGroup", - /* 314 */ "InterfaceGroupGroup", - /* 315 */ "InterfaceGroupGroupList", - /* 316 */ "InterfaceGroupList", - /* 317 */ "InterfaceIfDeclaration", - /* 318 */ "InterfaceIfDeclarationList", - /* 319 */ "InterfaceIfDeclarationOpt", - /* 320 */ "InterfaceItem", - /* 321 */ "InterfaceNamedBlock", - /* 322 */ "InterfaceNamedBlockList", - /* 323 */ "InterfaceOptionalNamedBlock", - /* 324 */ "InterfaceOptionalNamedBlockList", - /* 325 */ "InterfaceOptionalNamedBlockOpt", - /* 326 */ "InterfaceTerm", - /* 327 */ "InterfaceToken", - /* 328 */ "LAngle", - /* 329 */ "LAngleTerm", - /* 330 */ "LAngleToken", - /* 331 */ "LBrace", - /* 332 */ "LBraceTerm", - /* 333 */ "LBraceToken", - /* 334 */ "LBracket", - /* 335 */ "LBracketTerm", - /* 336 */ "LBracketToken", - /* 337 */ "LParen", - /* 338 */ "LParenTerm", - /* 339 */ "LParenToken", - /* 340 */ "Let", - /* 341 */ "LetDeclaration", - /* 342 */ "LetStatement", - /* 343 */ "LetTerm", - /* 344 */ "LetToken", - /* 345 */ "Local", - /* 346 */ "LocalDeclaration", - /* 347 */ "LocalDeclarationGroup", - /* 348 */ "LocalTerm", - /* 349 */ "LocalToken", - /* 350 */ "Logic", - /* 351 */ "LogicTerm", - /* 352 */ "LogicToken", - /* 353 */ "Lsb", - /* 354 */ "LsbTerm", - /* 355 */ "LsbToken", - /* 356 */ "MinusColon", - /* 357 */ "MinusColonTerm", - /* 358 */ "MinusColonToken", - /* 359 */ "MinusGT", - /* 360 */ "MinusGTTerm", - /* 361 */ "MinusGTToken", - /* 362 */ "Modport", - /* 363 */ "ModportDeclaration", - /* 364 */ "ModportGroup", - /* 365 */ "ModportGroupGroup", - /* 366 */ "ModportGroupList", - /* 367 */ "ModportItem", - /* 368 */ "ModportList", - /* 369 */ "ModportListList", - /* 370 */ "ModportListOpt", - /* 371 */ "ModportTerm", - /* 372 */ "ModportToken", - /* 373 */ "Module", - /* 374 */ "ModuleDeclaration", - /* 375 */ "ModuleDeclarationList", - /* 376 */ "ModuleDeclarationOpt", - /* 377 */ "ModuleDeclarationOpt0", - /* 378 */ "ModuleDeclarationOpt1", - /* 379 */ "ModuleForDeclaration", - /* 380 */ "ModuleForDeclarationOpt", - /* 381 */ "ModuleGroup", - /* 382 */ "ModuleGroupGroup", - /* 383 */ "ModuleGroupGroupList", - /* 384 */ "ModuleGroupList", - /* 385 */ "ModuleIfDeclaration", - /* 386 */ "ModuleIfDeclarationList", - /* 387 */ "ModuleIfDeclarationOpt", - /* 388 */ "ModuleItem", - /* 389 */ "ModuleNamedBlock", - /* 390 */ "ModuleNamedBlockList", - /* 391 */ "ModuleOptionalNamedBlock", - /* 392 */ "ModuleOptionalNamedBlockList", - /* 393 */ "ModuleOptionalNamedBlockOpt", - /* 394 */ "ModuleTerm", - /* 395 */ "ModuleToken", - /* 396 */ "Msb", - /* 397 */ "MsbTerm", - /* 398 */ "MsbToken", - /* 399 */ "Negedge", - /* 400 */ "NegedgeTerm", - /* 401 */ "NegedgeToken", - /* 402 */ "Number", - /* 403 */ "Operator01", - /* 404 */ "Operator01Term", - /* 405 */ "Operator01Token", - /* 406 */ "Operator02", - /* 407 */ "Operator02Term", - /* 408 */ "Operator02Token", - /* 409 */ "Operator03", - /* 410 */ "Operator03Term", - /* 411 */ "Operator03Token", - /* 412 */ "Operator04", - /* 413 */ "Operator04Term", - /* 414 */ "Operator04Token", - /* 415 */ "Operator05", - /* 416 */ "Operator05Term", - /* 417 */ "Operator05Token", - /* 418 */ "Operator06", - /* 419 */ "Operator06Term", - /* 420 */ "Operator06Token", - /* 421 */ "Operator07", - /* 422 */ "Operator07Term", - /* 423 */ "Operator07Token", - /* 424 */ "Operator08", - /* 425 */ "Operator08Term", - /* 426 */ "Operator08Token", - /* 427 */ "Operator09", - /* 428 */ "Operator09Term", - /* 429 */ "Operator09Token", - /* 430 */ "Operator10", - /* 431 */ "Operator10Term", - /* 432 */ "Operator10Token", - /* 433 */ "Operator11", - /* 434 */ "Operator11Term", - /* 435 */ "Operator11Token", - /* 436 */ "Output", - /* 437 */ "OutputTerm", - /* 438 */ "OutputToken", - /* 439 */ "Outside", - /* 440 */ "OutsideExpression", - /* 441 */ "OutsideTerm", - /* 442 */ "OutsideToken", - /* 443 */ "Package", - /* 444 */ "PackageDeclaration", - /* 445 */ "PackageDeclarationList", - /* 446 */ "PackageDeclarationOpt", - /* 447 */ "PackageGroup", - /* 448 */ "PackageGroupGroup", - /* 449 */ "PackageGroupGroupList", - /* 450 */ "PackageGroupList", - /* 451 */ "PackageItem", - /* 452 */ "PackageTerm", - /* 453 */ "PackageToken", - /* 454 */ "Param", - /* 455 */ "ParamTerm", - /* 456 */ "ParamToken", - /* 457 */ "PlusColon", - /* 458 */ "PlusColonTerm", - /* 459 */ "PlusColonToken", - /* 460 */ "PortDeclaration", - /* 461 */ "PortDeclarationGroup", - /* 462 */ "PortDeclarationGroupGroup", - /* 463 */ "PortDeclarationGroupList", - /* 464 */ "PortDeclarationItem", - /* 465 */ "PortDeclarationItemGroup", - /* 466 */ "PortDeclarationItemOpt", - /* 467 */ "PortDeclarationList", - /* 468 */ "PortDeclarationListList", - /* 469 */ "PortDeclarationListOpt", - /* 470 */ "PortDeclarationOpt", - /* 471 */ "Posedge", - /* 472 */ "PosedgeTerm", - /* 473 */ "PosedgeToken", - /* 474 */ "Pub", - /* 475 */ "PubTerm", - /* 476 */ "PubToken", - /* 477 */ "RAngle", - /* 478 */ "RAngleTerm", - /* 479 */ "RAngleToken", - /* 480 */ "RBrace", - /* 481 */ "RBraceTerm", - /* 482 */ "RBraceToken", - /* 483 */ "RBracket", - /* 484 */ "RBracketTerm", - /* 485 */ "RBracketToken", - /* 486 */ "RParen", - /* 487 */ "RParenTerm", - /* 488 */ "RParenToken", - /* 489 */ "Range", - /* 490 */ "RangeItem", - /* 491 */ "RangeList", - /* 492 */ "RangeListList", - /* 493 */ "RangeListOpt", - /* 494 */ "RangeOperator", - /* 495 */ "RangeOpt", - /* 496 */ "RealNumber", - /* 497 */ "Ref", - /* 498 */ "RefTerm", - /* 499 */ "RefToken", - /* 500 */ "Repeat", - /* 501 */ "RepeatTerm", - /* 502 */ "RepeatToken", - /* 503 */ "Return", - /* 504 */ "ReturnStatement", - /* 505 */ "ReturnTerm", - /* 506 */ "ReturnToken", - /* 507 */ "ScalarType", - /* 508 */ "ScalarTypeGroup", - /* 509 */ "ScalarTypeList", - /* 510 */ "ScopedIdentifier", - /* 511 */ "ScopedIdentifierList", - /* 512 */ "ScopedIdentifierOpt", - /* 513 */ "Select", - /* 514 */ "SelectOperator", - /* 515 */ "SelectOpt", - /* 516 */ "Semicolon", - /* 517 */ "SemicolonTerm", - /* 518 */ "SemicolonToken", - /* 519 */ "Signed", - /* 520 */ "SignedTerm", - /* 521 */ "SignedToken", - /* 522 */ "Star", - /* 523 */ "StarTerm", - /* 524 */ "StarToken", - /* 525 */ "Start", - /* 526 */ "StartToken", - /* 527 */ "Statement", - /* 528 */ "Step", - /* 529 */ "StepTerm", - /* 530 */ "StepToken", - /* 531 */ "Strin", - /* 532 */ "StringLiteral", - /* 533 */ "StringLiteralTerm", - /* 534 */ "StringLiteralToken", - /* 535 */ "StringTerm", - /* 536 */ "StringToken", - /* 537 */ "Struct", - /* 538 */ "StructTerm", - /* 539 */ "StructToken", - /* 540 */ "StructUnion", - /* 541 */ "StructUnionDeclaration", - /* 542 */ "StructUnionGroup", - /* 543 */ "StructUnionGroupGroup", - /* 544 */ "StructUnionGroupList", - /* 545 */ "StructUnionItem", - /* 546 */ "StructUnionList", - /* 547 */ "StructUnionListList", - /* 548 */ "StructUnionListOpt", - /* 549 */ "SyncHigh", - /* 550 */ "SyncHighTerm", - /* 551 */ "SyncHighToken", - /* 552 */ "SyncLow", - /* 553 */ "SyncLowTerm", - /* 554 */ "SyncLowToken", - /* 555 */ "Tri", - /* 556 */ "TriTerm", - /* 557 */ "TriToken", - /* 558 */ "Type", - /* 559 */ "TypeDefDeclaration", - /* 560 */ "TypeExpression", - /* 561 */ "TypeModifier", - /* 562 */ "TypeTerm", - /* 563 */ "TypeToken", - /* 564 */ "U32", - /* 565 */ "U32Term", - /* 566 */ "U32Token", - /* 567 */ "U64", - /* 568 */ "U64Term", - /* 569 */ "U64Token", - /* 570 */ "UnaryOperator", - /* 571 */ "UnaryOperatorTerm", - /* 572 */ "UnaryOperatorToken", - /* 573 */ "Union", - /* 574 */ "UnionTerm", - /* 575 */ "UnionToken", - /* 576 */ "Var", - /* 577 */ "VarDeclaration", - /* 578 */ "VarTerm", - /* 579 */ "VarToken", - /* 580 */ "VariableType", - /* 581 */ "VariableTypeGroup", - /* 582 */ "VariableTypeOpt", - /* 583 */ "Veryl", - /* 584 */ "VerylList", - /* 585 */ "Width", - /* 586 */ "WidthList", - /* 587 */ "WithParameter", - /* 588 */ "WithParameterGroup", - /* 589 */ "WithParameterGroupGroup", - /* 590 */ "WithParameterGroupList", - /* 591 */ "WithParameterItem", - /* 592 */ "WithParameterItemGroup", - /* 593 */ "WithParameterItemGroup0", - /* 594 */ "WithParameterList", - /* 595 */ "WithParameterListList", - /* 596 */ "WithParameterListOpt", - /* 597 */ "WithParameterOpt", + /* 20 */ "AnyTerm", + /* 21 */ "ArgumentItem", + /* 22 */ "ArgumentList", + /* 23 */ "ArgumentListList", + /* 24 */ "ArgumentListOpt", + /* 25 */ "Array", + /* 26 */ "ArrayList", + /* 27 */ "ArrayType", + /* 28 */ "ArrayTypeOpt", + /* 29 */ "As", + /* 30 */ "AsTerm", + /* 31 */ "AsToken", + /* 32 */ "Assign", + /* 33 */ "AssignDeclaration", + /* 34 */ "AssignTerm", + /* 35 */ "AssignToken", + /* 36 */ "Assignment", + /* 37 */ "AssignmentGroup", + /* 38 */ "AssignmentOperator", + /* 39 */ "AssignmentOperatorTerm", + /* 40 */ "AssignmentOperatorToken", + /* 41 */ "AsyncHigh", + /* 42 */ "AsyncHighTerm", + /* 43 */ "AsyncHighToken", + /* 44 */ "AsyncLow", + /* 45 */ "AsyncLowTerm", + /* 46 */ "AsyncLowToken", + /* 47 */ "Attribute", + /* 48 */ "AttributeItem", + /* 49 */ "AttributeList", + /* 50 */ "AttributeListList", + /* 51 */ "AttributeListOpt", + /* 52 */ "AttributeOpt", + /* 53 */ "BaseLess", + /* 54 */ "BaseLessTerm", + /* 55 */ "BaseLessToken", + /* 56 */ "Based", + /* 57 */ "BasedTerm", + /* 58 */ "BasedToken", + /* 59 */ "Bit", + /* 60 */ "BitTerm", + /* 61 */ "BitToken", + /* 62 */ "Break", + /* 63 */ "BreakStatement", + /* 64 */ "BreakTerm", + /* 65 */ "BreakToken", + /* 66 */ "Case", + /* 67 */ "CaseExpression", + /* 68 */ "CaseExpressionList", + /* 69 */ "CaseExpressionList0", + /* 70 */ "CaseExpressionList0List", + /* 71 */ "CaseExpressionOpt", + /* 72 */ "CaseItem", + /* 73 */ "CaseItemGroup", + /* 74 */ "CaseItemGroup0", + /* 75 */ "CaseItemGroup0List", + /* 76 */ "CaseItemGroupList", + /* 77 */ "CaseStatement", + /* 78 */ "CaseStatementList", + /* 79 */ "CaseTerm", + /* 80 */ "CaseToken", + /* 81 */ "Colon", + /* 82 */ "ColonColon", + /* 83 */ "ColonColonTerm", + /* 84 */ "ColonColonToken", + /* 85 */ "ColonTerm", + /* 86 */ "ColonToken", + /* 87 */ "Comma", + /* 88 */ "CommaTerm", + /* 89 */ "CommaToken", + /* 90 */ "Comments", + /* 91 */ "CommentsOpt", + /* 92 */ "CommentsTerm", + /* 93 */ "ConcatenationItem", + /* 94 */ "ConcatenationItemOpt", + /* 95 */ "ConcatenationList", + /* 96 */ "ConcatenationListList", + /* 97 */ "ConcatenationListOpt", + /* 98 */ "Defaul", + /* 99 */ "DefaultTerm", + /* 100 */ "DefaultToken", + /* 101 */ "DescriptionGroup", + /* 102 */ "DescriptionGroupGroup", + /* 103 */ "DescriptionGroupGroupList", + /* 104 */ "DescriptionGroupList", + /* 105 */ "DescriptionItem", + /* 106 */ "Direction", + /* 107 */ "Dollar", + /* 108 */ "DollarTerm", + /* 109 */ "DollarToken", + /* 110 */ "Dot", + /* 111 */ "DotDot", + /* 112 */ "DotDotEqu", + /* 113 */ "DotDotEquTerm", + /* 114 */ "DotDotEquToken", + /* 115 */ "DotDotTerm", + /* 116 */ "DotDotToken", + /* 117 */ "DotTerm", + /* 118 */ "DotToken", + /* 119 */ "Else", + /* 120 */ "ElseTerm", + /* 121 */ "ElseToken", + /* 122 */ "Embed", + /* 123 */ "EmbedContent", + /* 124 */ "EmbedContentToken", + /* 125 */ "EmbedContentTokenList", + /* 126 */ "EmbedDeclaration", + /* 127 */ "EmbedItem", + /* 128 */ "EmbedItemList", + /* 129 */ "EmbedTerm", + /* 130 */ "EmbedToken", + /* 131 */ "Enum", + /* 132 */ "EnumDeclaration", + /* 133 */ "EnumGroup", + /* 134 */ "EnumGroupGroup", + /* 135 */ "EnumGroupList", + /* 136 */ "EnumItem", + /* 137 */ "EnumItemOpt", + /* 138 */ "EnumList", + /* 139 */ "EnumListList", + /* 140 */ "EnumListOpt", + /* 141 */ "EnumTerm", + /* 142 */ "EnumToken", + /* 143 */ "Equ", + /* 144 */ "EquTerm", + /* 145 */ "EquToken", + /* 146 */ "Exponent", + /* 147 */ "ExponentTerm", + /* 148 */ "ExponentToken", + /* 149 */ "Export", + /* 150 */ "ExportDeclaration", + /* 151 */ "ExportDeclarationGroup", + /* 152 */ "ExportDeclarationOpt", + /* 153 */ "ExportTerm", + /* 154 */ "ExportToken", + /* 155 */ "Expression", + /* 156 */ "Expression01", + /* 157 */ "Expression01List", + /* 158 */ "Expression02", + /* 159 */ "Expression02List", + /* 160 */ "Expression03", + /* 161 */ "Expression03List", + /* 162 */ "Expression04", + /* 163 */ "Expression04List", + /* 164 */ "Expression05", + /* 165 */ "Expression05List", + /* 166 */ "Expression06", + /* 167 */ "Expression06List", + /* 168 */ "Expression07", + /* 169 */ "Expression07List", + /* 170 */ "Expression08", + /* 171 */ "Expression08List", + /* 172 */ "Expression09", + /* 173 */ "Expression09List", + /* 174 */ "Expression09ListGroup", + /* 175 */ "Expression10", + /* 176 */ "Expression10List", + /* 177 */ "Expression11", + /* 178 */ "Expression11List", + /* 179 */ "Expression12", + /* 180 */ "Expression12List", + /* 181 */ "Expression12ListGroup", + /* 182 */ "ExpressionIdentifier", + /* 183 */ "ExpressionIdentifierGroup", + /* 184 */ "ExpressionIdentifierMember", + /* 185 */ "ExpressionIdentifierMemberList", + /* 186 */ "ExpressionIdentifierMemberList0", + /* 187 */ "ExpressionIdentifierMemberList0List", + /* 188 */ "ExpressionIdentifierOpt", + /* 189 */ "ExpressionIdentifierScoped", + /* 190 */ "ExpressionIdentifierScopedList", + /* 191 */ "ExpressionIdentifierScopedList0", + /* 192 */ "ExpressionList", + /* 193 */ "F32", + /* 194 */ "F32Term", + /* 195 */ "F32Token", + /* 196 */ "F64", + /* 197 */ "F64Term", + /* 198 */ "F64Token", + /* 199 */ "Factor", + /* 200 */ "FactorGroup", + /* 201 */ "FactorOpt", + /* 202 */ "Final", + /* 203 */ "FinalDeclaration", + /* 204 */ "FinalDeclarationList", + /* 205 */ "FinalTerm", + /* 206 */ "FinalToken", + /* 207 */ "FixedPoint", + /* 208 */ "FixedPointTerm", + /* 209 */ "FixedPointToken", + /* 210 */ "FixedType", + /* 211 */ "For", + /* 212 */ "ForStatement", + /* 213 */ "ForStatementList", + /* 214 */ "ForStatementOpt", + /* 215 */ "ForTerm", + /* 216 */ "ForToken", + /* 217 */ "Function", + /* 218 */ "FunctionCall", + /* 219 */ "FunctionCallOpt", + /* 220 */ "FunctionDeclaration", + /* 221 */ "FunctionDeclarationList", + /* 222 */ "FunctionDeclarationOpt", + /* 223 */ "FunctionDeclarationOpt0", + /* 224 */ "FunctionDeclarationOpt1", + /* 225 */ "FunctionItem", + /* 226 */ "FunctionTerm", + /* 227 */ "FunctionToken", + /* 228 */ "Hash", + /* 229 */ "HashTerm", + /* 230 */ "HashToken", + /* 231 */ "HierarchicalIdentifier", + /* 232 */ "HierarchicalIdentifierList", + /* 233 */ "HierarchicalIdentifierList0", + /* 234 */ "HierarchicalIdentifierList0List", + /* 235 */ "I32", + /* 236 */ "I32Term", + /* 237 */ "I32Token", + /* 238 */ "I64", + /* 239 */ "I64Term", + /* 240 */ "I64Token", + /* 241 */ "Identifier", + /* 242 */ "IdentifierStatement", + /* 243 */ "IdentifierStatementGroup", + /* 244 */ "IdentifierTerm", + /* 245 */ "IdentifierToken", + /* 246 */ "If", + /* 247 */ "IfExpression", + /* 248 */ "IfExpressionList", + /* 249 */ "IfReset", + /* 250 */ "IfResetStatement", + /* 251 */ "IfResetStatementList", + /* 252 */ "IfResetStatementList0", + /* 253 */ "IfResetStatementList0List", + /* 254 */ "IfResetStatementOpt", + /* 255 */ "IfResetStatementOptList", + /* 256 */ "IfResetTerm", + /* 257 */ "IfResetToken", + /* 258 */ "IfStatement", + /* 259 */ "IfStatementList", + /* 260 */ "IfStatementList0", + /* 261 */ "IfStatementList0List", + /* 262 */ "IfStatementOpt", + /* 263 */ "IfStatementOptList", + /* 264 */ "IfTerm", + /* 265 */ "IfToken", + /* 266 */ "Import", + /* 267 */ "ImportDeclaration", + /* 268 */ "ImportDeclarationOpt", + /* 269 */ "ImportTerm", + /* 270 */ "ImportToken", + /* 271 */ "In", + /* 272 */ "InTerm", + /* 273 */ "InToken", + /* 274 */ "Initial", + /* 275 */ "InitialDeclaration", + /* 276 */ "InitialDeclarationList", + /* 277 */ "InitialTerm", + /* 278 */ "InitialToken", + /* 279 */ "Inout", + /* 280 */ "InoutTerm", + /* 281 */ "InoutToken", + /* 282 */ "Input", + /* 283 */ "InputTerm", + /* 284 */ "InputToken", + /* 285 */ "Inside", + /* 286 */ "InsideExpression", + /* 287 */ "InsideTerm", + /* 288 */ "InsideToken", + /* 289 */ "Inst", + /* 290 */ "InstDeclaration", + /* 291 */ "InstDeclarationOpt", + /* 292 */ "InstDeclarationOpt0", + /* 293 */ "InstDeclarationOpt1", + /* 294 */ "InstDeclarationOpt2", + /* 295 */ "InstParameter", + /* 296 */ "InstParameterGroup", + /* 297 */ "InstParameterGroupGroup", + /* 298 */ "InstParameterGroupList", + /* 299 */ "InstParameterItem", + /* 300 */ "InstParameterItemOpt", + /* 301 */ "InstParameterList", + /* 302 */ "InstParameterListList", + /* 303 */ "InstParameterListOpt", + /* 304 */ "InstParameterOpt", + /* 305 */ "InstPortGroup", + /* 306 */ "InstPortGroupGroup", + /* 307 */ "InstPortGroupList", + /* 308 */ "InstPortItem", + /* 309 */ "InstPortItemOpt", + /* 310 */ "InstPortList", + /* 311 */ "InstPortListList", + /* 312 */ "InstPortListOpt", + /* 313 */ "InstTerm", + /* 314 */ "InstToken", + /* 315 */ "IntegralNumber", + /* 316 */ "Interface", + /* 317 */ "InterfaceDeclaration", + /* 318 */ "InterfaceDeclarationList", + /* 319 */ "InterfaceDeclarationOpt", + /* 320 */ "InterfaceDeclarationOpt0", + /* 321 */ "InterfaceForDeclaration", + /* 322 */ "InterfaceForDeclarationOpt", + /* 323 */ "InterfaceGroup", + /* 324 */ "InterfaceGroupGroup", + /* 325 */ "InterfaceGroupGroupList", + /* 326 */ "InterfaceGroupList", + /* 327 */ "InterfaceIfDeclaration", + /* 328 */ "InterfaceIfDeclarationList", + /* 329 */ "InterfaceIfDeclarationOpt", + /* 330 */ "InterfaceItem", + /* 331 */ "InterfaceNamedBlock", + /* 332 */ "InterfaceNamedBlockList", + /* 333 */ "InterfaceOptionalNamedBlock", + /* 334 */ "InterfaceOptionalNamedBlockList", + /* 335 */ "InterfaceOptionalNamedBlockOpt", + /* 336 */ "InterfaceTerm", + /* 337 */ "InterfaceToken", + /* 338 */ "LAngle", + /* 339 */ "LAngleTerm", + /* 340 */ "LAngleToken", + /* 341 */ "LBrace", + /* 342 */ "LBraceTerm", + /* 343 */ "LBraceToken", + /* 344 */ "LBracket", + /* 345 */ "LBracketTerm", + /* 346 */ "LBracketToken", + /* 347 */ "LParen", + /* 348 */ "LParenTerm", + /* 349 */ "LParenToken", + /* 350 */ "Let", + /* 351 */ "LetDeclaration", + /* 352 */ "LetStatement", + /* 353 */ "LetTerm", + /* 354 */ "LetToken", + /* 355 */ "Local", + /* 356 */ "LocalDeclaration", + /* 357 */ "LocalDeclarationGroup", + /* 358 */ "LocalTerm", + /* 359 */ "LocalToken", + /* 360 */ "Logic", + /* 361 */ "LogicTerm", + /* 362 */ "LogicToken", + /* 363 */ "Lsb", + /* 364 */ "LsbTerm", + /* 365 */ "LsbToken", + /* 366 */ "MinusColon", + /* 367 */ "MinusColonTerm", + /* 368 */ "MinusColonToken", + /* 369 */ "MinusGT", + /* 370 */ "MinusGTTerm", + /* 371 */ "MinusGTToken", + /* 372 */ "Modport", + /* 373 */ "ModportDeclaration", + /* 374 */ "ModportGroup", + /* 375 */ "ModportGroupGroup", + /* 376 */ "ModportGroupList", + /* 377 */ "ModportItem", + /* 378 */ "ModportList", + /* 379 */ "ModportListList", + /* 380 */ "ModportListOpt", + /* 381 */ "ModportTerm", + /* 382 */ "ModportToken", + /* 383 */ "Module", + /* 384 */ "ModuleDeclaration", + /* 385 */ "ModuleDeclarationList", + /* 386 */ "ModuleDeclarationOpt", + /* 387 */ "ModuleDeclarationOpt0", + /* 388 */ "ModuleDeclarationOpt1", + /* 389 */ "ModuleForDeclaration", + /* 390 */ "ModuleForDeclarationOpt", + /* 391 */ "ModuleGroup", + /* 392 */ "ModuleGroupGroup", + /* 393 */ "ModuleGroupGroupList", + /* 394 */ "ModuleGroupList", + /* 395 */ "ModuleIfDeclaration", + /* 396 */ "ModuleIfDeclarationList", + /* 397 */ "ModuleIfDeclarationOpt", + /* 398 */ "ModuleItem", + /* 399 */ "ModuleNamedBlock", + /* 400 */ "ModuleNamedBlockList", + /* 401 */ "ModuleOptionalNamedBlock", + /* 402 */ "ModuleOptionalNamedBlockList", + /* 403 */ "ModuleOptionalNamedBlockOpt", + /* 404 */ "ModuleTerm", + /* 405 */ "ModuleToken", + /* 406 */ "Msb", + /* 407 */ "MsbTerm", + /* 408 */ "MsbToken", + /* 409 */ "Negedge", + /* 410 */ "NegedgeTerm", + /* 411 */ "NegedgeToken", + /* 412 */ "Number", + /* 413 */ "Operator01", + /* 414 */ "Operator01Term", + /* 415 */ "Operator01Token", + /* 416 */ "Operator02", + /* 417 */ "Operator02Term", + /* 418 */ "Operator02Token", + /* 419 */ "Operator03", + /* 420 */ "Operator03Term", + /* 421 */ "Operator03Token", + /* 422 */ "Operator04", + /* 423 */ "Operator04Term", + /* 424 */ "Operator04Token", + /* 425 */ "Operator05", + /* 426 */ "Operator05Term", + /* 427 */ "Operator05Token", + /* 428 */ "Operator06", + /* 429 */ "Operator06Term", + /* 430 */ "Operator06Token", + /* 431 */ "Operator07", + /* 432 */ "Operator07Term", + /* 433 */ "Operator07Token", + /* 434 */ "Operator08", + /* 435 */ "Operator08Term", + /* 436 */ "Operator08Token", + /* 437 */ "Operator09", + /* 438 */ "Operator09Term", + /* 439 */ "Operator09Token", + /* 440 */ "Operator10", + /* 441 */ "Operator10Term", + /* 442 */ "Operator10Token", + /* 443 */ "Operator11", + /* 444 */ "Operator11Term", + /* 445 */ "Operator11Token", + /* 446 */ "Output", + /* 447 */ "OutputTerm", + /* 448 */ "OutputToken", + /* 449 */ "Outside", + /* 450 */ "OutsideExpression", + /* 451 */ "OutsideTerm", + /* 452 */ "OutsideToken", + /* 453 */ "Package", + /* 454 */ "PackageDeclaration", + /* 455 */ "PackageDeclarationList", + /* 456 */ "PackageDeclarationOpt", + /* 457 */ "PackageGroup", + /* 458 */ "PackageGroupGroup", + /* 459 */ "PackageGroupGroupList", + /* 460 */ "PackageGroupList", + /* 461 */ "PackageItem", + /* 462 */ "PackageTerm", + /* 463 */ "PackageToken", + /* 464 */ "Param", + /* 465 */ "ParamTerm", + /* 466 */ "ParamToken", + /* 467 */ "PlusColon", + /* 468 */ "PlusColonTerm", + /* 469 */ "PlusColonToken", + /* 470 */ "PortDeclaration", + /* 471 */ "PortDeclarationGroup", + /* 472 */ "PortDeclarationGroupGroup", + /* 473 */ "PortDeclarationGroupList", + /* 474 */ "PortDeclarationItem", + /* 475 */ "PortDeclarationItemGroup", + /* 476 */ "PortDeclarationItemOpt", + /* 477 */ "PortDeclarationList", + /* 478 */ "PortDeclarationListList", + /* 479 */ "PortDeclarationListOpt", + /* 480 */ "PortDeclarationOpt", + /* 481 */ "Posedge", + /* 482 */ "PosedgeTerm", + /* 483 */ "PosedgeToken", + /* 484 */ "Pub", + /* 485 */ "PubTerm", + /* 486 */ "PubToken", + /* 487 */ "RAngle", + /* 488 */ "RAngleTerm", + /* 489 */ "RAngleToken", + /* 490 */ "RBrace", + /* 491 */ "RBraceTerm", + /* 492 */ "RBraceToken", + /* 493 */ "RBracket", + /* 494 */ "RBracketTerm", + /* 495 */ "RBracketToken", + /* 496 */ "RParen", + /* 497 */ "RParenTerm", + /* 498 */ "RParenToken", + /* 499 */ "Range", + /* 500 */ "RangeItem", + /* 501 */ "RangeList", + /* 502 */ "RangeListList", + /* 503 */ "RangeListOpt", + /* 504 */ "RangeOperator", + /* 505 */ "RangeOpt", + /* 506 */ "RealNumber", + /* 507 */ "Ref", + /* 508 */ "RefTerm", + /* 509 */ "RefToken", + /* 510 */ "Repeat", + /* 511 */ "RepeatTerm", + /* 512 */ "RepeatToken", + /* 513 */ "Return", + /* 514 */ "ReturnStatement", + /* 515 */ "ReturnTerm", + /* 516 */ "ReturnToken", + /* 517 */ "ScalarType", + /* 518 */ "ScalarTypeGroup", + /* 519 */ "ScalarTypeList", + /* 520 */ "ScopedIdentifier", + /* 521 */ "ScopedIdentifierList", + /* 522 */ "ScopedIdentifierOpt", + /* 523 */ "Select", + /* 524 */ "SelectOperator", + /* 525 */ "SelectOpt", + /* 526 */ "Semicolon", + /* 527 */ "SemicolonTerm", + /* 528 */ "SemicolonToken", + /* 529 */ "Signed", + /* 530 */ "SignedTerm", + /* 531 */ "SignedToken", + /* 532 */ "Star", + /* 533 */ "StarTerm", + /* 534 */ "StarToken", + /* 535 */ "Start", + /* 536 */ "StartToken", + /* 537 */ "Statement", + /* 538 */ "Step", + /* 539 */ "StepTerm", + /* 540 */ "StepToken", + /* 541 */ "Strin", + /* 542 */ "StringLiteral", + /* 543 */ "StringLiteralTerm", + /* 544 */ "StringLiteralToken", + /* 545 */ "StringTerm", + /* 546 */ "StringToken", + /* 547 */ "Struct", + /* 548 */ "StructTerm", + /* 549 */ "StructToken", + /* 550 */ "StructUnion", + /* 551 */ "StructUnionDeclaration", + /* 552 */ "StructUnionGroup", + /* 553 */ "StructUnionGroupGroup", + /* 554 */ "StructUnionGroupList", + /* 555 */ "StructUnionItem", + /* 556 */ "StructUnionList", + /* 557 */ "StructUnionListList", + /* 558 */ "StructUnionListOpt", + /* 559 */ "SyncHigh", + /* 560 */ "SyncHighTerm", + /* 561 */ "SyncHighToken", + /* 562 */ "SyncLow", + /* 563 */ "SyncLowTerm", + /* 564 */ "SyncLowToken", + /* 565 */ "Tri", + /* 566 */ "TriTerm", + /* 567 */ "TriToken", + /* 568 */ "Type", + /* 569 */ "TypeDefDeclaration", + /* 570 */ "TypeExpression", + /* 571 */ "TypeModifier", + /* 572 */ "TypeTerm", + /* 573 */ "TypeToken", + /* 574 */ "U32", + /* 575 */ "U32Term", + /* 576 */ "U32Token", + /* 577 */ "U64", + /* 578 */ "U64Term", + /* 579 */ "U64Token", + /* 580 */ "UnaryOperator", + /* 581 */ "UnaryOperatorTerm", + /* 582 */ "UnaryOperatorToken", + /* 583 */ "Union", + /* 584 */ "UnionTerm", + /* 585 */ "UnionToken", + /* 586 */ "Var", + /* 587 */ "VarDeclaration", + /* 588 */ "VarTerm", + /* 589 */ "VarToken", + /* 590 */ "VariableType", + /* 591 */ "VariableTypeGroup", + /* 592 */ "VariableTypeOpt", + /* 593 */ "Veryl", + /* 594 */ "VerylList", + /* 595 */ "Width", + /* 596 */ "WidthList", + /* 597 */ "WithParameter", + /* 598 */ "WithParameterGroup", + /* 599 */ "WithParameterGroupGroup", + /* 600 */ "WithParameterGroupList", + /* 601 */ "WithParameterItem", + /* 602 */ "WithParameterItemGroup", + /* 603 */ "WithParameterItemGroup0", + /* 604 */ "WithParameterList", + /* 605 */ "WithParameterListList", + /* 606 */ "WithParameterListOpt", + /* 607 */ "WithParameterOpt", ]; -pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ +pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 608] = &[ /* 0 - "AllBit" */ LookaheadDFA { - prod0: 213, + prod0: 216, transitions: &[], k: 0, }, @@ -974,19 +1005,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ }, /* 2 - "AllBitToken" */ LookaheadDFA { - prod0: 111, + prod0: 113, transitions: &[], k: 0, }, /* 3 - "AlwaysComb" */ LookaheadDFA { - prod0: 249, + prod0: 252, transitions: &[], k: 0, }, /* 4 - "AlwaysCombDeclaration" */ LookaheadDFA { - prod0: 574, + prod0: 578, transitions: &[], k: 0, }, @@ -994,16 +1025,16 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 575), - Trans(0, 42, 2, 576), - Trans(0, 54, 1, 575), - Trans(0, 62, 1, 575), - Trans(0, 66, 1, 575), - Trans(0, 67, 1, 575), - Trans(0, 76, 1, 575), - Trans(0, 92, 1, 575), - Trans(0, 93, 1, 575), - Trans(0, 106, 1, 575), + Trans(0, 31, 1, 579), + Trans(0, 42, 2, 580), + Trans(0, 54, 1, 579), + Trans(0, 63, 1, 579), + Trans(0, 67, 1, 579), + Trans(0, 68, 1, 579), + Trans(0, 77, 1, 579), + Trans(0, 93, 1, 579), + Trans(0, 94, 1, 579), + Trans(0, 107, 1, 579), ], k: 1, }, @@ -1015,19 +1046,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ }, /* 7 - "AlwaysCombToken" */ LookaheadDFA { - prod0: 147, + prod0: 149, transitions: &[], k: 0, }, /* 8 - "AlwaysFf" */ LookaheadDFA { - prod0: 250, + prod0: 253, transitions: &[], k: 0, }, /* 9 - "AlwaysFfClock" */ LookaheadDFA { - prod0: 562, + prod0: 566, transitions: &[], k: 0, }, @@ -1035,21 +1066,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 83, 1, 563), - Trans(0, 88, 1, 563), - Trans(0, 106, 2, 566), + Trans(0, 84, 1, 567), + Trans(0, 89, 1, 567), + Trans(0, 107, 2, 570), ], k: 1, }, /* 11 - "AlwaysFfClockOptGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 83, 2, 565), Trans(0, 88, 1, 564)], + transitions: &[Trans(0, 84, 2, 569), Trans(0, 89, 1, 568)], k: 1, }, /* 12 - "AlwaysFfDeclaration" */ LookaheadDFA { - prod0: 557, + prod0: 561, transitions: &[], k: 0, }, @@ -1057,28 +1088,28 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 558), - Trans(0, 42, 2, 559), - Trans(0, 54, 1, 558), - Trans(0, 62, 1, 558), - Trans(0, 66, 1, 558), - Trans(0, 67, 1, 558), - Trans(0, 76, 1, 558), - Trans(0, 92, 1, 558), - Trans(0, 93, 1, 558), - Trans(0, 106, 1, 558), + Trans(0, 31, 1, 562), + Trans(0, 42, 2, 563), + Trans(0, 54, 1, 562), + Trans(0, 63, 1, 562), + Trans(0, 67, 1, 562), + Trans(0, 68, 1, 562), + Trans(0, 77, 1, 562), + Trans(0, 93, 1, 562), + Trans(0, 94, 1, 562), + Trans(0, 107, 1, 562), ], k: 1, }, /* 14 - "AlwaysFfDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 560), Trans(0, 44, 2, 561)], + transitions: &[Trans(0, 30, 1, 564), Trans(0, 44, 2, 565)], k: 1, }, /* 15 - "AlwaysFfReset" */ LookaheadDFA { - prod0: 567, + prod0: 571, transitions: &[], k: 0, }, @@ -1086,11 +1117,11 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 50, 1, 568), - Trans(0, 51, 1, 568), - Trans(0, 98, 1, 568), - Trans(0, 99, 1, 568), - Trans(0, 106, 2, 573), + Trans(0, 50, 1, 572), + Trans(0, 51, 1, 572), + Trans(0, 99, 1, 572), + Trans(0, 100, 1, 572), + Trans(0, 107, 2, 577), ], k: 1, }, @@ -1098,10 +1129,10 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 50, 2, 570), - Trans(0, 51, 1, 569), - Trans(0, 98, 4, 572), - Trans(0, 99, 3, 571), + Trans(0, 50, 2, 574), + Trans(0, 51, 1, 573), + Trans(0, 99, 4, 576), + Trans(0, 100, 3, 575), ], k: 1, }, @@ -1113,2881 +1144,3012 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ }, /* 19 - "AlwaysFfToken" */ LookaheadDFA { - prod0: 148, + prod0: 150, + transitions: &[], + k: 0, + }, + /* 20 - "AnyTerm" */ + LookaheadDFA { + prod0: 103, transitions: &[], k: 0, }, - /* 20 - "ArgumentItem" */ + /* 21 - "ArgumentItem" */ LookaheadDFA { - prod0: 413, + prod0: 417, transitions: &[], k: 0, }, - /* 21 - "ArgumentList" */ + /* 22 - "ArgumentList" */ LookaheadDFA { - prod0: 408, + prod0: 412, transitions: &[], k: 0, }, - /* 22 - "ArgumentListList" */ + /* 23 - "ArgumentListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 44, 8, -1), - Trans(1, 5, 2, -1), - Trans(1, 6, 4, -1), - Trans(1, 7, 4, -1), - Trans(1, 8, 4, -1), - Trans(1, 9, 4, -1), - Trans(1, 10, 4, -1), - Trans(1, 11, 4, -1), - Trans(1, 18, 5, -1), - Trans(1, 24, 5, -1), - Trans(1, 25, 5, -1), - Trans(1, 26, 5, -1), - Trans(1, 27, 5, -1), - Trans(1, 31, 6, -1), - Trans(1, 38, 5, -1), - Trans(1, 40, 5, -1), - Trans(1, 44, 9, -1), - Trans(1, 54, 5, -1), - Trans(1, 67, 5, -1), - Trans(1, 72, 5, -1), - Trans(1, 79, 4, -1), - Trans(1, 82, 4, -1), - Trans(1, 85, 5, -1), - Trans(1, 106, 7, -1), - Trans(2, 6, 3, 409), - Trans(2, 7, 3, 409), - Trans(2, 8, 3, 409), - Trans(2, 9, 3, 409), - Trans(2, 10, 3, 409), - Trans(2, 11, 3, 409), - Trans(2, 18, 3, 409), - Trans(2, 24, 3, 409), - Trans(2, 25, 3, 409), - Trans(2, 26, 3, 409), - Trans(2, 27, 3, 409), - Trans(2, 31, 3, 409), - Trans(2, 38, 3, 409), - Trans(2, 40, 3, 409), - Trans(2, 44, 10, 410), - Trans(2, 54, 3, 409), - Trans(2, 67, 3, 409), - Trans(2, 72, 3, 409), - Trans(2, 79, 3, 409), - Trans(2, 82, 3, 409), - Trans(2, 85, 3, 409), - Trans(2, 106, 3, 409), - Trans(4, 5, 3, 409), - Trans(4, 16, 3, 409), - Trans(4, 17, 3, 409), - Trans(4, 18, 3, 409), - Trans(4, 19, 3, 409), - Trans(4, 20, 3, 409), - Trans(4, 21, 3, 409), - Trans(4, 22, 3, 409), - Trans(4, 23, 3, 409), - Trans(4, 24, 3, 409), - Trans(4, 25, 3, 409), - Trans(4, 26, 3, 409), - Trans(4, 30, 3, 409), - Trans(4, 44, 3, 409), - Trans(4, 46, 3, 409), - Trans(4, 52, 3, 409), - Trans(5, 5, 3, 409), - Trans(5, 6, 3, 409), - Trans(5, 7, 3, 409), - Trans(5, 8, 3, 409), - Trans(5, 9, 3, 409), - Trans(5, 10, 3, 409), - Trans(5, 11, 3, 409), - Trans(5, 18, 3, 409), - Trans(5, 24, 3, 409), - Trans(5, 25, 3, 409), - Trans(5, 26, 3, 409), - Trans(5, 27, 3, 409), - Trans(5, 31, 3, 409), - Trans(5, 38, 3, 409), - Trans(5, 40, 3, 409), - Trans(5, 54, 3, 409), - Trans(5, 67, 3, 409), - Trans(5, 72, 3, 409), - Trans(5, 79, 3, 409), - Trans(5, 82, 3, 409), - Trans(5, 85, 3, 409), - Trans(5, 106, 3, 409), - Trans(6, 5, 3, 409), - Trans(6, 106, 3, 409), - Trans(7, 5, 3, 409), - Trans(7, 16, 3, 409), - Trans(7, 17, 3, 409), - Trans(7, 18, 3, 409), - Trans(7, 19, 3, 409), - Trans(7, 20, 3, 409), - Trans(7, 21, 3, 409), - Trans(7, 22, 3, 409), - Trans(7, 23, 3, 409), - Trans(7, 24, 3, 409), - Trans(7, 25, 3, 409), - Trans(7, 26, 3, 409), - Trans(7, 28, 3, 409), - Trans(7, 30, 3, 409), - Trans(7, 34, 3, 409), - Trans(7, 39, 3, 409), - Trans(7, 40, 3, 409), - Trans(7, 44, 3, 409), - Trans(7, 46, 3, 409), - Trans(7, 52, 3, 409), - Trans(8, 5, 11, -1), - Trans(8, 12, 12, -1), - Trans(8, 14, 12, -1), - Trans(8, 16, 12, -1), - Trans(8, 17, 12, -1), - Trans(8, 18, 12, -1), - Trans(8, 19, 12, -1), - Trans(8, 20, 12, -1), - Trans(8, 21, 12, -1), - Trans(8, 22, 12, -1), - Trans(8, 23, 12, -1), - Trans(8, 24, 12, -1), - Trans(8, 25, 12, -1), - Trans(8, 26, 12, -1), - Trans(8, 29, 13, -1), - Trans(8, 30, 14, -1), - Trans(8, 32, 12, -1), - Trans(8, 33, 12, -1), - Trans(8, 38, 15, -1), - Trans(8, 41, 16, -1), - Trans(8, 42, 17, -1), - Trans(8, 43, 18, -1), - Trans(8, 44, 19, -1), - Trans(8, 45, 20, -1), - Trans(8, 46, 12, -1), - Trans(8, 52, 21, -1), - Trans(8, 91, 12, -1), - Trans(8, 95, 22, -1), - Trans(9, 5, 10, 410), - Trans(9, 12, 10, 410), - Trans(9, 14, 10, 410), - Trans(9, 16, 10, 410), - Trans(9, 17, 10, 410), - Trans(9, 18, 10, 410), - Trans(9, 19, 10, 410), - Trans(9, 20, 10, 410), - Trans(9, 21, 10, 410), - Trans(9, 22, 10, 410), - Trans(9, 23, 10, 410), - Trans(9, 24, 10, 410), - Trans(9, 25, 10, 410), - Trans(9, 26, 10, 410), - Trans(9, 29, 10, 410), - Trans(9, 30, 10, 410), - Trans(9, 32, 10, 410), - Trans(9, 33, 10, 410), - Trans(9, 38, 10, 410), - Trans(9, 41, 10, 410), - Trans(9, 42, 10, 410), - Trans(9, 43, 10, 410), - Trans(9, 44, 10, 410), - Trans(9, 45, 10, 410), - Trans(9, 46, 10, 410), - Trans(9, 52, 10, 410), - Trans(9, 91, 10, 410), - Trans(9, 95, 10, 410), - Trans(11, 12, 10, 410), - Trans(11, 14, 10, 410), - Trans(11, 16, 10, 410), - Trans(11, 17, 10, 410), - Trans(11, 18, 10, 410), - Trans(11, 19, 10, 410), - Trans(11, 20, 10, 410), - Trans(11, 21, 10, 410), - Trans(11, 22, 10, 410), - Trans(11, 23, 10, 410), - Trans(11, 24, 10, 410), - Trans(11, 25, 10, 410), - Trans(11, 26, 10, 410), - Trans(11, 29, 10, 410), - Trans(11, 30, 10, 410), - Trans(11, 32, 10, 410), - Trans(11, 33, 10, 410), - Trans(11, 38, 10, 410), - Trans(11, 41, 10, 410), - Trans(11, 42, 10, 410), - Trans(11, 43, 10, 410), - Trans(11, 44, 10, 410), - Trans(11, 45, 10, 410), - Trans(11, 46, 10, 410), - Trans(11, 52, 10, 410), - Trans(11, 91, 10, 410), - Trans(11, 95, 10, 410), - Trans(12, 5, 10, 410), - Trans(12, 6, 10, 410), - Trans(12, 7, 10, 410), - Trans(12, 8, 10, 410), - Trans(12, 9, 10, 410), - Trans(12, 10, 10, 410), - Trans(12, 11, 10, 410), - Trans(12, 18, 10, 410), - Trans(12, 24, 10, 410), - Trans(12, 25, 10, 410), - Trans(12, 26, 10, 410), - Trans(12, 27, 10, 410), - Trans(12, 31, 10, 410), - Trans(12, 38, 10, 410), - Trans(12, 40, 10, 410), - Trans(12, 54, 10, 410), - Trans(12, 67, 10, 410), - Trans(12, 72, 10, 410), - Trans(12, 79, 10, 410), - Trans(12, 82, 10, 410), - Trans(12, 85, 10, 410), - Trans(12, 106, 10, 410), - Trans(13, 5, 10, 410), - Trans(13, 6, 10, 410), - Trans(13, 7, 10, 410), - Trans(13, 8, 10, 410), - Trans(13, 9, 10, 410), - Trans(13, 10, 10, 410), - Trans(13, 11, 10, 410), - Trans(13, 18, 10, 410), - Trans(13, 24, 10, 410), - Trans(13, 25, 10, 410), - Trans(13, 26, 10, 410), - Trans(13, 27, 10, 410), - Trans(13, 31, 10, 410), - Trans(13, 38, 10, 410), - Trans(13, 40, 10, 410), - Trans(13, 54, 10, 410), - Trans(13, 62, 10, 410), - Trans(13, 66, 10, 410), - Trans(13, 67, 10, 410), - Trans(13, 72, 10, 410), - Trans(13, 76, 10, 410), - Trans(13, 79, 10, 410), - Trans(13, 82, 10, 410), - Trans(13, 85, 10, 410), - Trans(13, 92, 10, 410), - Trans(13, 93, 10, 410), - Trans(13, 106, 10, 410), - Trans(14, 5, 10, 410), - Trans(14, 6, 10, 410), - Trans(14, 7, 10, 410), - Trans(14, 8, 10, 410), - Trans(14, 9, 10, 410), - Trans(14, 10, 10, 410), - Trans(14, 11, 10, 410), - Trans(14, 18, 10, 410), - Trans(14, 24, 10, 410), - Trans(14, 25, 10, 410), - Trans(14, 26, 10, 410), - Trans(14, 27, 10, 410), - Trans(14, 31, 10, 410), - Trans(14, 36, 10, 410), - Trans(14, 38, 10, 410), - Trans(14, 40, 10, 410), - Trans(14, 42, 10, 410), - Trans(14, 44, 10, 410), - Trans(14, 54, 10, 410), - Trans(14, 55, 10, 410), - Trans(14, 67, 10, 410), - Trans(14, 72, 10, 410), - Trans(14, 77, 10, 410), - Trans(14, 79, 10, 410), - Trans(14, 82, 10, 410), - Trans(14, 85, 10, 410), - Trans(14, 87, 10, 410), - Trans(14, 106, 10, 410), - Trans(15, 5, 10, 410), - Trans(15, 6, 10, 410), - Trans(15, 7, 10, 410), - Trans(15, 8, 10, 410), - Trans(15, 9, 10, 410), - Trans(15, 10, 10, 410), - Trans(15, 11, 10, 410), - Trans(15, 18, 10, 410), - Trans(15, 24, 10, 410), - Trans(15, 25, 10, 410), - Trans(15, 26, 10, 410), - Trans(15, 27, 10, 410), - Trans(15, 29, 10, 410), - Trans(15, 31, 10, 410), - Trans(15, 36, 10, 410), - Trans(15, 38, 10, 410), - Trans(15, 40, 10, 410), - Trans(15, 42, 10, 410), - Trans(15, 47, 10, 410), - Trans(15, 48, 10, 410), - Trans(15, 49, 10, 410), - Trans(15, 54, 10, 410), - Trans(15, 55, 10, 410), - Trans(15, 57, 10, 410), - Trans(15, 61, 10, 410), - Trans(15, 62, 10, 410), - Trans(15, 63, 10, 410), - Trans(15, 66, 10, 410), - Trans(15, 67, 10, 410), - Trans(15, 68, 10, 410), - Trans(15, 69, 10, 410), - Trans(15, 72, 10, 410), - Trans(15, 73, 10, 410), - Trans(15, 76, 10, 410), - Trans(15, 77, 10, 410), - Trans(15, 79, 10, 410), - Trans(15, 80, 10, 410), - Trans(15, 82, 10, 410), - Trans(15, 85, 10, 410), - Trans(15, 92, 10, 410), - Trans(15, 93, 10, 410), - Trans(15, 97, 10, 410), - Trans(15, 101, 10, 410), - Trans(15, 104, 10, 410), - Trans(15, 105, 10, 410), - Trans(15, 106, 10, 410), - Trans(16, 5, 10, 410), - Trans(16, 30, 10, 410), - Trans(16, 35, 10, 410), - Trans(16, 38, 10, 410), - Trans(16, 39, 10, 410), - Trans(16, 42, 10, 410), - Trans(16, 44, 10, 410), - Trans(16, 45, 10, 410), - Trans(16, 75, 10, 410), - Trans(17, 5, 10, 410), - Trans(17, 12, 10, 410), - Trans(17, 14, 10, 410), - Trans(17, 16, 10, 410), - Trans(17, 17, 10, 410), - Trans(17, 18, 10, 410), - Trans(17, 19, 10, 410), - Trans(17, 20, 10, 410), - Trans(17, 21, 10, 410), - Trans(17, 22, 10, 410), - Trans(17, 23, 10, 410), - Trans(17, 24, 10, 410), - Trans(17, 25, 10, 410), - Trans(17, 26, 10, 410), - Trans(17, 29, 10, 410), - Trans(17, 30, 10, 410), - Trans(17, 32, 10, 410), - Trans(17, 33, 10, 410), - Trans(17, 36, 10, 410), - Trans(17, 38, 10, 410), - Trans(17, 41, 10, 410), - Trans(17, 42, 10, 410), - Trans(17, 43, 10, 410), - Trans(17, 44, 10, 410), - Trans(17, 45, 10, 410), - Trans(17, 46, 10, 410), - Trans(17, 47, 10, 410), - Trans(17, 48, 10, 410), - Trans(17, 49, 10, 410), - Trans(17, 52, 10, 410), - Trans(17, 56, 10, 410), - Trans(17, 57, 10, 410), - Trans(17, 58, 10, 410), - Trans(17, 61, 10, 410), - Trans(17, 62, 10, 410), - Trans(17, 63, 10, 410), - Trans(17, 67, 10, 410), - Trans(17, 68, 10, 410), - Trans(17, 69, 10, 410), - Trans(17, 73, 10, 410), - Trans(17, 76, 10, 410), - Trans(17, 77, 10, 410), - Trans(17, 80, 10, 410), - Trans(17, 91, 10, 410), - Trans(17, 95, 10, 410), - Trans(17, 97, 10, 410), - Trans(17, 101, 10, 410), - Trans(17, 104, 10, 410), - Trans(17, 105, 10, 410), - Trans(18, 5, 10, 410), - Trans(18, 12, 10, 410), - Trans(18, 14, 10, 410), - Trans(18, 15, 10, 410), - Trans(18, 16, 10, 410), - Trans(18, 17, 10, 410), - Trans(18, 18, 10, 410), - Trans(18, 19, 10, 410), - Trans(18, 20, 10, 410), - Trans(18, 21, 10, 410), - Trans(18, 22, 10, 410), - Trans(18, 23, 10, 410), - Trans(18, 24, 10, 410), - Trans(18, 25, 10, 410), - Trans(18, 26, 10, 410), - Trans(18, 29, 10, 410), - Trans(18, 30, 10, 410), - Trans(18, 32, 10, 410), - Trans(18, 33, 10, 410), - Trans(18, 34, 10, 410), - Trans(18, 35, 10, 410), - Trans(18, 36, 10, 410), - Trans(18, 38, 10, 410), - Trans(18, 39, 10, 410), - Trans(18, 40, 10, 410), - Trans(18, 41, 10, 410), - Trans(18, 42, 10, 410), - Trans(18, 43, 10, 410), - Trans(18, 44, 10, 410), - Trans(18, 45, 10, 410), - Trans(18, 46, 10, 410), - Trans(18, 52, 10, 410), - Trans(18, 91, 10, 410), - Trans(18, 95, 10, 410), - Trans(19, 5, 10, 410), - Trans(19, 12, 10, 410), - Trans(19, 13, 10, 410), - Trans(19, 14, 10, 410), - Trans(19, 16, 10, 410), - Trans(19, 17, 10, 410), - Trans(19, 18, 10, 410), - Trans(19, 19, 10, 410), - Trans(19, 20, 10, 410), - Trans(19, 21, 10, 410), - Trans(19, 22, 10, 410), - Trans(19, 23, 10, 410), - Trans(19, 24, 10, 410), - Trans(19, 25, 10, 410), - Trans(19, 26, 10, 410), - Trans(19, 29, 10, 410), - Trans(19, 30, 10, 410), - Trans(19, 32, 10, 410), - Trans(19, 33, 10, 410), - Trans(19, 38, 10, 410), - Trans(19, 40, 10, 410), - Trans(19, 41, 10, 410), - Trans(19, 42, 10, 410), - Trans(19, 43, 10, 410), - Trans(19, 44, 10, 410), - Trans(19, 45, 10, 410), - Trans(19, 46, 10, 410), - Trans(19, 52, 10, 410), - Trans(19, 91, 10, 410), - Trans(19, 95, 10, 410), - Trans(20, 5, 10, 410), - Trans(20, 6, 10, 410), - Trans(20, 7, 10, 410), - Trans(20, 8, 10, 410), - Trans(20, 9, 10, 410), - Trans(20, 10, 10, 410), - Trans(20, 11, 10, 410), - Trans(20, 18, 10, 410), - Trans(20, 24, 10, 410), - Trans(20, 25, 10, 410), - Trans(20, 26, 10, 410), - Trans(20, 27, 10, 410), - Trans(20, 29, 10, 410), - Trans(20, 31, 10, 410), - Trans(20, 36, 10, 410), - Trans(20, 38, 10, 410), - Trans(20, 40, 10, 410), - Trans(20, 42, 10, 410), - Trans(20, 47, 10, 410), - Trans(20, 48, 10, 410), - Trans(20, 49, 10, 410), - Trans(20, 54, 10, 410), - Trans(20, 55, 10, 410), - Trans(20, 57, 10, 410), - Trans(20, 58, 10, 410), - Trans(20, 61, 10, 410), - Trans(20, 62, 10, 410), - Trans(20, 63, 10, 410), - Trans(20, 66, 10, 410), - Trans(20, 67, 10, 410), - Trans(20, 68, 10, 410), - Trans(20, 69, 10, 410), - Trans(20, 72, 10, 410), - Trans(20, 73, 10, 410), - Trans(20, 76, 10, 410), - Trans(20, 77, 10, 410), - Trans(20, 79, 10, 410), - Trans(20, 80, 10, 410), - Trans(20, 82, 10, 410), - Trans(20, 85, 10, 410), - Trans(20, 92, 10, 410), - Trans(20, 93, 10, 410), - Trans(20, 97, 10, 410), - Trans(20, 101, 10, 410), - Trans(20, 104, 10, 410), - Trans(20, 105, 10, 410), - Trans(20, 106, 10, 410), - Trans(21, 5, 10, 410), - Trans(21, 31, 10, 410), - Trans(21, 106, 10, 410), - Trans(22, 5, 10, 410), - Trans(22, 6, 10, 410), - Trans(22, 7, 10, 410), - Trans(22, 8, 10, 410), - Trans(22, 9, 10, 410), - Trans(22, 10, 10, 410), - Trans(22, 11, 10, 410), - Trans(22, 15, 10, 410), - Trans(22, 18, 10, 410), - Trans(22, 24, 10, 410), - Trans(22, 25, 10, 410), - Trans(22, 26, 10, 410), - Trans(22, 27, 10, 410), - Trans(22, 31, 10, 410), - Trans(22, 38, 10, 410), - Trans(22, 40, 10, 410), - Trans(22, 54, 10, 410), - Trans(22, 67, 10, 410), - Trans(22, 72, 10, 410), - Trans(22, 79, 10, 410), - Trans(22, 82, 10, 410), - Trans(22, 85, 10, 410), - Trans(22, 106, 10, 410), + Trans(1, 5, 7, -1), + Trans(1, 6, 2, -1), + Trans(1, 7, 2, -1), + Trans(1, 8, 2, -1), + Trans(1, 9, 2, -1), + Trans(1, 10, 2, -1), + Trans(1, 11, 2, -1), + Trans(1, 18, 4, -1), + Trans(1, 24, 4, -1), + Trans(1, 25, 4, -1), + Trans(1, 26, 4, -1), + Trans(1, 27, 4, -1), + Trans(1, 31, 5, -1), + Trans(1, 38, 4, -1), + Trans(1, 40, 4, -1), + Trans(1, 44, 22, -1), + Trans(1, 54, 4, -1), + Trans(1, 68, 4, -1), + Trans(1, 73, 4, -1), + Trans(1, 80, 2, -1), + Trans(1, 83, 2, -1), + Trans(1, 86, 4, -1), + Trans(1, 107, 6, -1), + Trans(2, 5, 3, 413), + Trans(2, 16, 3, 413), + Trans(2, 17, 3, 413), + Trans(2, 18, 3, 413), + Trans(2, 19, 3, 413), + Trans(2, 20, 3, 413), + Trans(2, 21, 3, 413), + Trans(2, 22, 3, 413), + Trans(2, 23, 3, 413), + Trans(2, 24, 3, 413), + Trans(2, 25, 3, 413), + Trans(2, 26, 3, 413), + Trans(2, 30, 3, 413), + Trans(2, 44, 3, 413), + Trans(2, 46, 3, 413), + Trans(2, 52, 3, 413), + Trans(4, 5, 3, 413), + Trans(4, 6, 3, 413), + Trans(4, 7, 3, 413), + Trans(4, 8, 3, 413), + Trans(4, 9, 3, 413), + Trans(4, 10, 3, 413), + Trans(4, 11, 3, 413), + Trans(4, 18, 3, 413), + Trans(4, 24, 3, 413), + Trans(4, 25, 3, 413), + Trans(4, 26, 3, 413), + Trans(4, 27, 3, 413), + Trans(4, 31, 3, 413), + Trans(4, 38, 3, 413), + Trans(4, 40, 3, 413), + Trans(4, 54, 3, 413), + Trans(4, 68, 3, 413), + Trans(4, 73, 3, 413), + Trans(4, 80, 3, 413), + Trans(4, 83, 3, 413), + Trans(4, 86, 3, 413), + Trans(4, 107, 3, 413), + Trans(5, 5, 3, 413), + Trans(5, 107, 3, 413), + Trans(6, 5, 3, 413), + Trans(6, 16, 3, 413), + Trans(6, 17, 3, 413), + Trans(6, 18, 3, 413), + Trans(6, 19, 3, 413), + Trans(6, 20, 3, 413), + Trans(6, 21, 3, 413), + Trans(6, 22, 3, 413), + Trans(6, 23, 3, 413), + Trans(6, 24, 3, 413), + Trans(6, 25, 3, 413), + Trans(6, 26, 3, 413), + Trans(6, 28, 3, 413), + Trans(6, 30, 3, 413), + Trans(6, 34, 3, 413), + Trans(6, 39, 3, 413), + Trans(6, 40, 3, 413), + Trans(6, 44, 3, 413), + Trans(6, 46, 3, 413), + Trans(6, 52, 3, 413), + Trans(7, 6, 3, 413), + Trans(7, 7, 3, 413), + Trans(7, 8, 3, 413), + Trans(7, 9, 3, 413), + Trans(7, 10, 3, 413), + Trans(7, 11, 3, 413), + Trans(7, 18, 3, 413), + Trans(7, 24, 3, 413), + Trans(7, 25, 3, 413), + Trans(7, 26, 3, 413), + Trans(7, 27, 3, 413), + Trans(7, 31, 3, 413), + Trans(7, 38, 3, 413), + Trans(7, 40, 3, 413), + Trans(7, 44, 21, 414), + Trans(7, 54, 3, 413), + Trans(7, 68, 3, 413), + Trans(7, 73, 3, 413), + Trans(7, 80, 3, 413), + Trans(7, 83, 3, 413), + Trans(7, 86, 3, 413), + Trans(7, 107, 3, 413), + Trans(8, 5, 9, -1), + Trans(8, 12, 10, -1), + Trans(8, 14, 10, -1), + Trans(8, 16, 10, -1), + Trans(8, 17, 10, -1), + Trans(8, 18, 10, -1), + Trans(8, 19, 10, -1), + Trans(8, 20, 10, -1), + Trans(8, 21, 10, -1), + Trans(8, 22, 10, -1), + Trans(8, 23, 10, -1), + Trans(8, 24, 10, -1), + Trans(8, 25, 10, -1), + Trans(8, 26, 10, -1), + Trans(8, 29, 11, -1), + Trans(8, 30, 12, -1), + Trans(8, 32, 10, -1), + Trans(8, 33, 10, -1), + Trans(8, 38, 13, -1), + Trans(8, 41, 14, -1), + Trans(8, 42, 15, -1), + Trans(8, 43, 16, -1), + Trans(8, 44, 17, -1), + Trans(8, 45, 18, -1), + Trans(8, 46, 10, -1), + Trans(8, 52, 19, -1), + Trans(8, 92, 10, -1), + Trans(8, 96, 20, -1), + Trans(9, 12, 21, 414), + Trans(9, 14, 21, 414), + Trans(9, 16, 21, 414), + Trans(9, 17, 21, 414), + Trans(9, 18, 21, 414), + Trans(9, 19, 21, 414), + Trans(9, 20, 21, 414), + Trans(9, 21, 21, 414), + Trans(9, 22, 21, 414), + Trans(9, 23, 21, 414), + Trans(9, 24, 21, 414), + Trans(9, 25, 21, 414), + Trans(9, 26, 21, 414), + Trans(9, 29, 21, 414), + Trans(9, 30, 21, 414), + Trans(9, 32, 21, 414), + Trans(9, 33, 21, 414), + Trans(9, 38, 21, 414), + Trans(9, 41, 21, 414), + Trans(9, 42, 21, 414), + Trans(9, 43, 21, 414), + Trans(9, 44, 21, 414), + Trans(9, 45, 21, 414), + Trans(9, 46, 21, 414), + Trans(9, 52, 21, 414), + Trans(9, 92, 21, 414), + Trans(9, 96, 21, 414), + Trans(10, 5, 21, 414), + Trans(10, 6, 21, 414), + Trans(10, 7, 21, 414), + Trans(10, 8, 21, 414), + Trans(10, 9, 21, 414), + Trans(10, 10, 21, 414), + Trans(10, 11, 21, 414), + Trans(10, 18, 21, 414), + Trans(10, 24, 21, 414), + Trans(10, 25, 21, 414), + Trans(10, 26, 21, 414), + Trans(10, 27, 21, 414), + Trans(10, 31, 21, 414), + Trans(10, 38, 21, 414), + Trans(10, 40, 21, 414), + Trans(10, 54, 21, 414), + Trans(10, 68, 21, 414), + Trans(10, 73, 21, 414), + Trans(10, 80, 21, 414), + Trans(10, 83, 21, 414), + Trans(10, 86, 21, 414), + Trans(10, 107, 21, 414), + Trans(11, 5, 21, 414), + Trans(11, 6, 21, 414), + Trans(11, 7, 21, 414), + Trans(11, 8, 21, 414), + Trans(11, 9, 21, 414), + Trans(11, 10, 21, 414), + Trans(11, 11, 21, 414), + Trans(11, 18, 21, 414), + Trans(11, 24, 21, 414), + Trans(11, 25, 21, 414), + Trans(11, 26, 21, 414), + Trans(11, 27, 21, 414), + Trans(11, 31, 21, 414), + Trans(11, 38, 21, 414), + Trans(11, 40, 21, 414), + Trans(11, 54, 21, 414), + Trans(11, 63, 21, 414), + Trans(11, 67, 21, 414), + Trans(11, 68, 21, 414), + Trans(11, 73, 21, 414), + Trans(11, 77, 21, 414), + Trans(11, 80, 21, 414), + Trans(11, 83, 21, 414), + Trans(11, 86, 21, 414), + Trans(11, 93, 21, 414), + Trans(11, 94, 21, 414), + Trans(11, 107, 21, 414), + Trans(12, 5, 21, 414), + Trans(12, 6, 21, 414), + Trans(12, 7, 21, 414), + Trans(12, 8, 21, 414), + Trans(12, 9, 21, 414), + Trans(12, 10, 21, 414), + Trans(12, 11, 21, 414), + Trans(12, 18, 21, 414), + Trans(12, 24, 21, 414), + Trans(12, 25, 21, 414), + Trans(12, 26, 21, 414), + Trans(12, 27, 21, 414), + Trans(12, 31, 21, 414), + Trans(12, 36, 21, 414), + Trans(12, 38, 21, 414), + Trans(12, 40, 21, 414), + Trans(12, 42, 21, 414), + Trans(12, 44, 21, 414), + Trans(12, 54, 21, 414), + Trans(12, 55, 21, 414), + Trans(12, 68, 21, 414), + Trans(12, 73, 21, 414), + Trans(12, 78, 21, 414), + Trans(12, 80, 21, 414), + Trans(12, 83, 21, 414), + Trans(12, 86, 21, 414), + Trans(12, 88, 21, 414), + Trans(12, 107, 21, 414), + Trans(13, 5, 21, 414), + Trans(13, 6, 21, 414), + Trans(13, 7, 21, 414), + Trans(13, 8, 21, 414), + Trans(13, 9, 21, 414), + Trans(13, 10, 21, 414), + Trans(13, 11, 21, 414), + Trans(13, 18, 21, 414), + Trans(13, 24, 21, 414), + Trans(13, 25, 21, 414), + Trans(13, 26, 21, 414), + Trans(13, 27, 21, 414), + Trans(13, 29, 21, 414), + Trans(13, 31, 21, 414), + Trans(13, 36, 21, 414), + Trans(13, 38, 21, 414), + Trans(13, 40, 21, 414), + Trans(13, 42, 21, 414), + Trans(13, 47, 21, 414), + Trans(13, 48, 21, 414), + Trans(13, 49, 21, 414), + Trans(13, 54, 21, 414), + Trans(13, 55, 21, 414), + Trans(13, 58, 21, 414), + Trans(13, 62, 21, 414), + Trans(13, 63, 21, 414), + Trans(13, 64, 21, 414), + Trans(13, 67, 21, 414), + Trans(13, 68, 21, 414), + Trans(13, 69, 21, 414), + Trans(13, 70, 21, 414), + Trans(13, 73, 21, 414), + Trans(13, 74, 21, 414), + Trans(13, 77, 21, 414), + Trans(13, 78, 21, 414), + Trans(13, 80, 21, 414), + Trans(13, 81, 21, 414), + Trans(13, 83, 21, 414), + Trans(13, 86, 21, 414), + Trans(13, 93, 21, 414), + Trans(13, 94, 21, 414), + Trans(13, 98, 21, 414), + Trans(13, 102, 21, 414), + Trans(13, 105, 21, 414), + Trans(13, 106, 21, 414), + Trans(13, 107, 21, 414), + Trans(14, 5, 21, 414), + Trans(14, 30, 21, 414), + Trans(14, 35, 21, 414), + Trans(14, 38, 21, 414), + Trans(14, 39, 21, 414), + Trans(14, 42, 21, 414), + Trans(14, 44, 21, 414), + Trans(14, 45, 21, 414), + Trans(14, 76, 21, 414), + Trans(15, 5, 21, 414), + Trans(15, 12, 21, 414), + Trans(15, 14, 21, 414), + Trans(15, 16, 21, 414), + Trans(15, 17, 21, 414), + Trans(15, 18, 21, 414), + Trans(15, 19, 21, 414), + Trans(15, 20, 21, 414), + Trans(15, 21, 21, 414), + Trans(15, 22, 21, 414), + Trans(15, 23, 21, 414), + Trans(15, 24, 21, 414), + Trans(15, 25, 21, 414), + Trans(15, 26, 21, 414), + Trans(15, 29, 21, 414), + Trans(15, 30, 21, 414), + Trans(15, 32, 21, 414), + Trans(15, 33, 21, 414), + Trans(15, 36, 21, 414), + Trans(15, 38, 21, 414), + Trans(15, 41, 21, 414), + Trans(15, 42, 21, 414), + Trans(15, 43, 21, 414), + Trans(15, 44, 21, 414), + Trans(15, 45, 21, 414), + Trans(15, 46, 21, 414), + Trans(15, 47, 21, 414), + Trans(15, 48, 21, 414), + Trans(15, 49, 21, 414), + Trans(15, 52, 21, 414), + Trans(15, 56, 21, 414), + Trans(15, 58, 21, 414), + Trans(15, 59, 21, 414), + Trans(15, 62, 21, 414), + Trans(15, 63, 21, 414), + Trans(15, 64, 21, 414), + Trans(15, 68, 21, 414), + Trans(15, 69, 21, 414), + Trans(15, 70, 21, 414), + Trans(15, 74, 21, 414), + Trans(15, 77, 21, 414), + Trans(15, 78, 21, 414), + Trans(15, 81, 21, 414), + Trans(15, 92, 21, 414), + Trans(15, 96, 21, 414), + Trans(15, 98, 21, 414), + Trans(15, 102, 21, 414), + Trans(15, 105, 21, 414), + Trans(15, 106, 21, 414), + Trans(16, 5, 21, 414), + Trans(16, 12, 21, 414), + Trans(16, 14, 21, 414), + Trans(16, 15, 21, 414), + Trans(16, 16, 21, 414), + Trans(16, 17, 21, 414), + Trans(16, 18, 21, 414), + Trans(16, 19, 21, 414), + Trans(16, 20, 21, 414), + Trans(16, 21, 21, 414), + Trans(16, 22, 21, 414), + Trans(16, 23, 21, 414), + Trans(16, 24, 21, 414), + Trans(16, 25, 21, 414), + Trans(16, 26, 21, 414), + Trans(16, 29, 21, 414), + Trans(16, 30, 21, 414), + Trans(16, 32, 21, 414), + Trans(16, 33, 21, 414), + Trans(16, 34, 21, 414), + Trans(16, 35, 21, 414), + Trans(16, 36, 21, 414), + Trans(16, 38, 21, 414), + Trans(16, 39, 21, 414), + Trans(16, 40, 21, 414), + Trans(16, 41, 21, 414), + Trans(16, 42, 21, 414), + Trans(16, 43, 21, 414), + Trans(16, 44, 21, 414), + Trans(16, 45, 21, 414), + Trans(16, 46, 21, 414), + Trans(16, 52, 21, 414), + Trans(16, 92, 21, 414), + Trans(16, 96, 21, 414), + Trans(17, 5, 21, 414), + Trans(17, 12, 21, 414), + Trans(17, 13, 21, 414), + Trans(17, 14, 21, 414), + Trans(17, 16, 21, 414), + Trans(17, 17, 21, 414), + Trans(17, 18, 21, 414), + Trans(17, 19, 21, 414), + Trans(17, 20, 21, 414), + Trans(17, 21, 21, 414), + Trans(17, 22, 21, 414), + Trans(17, 23, 21, 414), + Trans(17, 24, 21, 414), + Trans(17, 25, 21, 414), + Trans(17, 26, 21, 414), + Trans(17, 29, 21, 414), + Trans(17, 30, 21, 414), + Trans(17, 32, 21, 414), + Trans(17, 33, 21, 414), + Trans(17, 38, 21, 414), + Trans(17, 40, 21, 414), + Trans(17, 41, 21, 414), + Trans(17, 42, 21, 414), + Trans(17, 43, 21, 414), + Trans(17, 44, 21, 414), + Trans(17, 45, 21, 414), + Trans(17, 46, 21, 414), + Trans(17, 52, 21, 414), + Trans(17, 92, 21, 414), + Trans(17, 96, 21, 414), + Trans(18, 5, 21, 414), + Trans(18, 6, 21, 414), + Trans(18, 7, 21, 414), + Trans(18, 8, 21, 414), + Trans(18, 9, 21, 414), + Trans(18, 10, 21, 414), + Trans(18, 11, 21, 414), + Trans(18, 18, 21, 414), + Trans(18, 24, 21, 414), + Trans(18, 25, 21, 414), + Trans(18, 26, 21, 414), + Trans(18, 27, 21, 414), + Trans(18, 29, 21, 414), + Trans(18, 31, 21, 414), + Trans(18, 36, 21, 414), + Trans(18, 38, 21, 414), + Trans(18, 40, 21, 414), + Trans(18, 42, 21, 414), + Trans(18, 47, 21, 414), + Trans(18, 48, 21, 414), + Trans(18, 49, 21, 414), + Trans(18, 54, 21, 414), + Trans(18, 55, 21, 414), + Trans(18, 58, 21, 414), + Trans(18, 59, 21, 414), + Trans(18, 62, 21, 414), + Trans(18, 63, 21, 414), + Trans(18, 64, 21, 414), + Trans(18, 67, 21, 414), + Trans(18, 68, 21, 414), + Trans(18, 69, 21, 414), + Trans(18, 70, 21, 414), + Trans(18, 73, 21, 414), + Trans(18, 74, 21, 414), + Trans(18, 77, 21, 414), + Trans(18, 78, 21, 414), + Trans(18, 80, 21, 414), + Trans(18, 81, 21, 414), + Trans(18, 83, 21, 414), + Trans(18, 86, 21, 414), + Trans(18, 93, 21, 414), + Trans(18, 94, 21, 414), + Trans(18, 98, 21, 414), + Trans(18, 102, 21, 414), + Trans(18, 105, 21, 414), + Trans(18, 106, 21, 414), + Trans(18, 107, 21, 414), + Trans(19, 5, 21, 414), + Trans(19, 31, 21, 414), + Trans(19, 107, 21, 414), + Trans(20, 5, 21, 414), + Trans(20, 6, 21, 414), + Trans(20, 7, 21, 414), + Trans(20, 8, 21, 414), + Trans(20, 9, 21, 414), + Trans(20, 10, 21, 414), + Trans(20, 11, 21, 414), + Trans(20, 15, 21, 414), + Trans(20, 18, 21, 414), + Trans(20, 24, 21, 414), + Trans(20, 25, 21, 414), + Trans(20, 26, 21, 414), + Trans(20, 27, 21, 414), + Trans(20, 31, 21, 414), + Trans(20, 38, 21, 414), + Trans(20, 40, 21, 414), + Trans(20, 54, 21, 414), + Trans(20, 68, 21, 414), + Trans(20, 73, 21, 414), + Trans(20, 80, 21, 414), + Trans(20, 83, 21, 414), + Trans(20, 86, 21, 414), + Trans(20, 107, 21, 414), + Trans(22, 5, 21, 414), + Trans(22, 12, 21, 414), + Trans(22, 14, 21, 414), + Trans(22, 16, 21, 414), + Trans(22, 17, 21, 414), + Trans(22, 18, 21, 414), + Trans(22, 19, 21, 414), + Trans(22, 20, 21, 414), + Trans(22, 21, 21, 414), + Trans(22, 22, 21, 414), + Trans(22, 23, 21, 414), + Trans(22, 24, 21, 414), + Trans(22, 25, 21, 414), + Trans(22, 26, 21, 414), + Trans(22, 29, 21, 414), + Trans(22, 30, 21, 414), + Trans(22, 32, 21, 414), + Trans(22, 33, 21, 414), + Trans(22, 38, 21, 414), + Trans(22, 41, 21, 414), + Trans(22, 42, 21, 414), + Trans(22, 43, 21, 414), + Trans(22, 44, 21, 414), + Trans(22, 45, 21, 414), + Trans(22, 46, 21, 414), + Trans(22, 52, 21, 414), + Trans(22, 92, 21, 414), + Trans(22, 96, 21, 414), ], k: 3, }, - /* 23 - "ArgumentListOpt" */ + /* 24 - "ArgumentListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 411), Trans(0, 44, 2, 412)], + transitions: &[Trans(0, 30, 1, 415), Trans(0, 44, 2, 416)], k: 1, }, - /* 24 - "Array" */ + /* 25 - "Array" */ LookaheadDFA { - prod0: 454, + prod0: 458, transitions: &[], k: 0, }, - /* 25 - "ArrayList" */ + /* 26 - "ArrayList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 455), Trans(0, 43, 2, 456)], + transitions: &[Trans(0, 30, 1, 459), Trans(0, 43, 2, 460)], k: 1, }, - /* 26 - "ArrayType" */ + /* 27 - "ArrayType" */ LookaheadDFA { - prod0: 482, + prod0: 486, transitions: &[], k: 0, }, - /* 27 - "ArrayTypeOpt" */ + /* 28 - "ArrayTypeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 484), - Trans(0, 35, 2, 484), - Trans(0, 39, 1, 483), - Trans(0, 42, 2, 484), - Trans(0, 44, 2, 484), - Trans(0, 45, 2, 484), + Trans(0, 30, 2, 488), + Trans(0, 35, 2, 488), + Trans(0, 39, 1, 487), + Trans(0, 42, 2, 488), + Trans(0, 44, 2, 488), + Trans(0, 45, 2, 488), ], k: 1, }, - /* 28 - "As" */ + /* 29 - "As" */ LookaheadDFA { - prod0: 251, + prod0: 254, transitions: &[], k: 0, }, - /* 29 - "AsTerm" */ + /* 30 - "AsTerm" */ LookaheadDFA { prod0: 47, transitions: &[], k: 0, }, - /* 30 - "AsToken" */ + /* 31 - "AsToken" */ LookaheadDFA { - prod0: 149, + prod0: 151, transitions: &[], k: 0, }, - /* 31 - "Assign" */ + /* 32 - "Assign" */ LookaheadDFA { - prod0: 252, + prod0: 255, transitions: &[], k: 0, }, - /* 32 - "AssignDeclaration" */ + /* 33 - "AssignDeclaration" */ LookaheadDFA { - prod0: 577, + prod0: 581, transitions: &[], k: 0, }, - /* 33 - "AssignTerm" */ + /* 34 - "AssignTerm" */ LookaheadDFA { prod0: 44, transitions: &[], k: 0, }, - /* 34 - "AssignToken" */ + /* 35 - "AssignToken" */ LookaheadDFA { - prod0: 150, + prod0: 152, transitions: &[], k: 0, }, - /* 35 - "Assignment" */ + /* 36 - "Assignment" */ LookaheadDFA { - prod0: 497, + prod0: 501, transitions: &[], k: 0, }, - /* 36 - "AssignmentGroup" */ + /* 37 - "AssignmentGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 15, 2, 499), Trans(0, 35, 1, 498)], + transitions: &[Trans(0, 15, 2, 503), Trans(0, 35, 1, 502)], k: 1, }, - /* 37 - "AssignmentOperator" */ + /* 38 - "AssignmentOperator" */ LookaheadDFA { - prod0: 214, + prod0: 217, transitions: &[], k: 0, }, - /* 38 - "AssignmentOperatorTerm" */ + /* 39 - "AssignmentOperatorTerm" */ LookaheadDFA { prod0: 10, transitions: &[], k: 0, }, - /* 39 - "AssignmentOperatorToken" */ + /* 40 - "AssignmentOperatorToken" */ LookaheadDFA { - prod0: 112, + prod0: 114, transitions: &[], k: 0, }, - /* 40 - "AsyncHigh" */ + /* 41 - "AsyncHigh" */ LookaheadDFA { - prod0: 253, + prod0: 256, transitions: &[], k: 0, }, - /* 41 - "AsyncHighTerm" */ + /* 42 - "AsyncHighTerm" */ LookaheadDFA { prod0: 45, transitions: &[], k: 0, }, - /* 42 - "AsyncHighToken" */ + /* 43 - "AsyncHighToken" */ LookaheadDFA { - prod0: 151, + prod0: 153, transitions: &[], k: 0, }, - /* 43 - "AsyncLow" */ + /* 44 - "AsyncLow" */ LookaheadDFA { - prod0: 254, + prod0: 257, transitions: &[], k: 0, }, - /* 44 - "AsyncLowTerm" */ + /* 45 - "AsyncLowTerm" */ LookaheadDFA { prod0: 46, transitions: &[], k: 0, }, - /* 45 - "AsyncLowToken" */ + /* 46 - "AsyncLowToken" */ LookaheadDFA { - prod0: 152, + prod0: 154, transitions: &[], k: 0, }, - /* 46 - "Attribute" */ + /* 47 - "Attribute" */ LookaheadDFA { - prod0: 541, + prod0: 545, transitions: &[], k: 0, }, - /* 47 - "AttributeItem" */ + /* 48 - "AttributeItem" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 6, 2, 550), Trans(0, 106, 1, 549)], + transitions: &[Trans(0, 6, 2, 554), Trans(0, 107, 1, 553)], k: 1, }, - /* 48 - "AttributeList" */ + /* 49 - "AttributeList" */ LookaheadDFA { - prod0: 544, + prod0: 548, transitions: &[], k: 0, }, - /* 49 - "AttributeListList" */ + /* 50 - "AttributeListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 44, 5, -1), - Trans(1, 5, 2, -1), - Trans(1, 6, 4, -1), - Trans(1, 44, 6, -1), - Trans(1, 106, 4, -1), - Trans(2, 6, 3, 545), - Trans(2, 44, 7, 546), - Trans(2, 106, 3, 545), - Trans(4, 5, 3, 545), - Trans(4, 30, 3, 545), - Trans(4, 44, 3, 545), - Trans(5, 5, 8, -1), - Trans(5, 43, 9, -1), - Trans(6, 5, 7, 546), - Trans(6, 43, 7, 546), - Trans(8, 43, 7, 546), - Trans(9, 5, 7, 546), - Trans(9, 29, 7, 546), - Trans(9, 36, 7, 546), - Trans(9, 38, 7, 546), - Trans(9, 47, 7, 546), - Trans(9, 48, 7, 546), - Trans(9, 49, 7, 546), - Trans(9, 57, 7, 546), - Trans(9, 58, 7, 546), - Trans(9, 61, 7, 546), - Trans(9, 62, 7, 546), - Trans(9, 63, 7, 546), - Trans(9, 67, 7, 546), - Trans(9, 68, 7, 546), - Trans(9, 69, 7, 546), - Trans(9, 73, 7, 546), - Trans(9, 74, 7, 546), - Trans(9, 76, 7, 546), - Trans(9, 77, 7, 546), - Trans(9, 80, 7, 546), - Trans(9, 81, 7, 546), - Trans(9, 86, 7, 546), - Trans(9, 87, 7, 546), - Trans(9, 89, 7, 546), - Trans(9, 97, 7, 546), - Trans(9, 101, 7, 546), - Trans(9, 104, 7, 546), - Trans(9, 105, 7, 546), - Trans(9, 106, 7, 546), + Trans(1, 5, 4, -1), + Trans(1, 6, 2, -1), + Trans(1, 44, 9, -1), + Trans(1, 107, 2, -1), + Trans(2, 5, 3, 549), + Trans(2, 30, 3, 549), + Trans(2, 44, 3, 549), + Trans(4, 6, 3, 549), + Trans(4, 44, 8, 550), + Trans(4, 107, 3, 549), + Trans(5, 5, 6, -1), + Trans(5, 43, 7, -1), + Trans(6, 43, 8, 550), + Trans(7, 5, 8, 550), + Trans(7, 29, 8, 550), + Trans(7, 36, 8, 550), + Trans(7, 38, 8, 550), + Trans(7, 47, 8, 550), + Trans(7, 48, 8, 550), + Trans(7, 49, 8, 550), + Trans(7, 57, 8, 550), + Trans(7, 58, 8, 550), + Trans(7, 59, 8, 550), + Trans(7, 62, 8, 550), + Trans(7, 63, 8, 550), + Trans(7, 64, 8, 550), + Trans(7, 68, 8, 550), + Trans(7, 69, 8, 550), + Trans(7, 70, 8, 550), + Trans(7, 74, 8, 550), + Trans(7, 75, 8, 550), + Trans(7, 77, 8, 550), + Trans(7, 78, 8, 550), + Trans(7, 81, 8, 550), + Trans(7, 82, 8, 550), + Trans(7, 87, 8, 550), + Trans(7, 88, 8, 550), + Trans(7, 90, 8, 550), + Trans(7, 98, 8, 550), + Trans(7, 102, 8, 550), + Trans(7, 105, 8, 550), + Trans(7, 106, 8, 550), + Trans(7, 107, 8, 550), + Trans(9, 5, 8, 550), + Trans(9, 43, 8, 550), ], k: 3, }, - /* 50 - "AttributeListOpt" */ + /* 51 - "AttributeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 547), Trans(0, 44, 2, 548)], + transitions: &[Trans(0, 30, 1, 551), Trans(0, 44, 2, 552)], k: 1, }, - /* 51 - "AttributeOpt" */ + /* 52 - "AttributeOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 40, 1, 542), Trans(0, 43, 2, 543)], + transitions: &[Trans(0, 40, 1, 546), Trans(0, 43, 2, 547)], k: 1, }, - /* 52 - "BaseLess" */ + /* 53 - "BaseLess" */ LookaheadDFA { - prod0: 212, + prod0: 215, transitions: &[], k: 0, }, - /* 53 - "BaseLessTerm" */ + /* 54 - "BaseLessTerm" */ LookaheadDFA { prod0: 6, transitions: &[], k: 0, }, - /* 54 - "BaseLessToken" */ + /* 55 - "BaseLessToken" */ LookaheadDFA { - prod0: 110, + prod0: 112, transitions: &[], k: 0, }, - /* 55 - "Based" */ + /* 56 - "Based" */ LookaheadDFA { - prod0: 211, + prod0: 214, transitions: &[], k: 0, }, - /* 56 - "BasedTerm" */ + /* 57 - "BasedTerm" */ LookaheadDFA { prod0: 4, transitions: &[], k: 0, }, - /* 57 - "BasedToken" */ + /* 58 - "BasedToken" */ LookaheadDFA { - prod0: 109, + prod0: 111, transitions: &[], k: 0, }, - /* 58 - "Bit" */ + /* 59 - "Bit" */ LookaheadDFA { - prod0: 255, + prod0: 258, transitions: &[], k: 0, }, - /* 59 - "BitTerm" */ + /* 60 - "BitTerm" */ LookaheadDFA { prod0: 48, transitions: &[], k: 0, }, - /* 60 - "BitToken" */ + /* 61 - "BitToken" */ LookaheadDFA { - prod0: 153, + prod0: 155, transitions: &[], k: 0, }, - /* 61 - "Break" */ + /* 62 - "Break" */ LookaheadDFA { - prod0: 256, + prod0: 259, transitions: &[], k: 0, }, - /* 62 - "BreakStatement" */ + /* 63 - "BreakStatement" */ LookaheadDFA { - prod0: 523, + prod0: 527, transitions: &[], k: 0, }, - /* 63 - "BreakTerm" */ + /* 64 - "BreakTerm" */ LookaheadDFA { - prod0: 88, + prod0: 89, transitions: &[], k: 0, }, - /* 64 - "BreakToken" */ + /* 65 - "BreakToken" */ LookaheadDFA { - prod0: 193, + prod0: 196, transitions: &[], k: 0, }, - /* 65 - "Case" */ + /* 66 - "Case" */ LookaheadDFA { - prod0: 257, + prod0: 260, transitions: &[], k: 0, }, - /* 66 - "CaseExpression" */ + /* 67 - "CaseExpression" */ LookaheadDFA { - prod0: 425, + prod0: 429, transitions: &[], k: 0, }, - /* 67 - "CaseExpressionList" */ + /* 68 - "CaseExpressionList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 431), Trans(0, 30, 1, 430)], + transitions: &[Trans(0, 29, 2, 435), Trans(0, 30, 1, 434)], k: 1, }, - /* 68 - "CaseExpressionList0" */ + /* 69 - "CaseExpressionList0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 426), - Trans(0, 7, 1, 426), - Trans(0, 8, 1, 426), - Trans(0, 9, 1, 426), - Trans(0, 10, 1, 426), - Trans(0, 11, 1, 426), - Trans(0, 18, 1, 426), - Trans(0, 24, 1, 426), - Trans(0, 25, 1, 426), - Trans(0, 26, 1, 426), - Trans(0, 27, 1, 426), - Trans(0, 31, 1, 426), - Trans(0, 38, 1, 426), - Trans(0, 40, 1, 426), - Trans(0, 54, 1, 426), - Trans(0, 55, 2, 429), - Trans(0, 67, 1, 426), - Trans(0, 72, 1, 426), - Trans(0, 79, 1, 426), - Trans(0, 82, 1, 426), - Trans(0, 85, 1, 426), - Trans(0, 106, 1, 426), + Trans(0, 6, 1, 430), + Trans(0, 7, 1, 430), + Trans(0, 8, 1, 430), + Trans(0, 9, 1, 430), + Trans(0, 10, 1, 430), + Trans(0, 11, 1, 430), + Trans(0, 18, 1, 430), + Trans(0, 24, 1, 430), + Trans(0, 25, 1, 430), + Trans(0, 26, 1, 430), + Trans(0, 27, 1, 430), + Trans(0, 31, 1, 430), + Trans(0, 38, 1, 430), + Trans(0, 40, 1, 430), + Trans(0, 54, 1, 430), + Trans(0, 55, 2, 433), + Trans(0, 68, 1, 430), + Trans(0, 73, 1, 430), + Trans(0, 80, 1, 430), + Trans(0, 83, 1, 430), + Trans(0, 86, 1, 430), + Trans(0, 107, 1, 430), ], k: 1, }, - /* 69 - "CaseExpressionList0List" */ + /* 70 - "CaseExpressionList0List" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 428), Trans(0, 30, 1, 427)], + transitions: &[Trans(0, 29, 2, 432), Trans(0, 30, 1, 431)], k: 1, }, - /* 70 - "CaseExpressionOpt" */ + /* 71 - "CaseExpressionOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 432), Trans(0, 42, 2, 433)], + transitions: &[Trans(0, 30, 1, 436), Trans(0, 42, 2, 437)], k: 1, }, - /* 71 - "CaseItem" */ + /* 72 - "CaseItem" */ LookaheadDFA { - prod0: 532, + prod0: 536, transitions: &[], k: 0, }, - /* 72 - "CaseItemGroup" */ + /* 73 - "CaseItemGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 537), - Trans(0, 7, 1, 537), - Trans(0, 8, 1, 537), - Trans(0, 9, 1, 537), - Trans(0, 10, 1, 537), - Trans(0, 11, 1, 537), - Trans(0, 18, 1, 537), - Trans(0, 24, 1, 537), - Trans(0, 25, 1, 537), - Trans(0, 26, 1, 537), - Trans(0, 27, 1, 537), - Trans(0, 31, 1, 537), - Trans(0, 38, 1, 537), - Trans(0, 40, 1, 537), - Trans(0, 54, 1, 537), - Trans(0, 55, 2, 540), - Trans(0, 67, 1, 537), - Trans(0, 72, 1, 537), - Trans(0, 79, 1, 537), - Trans(0, 82, 1, 537), - Trans(0, 85, 1, 537), - Trans(0, 106, 1, 537), + Trans(0, 6, 1, 541), + Trans(0, 7, 1, 541), + Trans(0, 8, 1, 541), + Trans(0, 9, 1, 541), + Trans(0, 10, 1, 541), + Trans(0, 11, 1, 541), + Trans(0, 18, 1, 541), + Trans(0, 24, 1, 541), + Trans(0, 25, 1, 541), + Trans(0, 26, 1, 541), + Trans(0, 27, 1, 541), + Trans(0, 31, 1, 541), + Trans(0, 38, 1, 541), + Trans(0, 40, 1, 541), + Trans(0, 54, 1, 541), + Trans(0, 55, 2, 544), + Trans(0, 68, 1, 541), + Trans(0, 73, 1, 541), + Trans(0, 80, 1, 541), + Trans(0, 83, 1, 541), + Trans(0, 86, 1, 541), + Trans(0, 107, 1, 541), ], k: 1, }, - /* 73 - "CaseItemGroup0" */ + /* 74 - "CaseItemGroup0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 533), - Trans(0, 38, 2, 534), - Trans(0, 54, 1, 533), - Trans(0, 62, 1, 533), - Trans(0, 66, 1, 533), - Trans(0, 67, 1, 533), - Trans(0, 76, 1, 533), - Trans(0, 92, 1, 533), - Trans(0, 93, 1, 533), - Trans(0, 106, 1, 533), + Trans(0, 31, 1, 537), + Trans(0, 38, 2, 538), + Trans(0, 54, 1, 537), + Trans(0, 63, 1, 537), + Trans(0, 67, 1, 537), + Trans(0, 68, 1, 537), + Trans(0, 77, 1, 537), + Trans(0, 93, 1, 537), + Trans(0, 94, 1, 537), + Trans(0, 107, 1, 537), ], k: 1, }, - /* 74 - "CaseItemGroup0List" */ + /* 75 - "CaseItemGroup0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 535), - Trans(0, 42, 2, 536), - Trans(0, 54, 1, 535), - Trans(0, 62, 1, 535), - Trans(0, 66, 1, 535), - Trans(0, 67, 1, 535), - Trans(0, 76, 1, 535), - Trans(0, 92, 1, 535), - Trans(0, 93, 1, 535), - Trans(0, 106, 1, 535), + Trans(0, 31, 1, 539), + Trans(0, 42, 2, 540), + Trans(0, 54, 1, 539), + Trans(0, 63, 1, 539), + Trans(0, 67, 1, 539), + Trans(0, 68, 1, 539), + Trans(0, 77, 1, 539), + Trans(0, 93, 1, 539), + Trans(0, 94, 1, 539), + Trans(0, 107, 1, 539), ], k: 1, }, - /* 75 - "CaseItemGroupList" */ + /* 76 - "CaseItemGroupList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 539), Trans(0, 30, 1, 538)], + transitions: &[Trans(0, 29, 2, 543), Trans(0, 30, 1, 542)], k: 1, }, - /* 76 - "CaseStatement" */ + /* 77 - "CaseStatement" */ LookaheadDFA { - prod0: 529, + prod0: 533, transitions: &[], k: 0, }, - /* 77 - "CaseStatementList" */ + /* 78 - "CaseStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 530), - Trans(0, 7, 1, 530), - Trans(0, 8, 1, 530), - Trans(0, 9, 1, 530), - Trans(0, 10, 1, 530), - Trans(0, 11, 1, 530), - Trans(0, 18, 1, 530), - Trans(0, 24, 1, 530), - Trans(0, 25, 1, 530), - Trans(0, 26, 1, 530), - Trans(0, 27, 1, 530), - Trans(0, 31, 1, 530), - Trans(0, 38, 1, 530), - Trans(0, 40, 1, 530), - Trans(0, 42, 2, 531), - Trans(0, 54, 1, 530), - Trans(0, 55, 1, 530), - Trans(0, 67, 1, 530), - Trans(0, 72, 1, 530), - Trans(0, 79, 1, 530), - Trans(0, 82, 1, 530), - Trans(0, 85, 1, 530), - Trans(0, 106, 1, 530), + Trans(0, 6, 1, 534), + Trans(0, 7, 1, 534), + Trans(0, 8, 1, 534), + Trans(0, 9, 1, 534), + Trans(0, 10, 1, 534), + Trans(0, 11, 1, 534), + Trans(0, 18, 1, 534), + Trans(0, 24, 1, 534), + Trans(0, 25, 1, 534), + Trans(0, 26, 1, 534), + Trans(0, 27, 1, 534), + Trans(0, 31, 1, 534), + Trans(0, 38, 1, 534), + Trans(0, 40, 1, 534), + Trans(0, 42, 2, 535), + Trans(0, 54, 1, 534), + Trans(0, 55, 1, 534), + Trans(0, 68, 1, 534), + Trans(0, 73, 1, 534), + Trans(0, 80, 1, 534), + Trans(0, 83, 1, 534), + Trans(0, 86, 1, 534), + Trans(0, 107, 1, 534), ], k: 1, }, - /* 78 - "CaseTerm" */ + /* 79 - "CaseTerm" */ LookaheadDFA { prod0: 49, transitions: &[], k: 0, }, - /* 79 - "CaseToken" */ + /* 80 - "CaseToken" */ LookaheadDFA { - prod0: 154, + prod0: 156, transitions: &[], k: 0, }, - /* 80 - "Colon" */ + /* 81 - "Colon" */ LookaheadDFA { - prod0: 227, + prod0: 230, transitions: &[], k: 0, }, - /* 81 - "ColonColon" */ + /* 82 - "ColonColon" */ LookaheadDFA { - prod0: 228, + prod0: 231, transitions: &[], k: 0, }, - /* 82 - "ColonColonTerm" */ + /* 83 - "ColonColonTerm" */ LookaheadDFA { prod0: 23, transitions: &[], k: 0, }, - /* 83 - "ColonColonToken" */ + /* 84 - "ColonColonToken" */ LookaheadDFA { - prod0: 126, + prod0: 128, transitions: &[], k: 0, }, - /* 84 - "ColonTerm" */ + /* 85 - "ColonTerm" */ LookaheadDFA { prod0: 24, transitions: &[], k: 0, }, - /* 85 - "ColonToken" */ + /* 86 - "ColonToken" */ LookaheadDFA { - prod0: 125, + prod0: 127, transitions: &[], k: 0, }, - /* 86 - "Comma" */ + /* 87 - "Comma" */ LookaheadDFA { - prod0: 229, + prod0: 232, transitions: &[], k: 0, }, - /* 87 - "CommaTerm" */ + /* 88 - "CommaTerm" */ LookaheadDFA { prod0: 25, transitions: &[], k: 0, }, - /* 88 - "CommaToken" */ + /* 89 - "CommaToken" */ LookaheadDFA { - prod0: 127, + prod0: 129, transitions: &[], k: 0, }, - /* 89 - "Comments" */ + /* 90 - "Comments" */ LookaheadDFA { - prod0: 102, + prod0: 104, transitions: &[], k: 0, }, - /* 90 - "CommentsOpt" */ + /* 91 - "CommentsOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 0, 2, 104), - Trans(0, 5, 1, 103), - Trans(0, 6, 2, 104), - Trans(0, 7, 2, 104), - Trans(0, 8, 2, 104), - Trans(0, 9, 2, 104), - Trans(0, 10, 2, 104), - Trans(0, 11, 2, 104), - Trans(0, 12, 2, 104), - Trans(0, 13, 2, 104), - Trans(0, 14, 2, 104), - Trans(0, 15, 2, 104), - Trans(0, 16, 2, 104), - Trans(0, 17, 2, 104), - Trans(0, 18, 2, 104), - Trans(0, 19, 2, 104), - Trans(0, 20, 2, 104), - Trans(0, 21, 2, 104), - Trans(0, 22, 2, 104), - Trans(0, 23, 2, 104), - Trans(0, 24, 2, 104), - Trans(0, 25, 2, 104), - Trans(0, 26, 2, 104), - Trans(0, 27, 2, 104), - Trans(0, 28, 2, 104), - Trans(0, 29, 2, 104), - Trans(0, 30, 2, 104), - Trans(0, 31, 2, 104), - Trans(0, 32, 2, 104), - Trans(0, 33, 2, 104), - Trans(0, 34, 2, 104), - Trans(0, 35, 2, 104), - Trans(0, 36, 2, 104), - Trans(0, 37, 2, 104), - Trans(0, 38, 2, 104), - Trans(0, 39, 2, 104), - Trans(0, 40, 2, 104), - Trans(0, 41, 2, 104), - Trans(0, 42, 2, 104), - Trans(0, 43, 2, 104), - Trans(0, 44, 2, 104), - Trans(0, 45, 2, 104), - Trans(0, 46, 2, 104), - Trans(0, 47, 2, 104), - Trans(0, 48, 2, 104), - Trans(0, 49, 2, 104), - Trans(0, 50, 2, 104), - Trans(0, 51, 2, 104), - Trans(0, 52, 2, 104), - Trans(0, 53, 2, 104), - Trans(0, 54, 2, 104), - Trans(0, 55, 2, 104), - Trans(0, 56, 2, 104), - Trans(0, 57, 2, 104), - Trans(0, 58, 2, 104), - Trans(0, 59, 2, 104), - Trans(0, 60, 2, 104), - Trans(0, 61, 2, 104), - Trans(0, 62, 2, 104), - Trans(0, 63, 2, 104), - Trans(0, 64, 2, 104), - Trans(0, 65, 2, 104), - Trans(0, 66, 2, 104), - Trans(0, 67, 2, 104), - Trans(0, 68, 2, 104), - Trans(0, 69, 2, 104), - Trans(0, 70, 2, 104), - Trans(0, 71, 2, 104), - Trans(0, 72, 2, 104), - Trans(0, 73, 2, 104), - Trans(0, 74, 2, 104), - Trans(0, 75, 2, 104), - Trans(0, 76, 2, 104), - Trans(0, 77, 2, 104), - Trans(0, 78, 2, 104), - Trans(0, 79, 2, 104), - Trans(0, 80, 2, 104), - Trans(0, 81, 2, 104), - Trans(0, 82, 2, 104), - Trans(0, 83, 2, 104), - Trans(0, 84, 2, 104), - Trans(0, 85, 2, 104), - Trans(0, 86, 2, 104), - Trans(0, 87, 2, 104), - Trans(0, 88, 2, 104), - Trans(0, 89, 2, 104), - Trans(0, 90, 2, 104), - Trans(0, 91, 2, 104), - Trans(0, 92, 2, 104), - Trans(0, 93, 2, 104), - Trans(0, 94, 2, 104), - Trans(0, 95, 2, 104), - Trans(0, 96, 2, 104), - Trans(0, 97, 2, 104), - Trans(0, 98, 2, 104), - Trans(0, 99, 2, 104), - Trans(0, 100, 2, 104), - Trans(0, 101, 2, 104), - Trans(0, 102, 2, 104), - Trans(0, 103, 2, 104), - Trans(0, 104, 2, 104), - Trans(0, 105, 2, 104), - Trans(0, 106, 2, 104), + Trans(0, 0, 2, 106), + Trans(0, 5, 1, 105), + Trans(0, 6, 2, 106), + Trans(0, 7, 2, 106), + Trans(0, 8, 2, 106), + Trans(0, 9, 2, 106), + Trans(0, 10, 2, 106), + Trans(0, 11, 2, 106), + Trans(0, 12, 2, 106), + Trans(0, 13, 2, 106), + Trans(0, 14, 2, 106), + Trans(0, 15, 2, 106), + Trans(0, 16, 2, 106), + Trans(0, 17, 2, 106), + Trans(0, 18, 2, 106), + Trans(0, 19, 2, 106), + Trans(0, 20, 2, 106), + Trans(0, 21, 2, 106), + Trans(0, 22, 2, 106), + Trans(0, 23, 2, 106), + Trans(0, 24, 2, 106), + Trans(0, 25, 2, 106), + Trans(0, 26, 2, 106), + Trans(0, 27, 2, 106), + Trans(0, 28, 2, 106), + Trans(0, 29, 2, 106), + Trans(0, 30, 2, 106), + Trans(0, 31, 2, 106), + Trans(0, 32, 2, 106), + Trans(0, 33, 2, 106), + Trans(0, 34, 2, 106), + Trans(0, 35, 2, 106), + Trans(0, 36, 2, 106), + Trans(0, 37, 2, 106), + Trans(0, 38, 2, 106), + Trans(0, 39, 2, 106), + Trans(0, 40, 2, 106), + Trans(0, 41, 2, 106), + Trans(0, 42, 2, 106), + Trans(0, 43, 2, 106), + Trans(0, 44, 2, 106), + Trans(0, 45, 2, 106), + Trans(0, 46, 2, 106), + Trans(0, 47, 2, 106), + Trans(0, 48, 2, 106), + Trans(0, 49, 2, 106), + Trans(0, 50, 2, 106), + Trans(0, 51, 2, 106), + Trans(0, 52, 2, 106), + Trans(0, 53, 2, 106), + Trans(0, 54, 2, 106), + Trans(0, 55, 2, 106), + Trans(0, 56, 2, 106), + Trans(0, 57, 2, 106), + Trans(0, 58, 2, 106), + Trans(0, 59, 2, 106), + Trans(0, 60, 2, 106), + Trans(0, 61, 2, 106), + Trans(0, 62, 2, 106), + Trans(0, 63, 2, 106), + Trans(0, 64, 2, 106), + Trans(0, 65, 2, 106), + Trans(0, 66, 2, 106), + Trans(0, 67, 2, 106), + Trans(0, 68, 2, 106), + Trans(0, 69, 2, 106), + Trans(0, 70, 2, 106), + Trans(0, 71, 2, 106), + Trans(0, 72, 2, 106), + Trans(0, 73, 2, 106), + Trans(0, 74, 2, 106), + Trans(0, 75, 2, 106), + Trans(0, 76, 2, 106), + Trans(0, 77, 2, 106), + Trans(0, 78, 2, 106), + Trans(0, 79, 2, 106), + Trans(0, 80, 2, 106), + Trans(0, 81, 2, 106), + Trans(0, 82, 2, 106), + Trans(0, 83, 2, 106), + Trans(0, 84, 2, 106), + Trans(0, 85, 2, 106), + Trans(0, 86, 2, 106), + Trans(0, 87, 2, 106), + Trans(0, 88, 2, 106), + Trans(0, 89, 2, 106), + Trans(0, 90, 2, 106), + Trans(0, 91, 2, 106), + Trans(0, 92, 2, 106), + Trans(0, 93, 2, 106), + Trans(0, 94, 2, 106), + Trans(0, 95, 2, 106), + Trans(0, 96, 2, 106), + Trans(0, 97, 2, 106), + Trans(0, 98, 2, 106), + Trans(0, 99, 2, 106), + Trans(0, 100, 2, 106), + Trans(0, 101, 2, 106), + Trans(0, 102, 2, 106), + Trans(0, 103, 2, 106), + Trans(0, 104, 2, 106), + Trans(0, 105, 2, 106), + Trans(0, 106, 2, 106), + Trans(0, 107, 2, 106), ], k: 1, }, - /* 91 - "CommentsTerm" */ + /* 92 - "CommentsTerm" */ LookaheadDFA { prod0: 0, transitions: &[], k: 0, }, - /* 92 - "ConcatenationItem" */ + /* 93 - "ConcatenationItem" */ LookaheadDFA { - prod0: 419, + prod0: 423, transitions: &[], k: 0, }, - /* 93 - "ConcatenationItemOpt" */ + /* 94 - "ConcatenationItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 421), - Trans(0, 42, 2, 421), - Trans(0, 91, 1, 420), + Trans(0, 30, 2, 425), + Trans(0, 42, 2, 425), + Trans(0, 92, 1, 424), ], k: 1, }, - /* 94 - "ConcatenationList" */ + /* 95 - "ConcatenationList" */ LookaheadDFA { - prod0: 414, + prod0: 418, transitions: &[], k: 0, }, - /* 95 - "ConcatenationListList" */ + /* 96 - "ConcatenationListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 8, -1), - Trans(1, 5, 2, -1), - Trans(1, 6, 4, -1), - Trans(1, 7, 4, -1), - Trans(1, 8, 4, -1), - Trans(1, 9, 4, -1), - Trans(1, 10, 4, -1), - Trans(1, 11, 4, -1), - Trans(1, 18, 5, -1), - Trans(1, 24, 5, -1), - Trans(1, 25, 5, -1), - Trans(1, 26, 5, -1), - Trans(1, 27, 5, -1), - Trans(1, 31, 6, -1), - Trans(1, 38, 5, -1), - Trans(1, 40, 5, -1), - Trans(1, 42, 9, -1), - Trans(1, 54, 5, -1), - Trans(1, 67, 5, -1), - Trans(1, 72, 5, -1), - Trans(1, 79, 4, -1), - Trans(1, 82, 4, -1), - Trans(1, 85, 5, -1), - Trans(1, 106, 7, -1), - Trans(2, 6, 3, 415), - Trans(2, 7, 3, 415), - Trans(2, 8, 3, 415), - Trans(2, 9, 3, 415), - Trans(2, 10, 3, 415), - Trans(2, 11, 3, 415), - Trans(2, 18, 3, 415), - Trans(2, 24, 3, 415), - Trans(2, 25, 3, 415), - Trans(2, 26, 3, 415), - Trans(2, 27, 3, 415), - Trans(2, 31, 3, 415), - Trans(2, 38, 3, 415), - Trans(2, 40, 3, 415), - Trans(2, 42, 10, 416), - Trans(2, 54, 3, 415), - Trans(2, 67, 3, 415), - Trans(2, 72, 3, 415), - Trans(2, 79, 3, 415), - Trans(2, 82, 3, 415), - Trans(2, 85, 3, 415), - Trans(2, 106, 3, 415), - Trans(4, 5, 3, 415), - Trans(4, 16, 3, 415), - Trans(4, 17, 3, 415), - Trans(4, 18, 3, 415), - Trans(4, 19, 3, 415), - Trans(4, 20, 3, 415), - Trans(4, 21, 3, 415), - Trans(4, 22, 3, 415), - Trans(4, 23, 3, 415), - Trans(4, 24, 3, 415), - Trans(4, 25, 3, 415), - Trans(4, 26, 3, 415), - Trans(4, 30, 3, 415), - Trans(4, 42, 3, 415), - Trans(4, 46, 3, 415), - Trans(4, 52, 3, 415), - Trans(4, 91, 3, 415), - Trans(5, 5, 3, 415), - Trans(5, 6, 3, 415), - Trans(5, 7, 3, 415), - Trans(5, 8, 3, 415), - Trans(5, 9, 3, 415), - Trans(5, 10, 3, 415), - Trans(5, 11, 3, 415), - Trans(5, 18, 3, 415), - Trans(5, 24, 3, 415), - Trans(5, 25, 3, 415), - Trans(5, 26, 3, 415), - Trans(5, 27, 3, 415), - Trans(5, 31, 3, 415), - Trans(5, 38, 3, 415), - Trans(5, 40, 3, 415), - Trans(5, 54, 3, 415), - Trans(5, 67, 3, 415), - Trans(5, 72, 3, 415), - Trans(5, 79, 3, 415), - Trans(5, 82, 3, 415), - Trans(5, 85, 3, 415), - Trans(5, 106, 3, 415), - Trans(6, 5, 3, 415), - Trans(6, 106, 3, 415), - Trans(7, 5, 3, 415), - Trans(7, 16, 3, 415), - Trans(7, 17, 3, 415), - Trans(7, 18, 3, 415), - Trans(7, 19, 3, 415), - Trans(7, 20, 3, 415), - Trans(7, 21, 3, 415), - Trans(7, 22, 3, 415), - Trans(7, 23, 3, 415), - Trans(7, 24, 3, 415), - Trans(7, 25, 3, 415), - Trans(7, 26, 3, 415), - Trans(7, 28, 3, 415), - Trans(7, 30, 3, 415), - Trans(7, 34, 3, 415), - Trans(7, 39, 3, 415), - Trans(7, 40, 3, 415), - Trans(7, 42, 3, 415), - Trans(7, 46, 3, 415), - Trans(7, 52, 3, 415), - Trans(7, 91, 3, 415), - Trans(8, 5, 11, -1), - Trans(8, 12, 12, -1), - Trans(8, 14, 12, -1), - Trans(8, 16, 12, -1), - Trans(8, 17, 12, -1), - Trans(8, 18, 12, -1), - Trans(8, 19, 12, -1), - Trans(8, 20, 12, -1), - Trans(8, 21, 12, -1), - Trans(8, 22, 12, -1), - Trans(8, 23, 12, -1), - Trans(8, 24, 12, -1), - Trans(8, 25, 12, -1), - Trans(8, 26, 12, -1), - Trans(8, 29, 13, -1), - Trans(8, 30, 14, -1), - Trans(8, 32, 12, -1), - Trans(8, 33, 12, -1), - Trans(8, 38, 15, -1), - Trans(8, 41, 16, -1), - Trans(8, 42, 17, -1), - Trans(8, 43, 18, -1), - Trans(8, 44, 19, -1), - Trans(8, 45, 20, -1), - Trans(8, 46, 12, -1), - Trans(8, 52, 21, -1), - Trans(8, 91, 12, -1), - Trans(8, 95, 22, -1), - Trans(9, 5, 10, 416), - Trans(9, 12, 10, 416), - Trans(9, 14, 10, 416), - Trans(9, 16, 10, 416), - Trans(9, 17, 10, 416), - Trans(9, 18, 10, 416), - Trans(9, 19, 10, 416), - Trans(9, 20, 10, 416), - Trans(9, 21, 10, 416), - Trans(9, 22, 10, 416), - Trans(9, 23, 10, 416), - Trans(9, 24, 10, 416), - Trans(9, 25, 10, 416), - Trans(9, 26, 10, 416), - Trans(9, 29, 10, 416), - Trans(9, 30, 10, 416), - Trans(9, 32, 10, 416), - Trans(9, 33, 10, 416), - Trans(9, 38, 10, 416), - Trans(9, 41, 10, 416), - Trans(9, 42, 10, 416), - Trans(9, 43, 10, 416), - Trans(9, 44, 10, 416), - Trans(9, 45, 10, 416), - Trans(9, 46, 10, 416), - Trans(9, 52, 10, 416), - Trans(9, 91, 10, 416), - Trans(9, 95, 10, 416), - Trans(11, 12, 10, 416), - Trans(11, 14, 10, 416), - Trans(11, 16, 10, 416), - Trans(11, 17, 10, 416), - Trans(11, 18, 10, 416), - Trans(11, 19, 10, 416), - Trans(11, 20, 10, 416), - Trans(11, 21, 10, 416), - Trans(11, 22, 10, 416), - Trans(11, 23, 10, 416), - Trans(11, 24, 10, 416), - Trans(11, 25, 10, 416), - Trans(11, 26, 10, 416), - Trans(11, 29, 10, 416), - Trans(11, 30, 10, 416), - Trans(11, 32, 10, 416), - Trans(11, 33, 10, 416), - Trans(11, 38, 10, 416), - Trans(11, 41, 10, 416), - Trans(11, 42, 10, 416), - Trans(11, 43, 10, 416), - Trans(11, 44, 10, 416), - Trans(11, 45, 10, 416), - Trans(11, 46, 10, 416), - Trans(11, 52, 10, 416), - Trans(11, 91, 10, 416), - Trans(11, 95, 10, 416), - Trans(12, 5, 10, 416), - Trans(12, 6, 10, 416), - Trans(12, 7, 10, 416), - Trans(12, 8, 10, 416), - Trans(12, 9, 10, 416), - Trans(12, 10, 10, 416), - Trans(12, 11, 10, 416), - Trans(12, 18, 10, 416), - Trans(12, 24, 10, 416), - Trans(12, 25, 10, 416), - Trans(12, 26, 10, 416), - Trans(12, 27, 10, 416), - Trans(12, 31, 10, 416), - Trans(12, 38, 10, 416), - Trans(12, 40, 10, 416), - Trans(12, 54, 10, 416), - Trans(12, 67, 10, 416), - Trans(12, 72, 10, 416), - Trans(12, 79, 10, 416), - Trans(12, 82, 10, 416), - Trans(12, 85, 10, 416), - Trans(12, 106, 10, 416), - Trans(13, 5, 10, 416), - Trans(13, 6, 10, 416), - Trans(13, 7, 10, 416), - Trans(13, 8, 10, 416), - Trans(13, 9, 10, 416), - Trans(13, 10, 10, 416), - Trans(13, 11, 10, 416), - Trans(13, 18, 10, 416), - Trans(13, 24, 10, 416), - Trans(13, 25, 10, 416), - Trans(13, 26, 10, 416), - Trans(13, 27, 10, 416), - Trans(13, 31, 10, 416), - Trans(13, 38, 10, 416), - Trans(13, 40, 10, 416), - Trans(13, 54, 10, 416), - Trans(13, 62, 10, 416), - Trans(13, 66, 10, 416), - Trans(13, 67, 10, 416), - Trans(13, 72, 10, 416), - Trans(13, 76, 10, 416), - Trans(13, 79, 10, 416), - Trans(13, 82, 10, 416), - Trans(13, 85, 10, 416), - Trans(13, 92, 10, 416), - Trans(13, 93, 10, 416), - Trans(13, 106, 10, 416), - Trans(14, 5, 10, 416), - Trans(14, 6, 10, 416), - Trans(14, 7, 10, 416), - Trans(14, 8, 10, 416), - Trans(14, 9, 10, 416), - Trans(14, 10, 10, 416), - Trans(14, 11, 10, 416), - Trans(14, 18, 10, 416), - Trans(14, 24, 10, 416), - Trans(14, 25, 10, 416), - Trans(14, 26, 10, 416), - Trans(14, 27, 10, 416), - Trans(14, 31, 10, 416), - Trans(14, 36, 10, 416), - Trans(14, 38, 10, 416), - Trans(14, 40, 10, 416), - Trans(14, 42, 10, 416), - Trans(14, 44, 10, 416), - Trans(14, 54, 10, 416), - Trans(14, 55, 10, 416), - Trans(14, 67, 10, 416), - Trans(14, 72, 10, 416), - Trans(14, 77, 10, 416), - Trans(14, 79, 10, 416), - Trans(14, 82, 10, 416), - Trans(14, 85, 10, 416), - Trans(14, 87, 10, 416), - Trans(14, 106, 10, 416), - Trans(15, 5, 10, 416), - Trans(15, 6, 10, 416), - Trans(15, 7, 10, 416), - Trans(15, 8, 10, 416), - Trans(15, 9, 10, 416), - Trans(15, 10, 10, 416), - Trans(15, 11, 10, 416), - Trans(15, 18, 10, 416), - Trans(15, 24, 10, 416), - Trans(15, 25, 10, 416), - Trans(15, 26, 10, 416), - Trans(15, 27, 10, 416), - Trans(15, 29, 10, 416), - Trans(15, 31, 10, 416), - Trans(15, 36, 10, 416), - Trans(15, 38, 10, 416), - Trans(15, 40, 10, 416), - Trans(15, 42, 10, 416), - Trans(15, 47, 10, 416), - Trans(15, 48, 10, 416), - Trans(15, 49, 10, 416), - Trans(15, 54, 10, 416), - Trans(15, 55, 10, 416), - Trans(15, 57, 10, 416), - Trans(15, 61, 10, 416), - Trans(15, 62, 10, 416), - Trans(15, 63, 10, 416), - Trans(15, 66, 10, 416), - Trans(15, 67, 10, 416), - Trans(15, 68, 10, 416), - Trans(15, 69, 10, 416), - Trans(15, 72, 10, 416), - Trans(15, 73, 10, 416), - Trans(15, 76, 10, 416), - Trans(15, 77, 10, 416), - Trans(15, 79, 10, 416), - Trans(15, 80, 10, 416), - Trans(15, 82, 10, 416), - Trans(15, 85, 10, 416), - Trans(15, 92, 10, 416), - Trans(15, 93, 10, 416), - Trans(15, 97, 10, 416), - Trans(15, 101, 10, 416), - Trans(15, 104, 10, 416), - Trans(15, 105, 10, 416), - Trans(15, 106, 10, 416), - Trans(16, 5, 10, 416), - Trans(16, 30, 10, 416), - Trans(16, 35, 10, 416), - Trans(16, 38, 10, 416), - Trans(16, 39, 10, 416), - Trans(16, 42, 10, 416), - Trans(16, 44, 10, 416), - Trans(16, 45, 10, 416), - Trans(16, 75, 10, 416), - Trans(17, 5, 10, 416), - Trans(17, 12, 10, 416), - Trans(17, 14, 10, 416), - Trans(17, 16, 10, 416), - Trans(17, 17, 10, 416), - Trans(17, 18, 10, 416), - Trans(17, 19, 10, 416), - Trans(17, 20, 10, 416), - Trans(17, 21, 10, 416), - Trans(17, 22, 10, 416), - Trans(17, 23, 10, 416), - Trans(17, 24, 10, 416), - Trans(17, 25, 10, 416), - Trans(17, 26, 10, 416), - Trans(17, 29, 10, 416), - Trans(17, 30, 10, 416), - Trans(17, 32, 10, 416), - Trans(17, 33, 10, 416), - Trans(17, 36, 10, 416), - Trans(17, 38, 10, 416), - Trans(17, 41, 10, 416), - Trans(17, 42, 10, 416), - Trans(17, 43, 10, 416), - Trans(17, 44, 10, 416), - Trans(17, 45, 10, 416), - Trans(17, 46, 10, 416), - Trans(17, 47, 10, 416), - Trans(17, 48, 10, 416), - Trans(17, 49, 10, 416), - Trans(17, 52, 10, 416), - Trans(17, 56, 10, 416), - Trans(17, 57, 10, 416), - Trans(17, 58, 10, 416), - Trans(17, 61, 10, 416), - Trans(17, 62, 10, 416), - Trans(17, 63, 10, 416), - Trans(17, 67, 10, 416), - Trans(17, 68, 10, 416), - Trans(17, 69, 10, 416), - Trans(17, 73, 10, 416), - Trans(17, 76, 10, 416), - Trans(17, 77, 10, 416), - Trans(17, 80, 10, 416), - Trans(17, 91, 10, 416), - Trans(17, 95, 10, 416), - Trans(17, 97, 10, 416), - Trans(17, 101, 10, 416), - Trans(17, 104, 10, 416), - Trans(17, 105, 10, 416), - Trans(18, 5, 10, 416), - Trans(18, 12, 10, 416), - Trans(18, 14, 10, 416), - Trans(18, 15, 10, 416), - Trans(18, 16, 10, 416), - Trans(18, 17, 10, 416), - Trans(18, 18, 10, 416), - Trans(18, 19, 10, 416), - Trans(18, 20, 10, 416), - Trans(18, 21, 10, 416), - Trans(18, 22, 10, 416), - Trans(18, 23, 10, 416), - Trans(18, 24, 10, 416), - Trans(18, 25, 10, 416), - Trans(18, 26, 10, 416), - Trans(18, 29, 10, 416), - Trans(18, 30, 10, 416), - Trans(18, 32, 10, 416), - Trans(18, 33, 10, 416), - Trans(18, 34, 10, 416), - Trans(18, 35, 10, 416), - Trans(18, 36, 10, 416), - Trans(18, 38, 10, 416), - Trans(18, 39, 10, 416), - Trans(18, 40, 10, 416), - Trans(18, 41, 10, 416), - Trans(18, 42, 10, 416), - Trans(18, 43, 10, 416), - Trans(18, 44, 10, 416), - Trans(18, 45, 10, 416), - Trans(18, 46, 10, 416), - Trans(18, 52, 10, 416), - Trans(18, 91, 10, 416), - Trans(18, 95, 10, 416), - Trans(19, 5, 10, 416), - Trans(19, 12, 10, 416), - Trans(19, 13, 10, 416), - Trans(19, 14, 10, 416), - Trans(19, 16, 10, 416), - Trans(19, 17, 10, 416), - Trans(19, 18, 10, 416), - Trans(19, 19, 10, 416), - Trans(19, 20, 10, 416), - Trans(19, 21, 10, 416), - Trans(19, 22, 10, 416), - Trans(19, 23, 10, 416), - Trans(19, 24, 10, 416), - Trans(19, 25, 10, 416), - Trans(19, 26, 10, 416), - Trans(19, 29, 10, 416), - Trans(19, 30, 10, 416), - Trans(19, 32, 10, 416), - Trans(19, 33, 10, 416), - Trans(19, 38, 10, 416), - Trans(19, 40, 10, 416), - Trans(19, 41, 10, 416), - Trans(19, 42, 10, 416), - Trans(19, 43, 10, 416), - Trans(19, 44, 10, 416), - Trans(19, 45, 10, 416), - Trans(19, 46, 10, 416), - Trans(19, 52, 10, 416), - Trans(19, 91, 10, 416), - Trans(19, 95, 10, 416), - Trans(20, 5, 10, 416), - Trans(20, 6, 10, 416), - Trans(20, 7, 10, 416), - Trans(20, 8, 10, 416), - Trans(20, 9, 10, 416), - Trans(20, 10, 10, 416), - Trans(20, 11, 10, 416), - Trans(20, 18, 10, 416), - Trans(20, 24, 10, 416), - Trans(20, 25, 10, 416), - Trans(20, 26, 10, 416), - Trans(20, 27, 10, 416), - Trans(20, 29, 10, 416), - Trans(20, 31, 10, 416), - Trans(20, 36, 10, 416), - Trans(20, 38, 10, 416), - Trans(20, 40, 10, 416), - Trans(20, 42, 10, 416), - Trans(20, 47, 10, 416), - Trans(20, 48, 10, 416), - Trans(20, 49, 10, 416), - Trans(20, 54, 10, 416), - Trans(20, 55, 10, 416), - Trans(20, 57, 10, 416), - Trans(20, 58, 10, 416), - Trans(20, 61, 10, 416), - Trans(20, 62, 10, 416), - Trans(20, 63, 10, 416), - Trans(20, 66, 10, 416), - Trans(20, 67, 10, 416), - Trans(20, 68, 10, 416), - Trans(20, 69, 10, 416), - Trans(20, 72, 10, 416), - Trans(20, 73, 10, 416), - Trans(20, 76, 10, 416), - Trans(20, 77, 10, 416), - Trans(20, 79, 10, 416), - Trans(20, 80, 10, 416), - Trans(20, 82, 10, 416), - Trans(20, 85, 10, 416), - Trans(20, 92, 10, 416), - Trans(20, 93, 10, 416), - Trans(20, 97, 10, 416), - Trans(20, 101, 10, 416), - Trans(20, 104, 10, 416), - Trans(20, 105, 10, 416), - Trans(20, 106, 10, 416), - Trans(21, 5, 10, 416), - Trans(21, 31, 10, 416), - Trans(21, 106, 10, 416), - Trans(22, 5, 10, 416), - Trans(22, 6, 10, 416), - Trans(22, 7, 10, 416), - Trans(22, 8, 10, 416), - Trans(22, 9, 10, 416), - Trans(22, 10, 10, 416), - Trans(22, 11, 10, 416), - Trans(22, 15, 10, 416), - Trans(22, 18, 10, 416), - Trans(22, 24, 10, 416), - Trans(22, 25, 10, 416), - Trans(22, 26, 10, 416), - Trans(22, 27, 10, 416), - Trans(22, 31, 10, 416), - Trans(22, 38, 10, 416), - Trans(22, 40, 10, 416), - Trans(22, 54, 10, 416), - Trans(22, 67, 10, 416), - Trans(22, 72, 10, 416), - Trans(22, 79, 10, 416), - Trans(22, 82, 10, 416), - Trans(22, 85, 10, 416), - Trans(22, 106, 10, 416), + Trans(1, 5, 7, -1), + Trans(1, 6, 2, -1), + Trans(1, 7, 2, -1), + Trans(1, 8, 2, -1), + Trans(1, 9, 2, -1), + Trans(1, 10, 2, -1), + Trans(1, 11, 2, -1), + Trans(1, 18, 4, -1), + Trans(1, 24, 4, -1), + Trans(1, 25, 4, -1), + Trans(1, 26, 4, -1), + Trans(1, 27, 4, -1), + Trans(1, 31, 5, -1), + Trans(1, 38, 4, -1), + Trans(1, 40, 4, -1), + Trans(1, 42, 22, -1), + Trans(1, 54, 4, -1), + Trans(1, 68, 4, -1), + Trans(1, 73, 4, -1), + Trans(1, 80, 2, -1), + Trans(1, 83, 2, -1), + Trans(1, 86, 4, -1), + Trans(1, 107, 6, -1), + Trans(2, 5, 3, 419), + Trans(2, 16, 3, 419), + Trans(2, 17, 3, 419), + Trans(2, 18, 3, 419), + Trans(2, 19, 3, 419), + Trans(2, 20, 3, 419), + Trans(2, 21, 3, 419), + Trans(2, 22, 3, 419), + Trans(2, 23, 3, 419), + Trans(2, 24, 3, 419), + Trans(2, 25, 3, 419), + Trans(2, 26, 3, 419), + Trans(2, 30, 3, 419), + Trans(2, 42, 3, 419), + Trans(2, 46, 3, 419), + Trans(2, 52, 3, 419), + Trans(2, 92, 3, 419), + Trans(4, 5, 3, 419), + Trans(4, 6, 3, 419), + Trans(4, 7, 3, 419), + Trans(4, 8, 3, 419), + Trans(4, 9, 3, 419), + Trans(4, 10, 3, 419), + Trans(4, 11, 3, 419), + Trans(4, 18, 3, 419), + Trans(4, 24, 3, 419), + Trans(4, 25, 3, 419), + Trans(4, 26, 3, 419), + Trans(4, 27, 3, 419), + Trans(4, 31, 3, 419), + Trans(4, 38, 3, 419), + Trans(4, 40, 3, 419), + Trans(4, 54, 3, 419), + Trans(4, 68, 3, 419), + Trans(4, 73, 3, 419), + Trans(4, 80, 3, 419), + Trans(4, 83, 3, 419), + Trans(4, 86, 3, 419), + Trans(4, 107, 3, 419), + Trans(5, 5, 3, 419), + Trans(5, 107, 3, 419), + Trans(6, 5, 3, 419), + Trans(6, 16, 3, 419), + Trans(6, 17, 3, 419), + Trans(6, 18, 3, 419), + Trans(6, 19, 3, 419), + Trans(6, 20, 3, 419), + Trans(6, 21, 3, 419), + Trans(6, 22, 3, 419), + Trans(6, 23, 3, 419), + Trans(6, 24, 3, 419), + Trans(6, 25, 3, 419), + Trans(6, 26, 3, 419), + Trans(6, 28, 3, 419), + Trans(6, 30, 3, 419), + Trans(6, 34, 3, 419), + Trans(6, 39, 3, 419), + Trans(6, 40, 3, 419), + Trans(6, 42, 3, 419), + Trans(6, 46, 3, 419), + Trans(6, 52, 3, 419), + Trans(6, 92, 3, 419), + Trans(7, 6, 3, 419), + Trans(7, 7, 3, 419), + Trans(7, 8, 3, 419), + Trans(7, 9, 3, 419), + Trans(7, 10, 3, 419), + Trans(7, 11, 3, 419), + Trans(7, 18, 3, 419), + Trans(7, 24, 3, 419), + Trans(7, 25, 3, 419), + Trans(7, 26, 3, 419), + Trans(7, 27, 3, 419), + Trans(7, 31, 3, 419), + Trans(7, 38, 3, 419), + Trans(7, 40, 3, 419), + Trans(7, 42, 21, 420), + Trans(7, 54, 3, 419), + Trans(7, 68, 3, 419), + Trans(7, 73, 3, 419), + Trans(7, 80, 3, 419), + Trans(7, 83, 3, 419), + Trans(7, 86, 3, 419), + Trans(7, 107, 3, 419), + Trans(8, 5, 9, -1), + Trans(8, 12, 10, -1), + Trans(8, 14, 10, -1), + Trans(8, 16, 10, -1), + Trans(8, 17, 10, -1), + Trans(8, 18, 10, -1), + Trans(8, 19, 10, -1), + Trans(8, 20, 10, -1), + Trans(8, 21, 10, -1), + Trans(8, 22, 10, -1), + Trans(8, 23, 10, -1), + Trans(8, 24, 10, -1), + Trans(8, 25, 10, -1), + Trans(8, 26, 10, -1), + Trans(8, 29, 11, -1), + Trans(8, 30, 12, -1), + Trans(8, 32, 10, -1), + Trans(8, 33, 10, -1), + Trans(8, 38, 13, -1), + Trans(8, 41, 14, -1), + Trans(8, 42, 15, -1), + Trans(8, 43, 16, -1), + Trans(8, 44, 17, -1), + Trans(8, 45, 18, -1), + Trans(8, 46, 10, -1), + Trans(8, 52, 19, -1), + Trans(8, 92, 10, -1), + Trans(8, 96, 20, -1), + Trans(9, 12, 21, 420), + Trans(9, 14, 21, 420), + Trans(9, 16, 21, 420), + Trans(9, 17, 21, 420), + Trans(9, 18, 21, 420), + Trans(9, 19, 21, 420), + Trans(9, 20, 21, 420), + Trans(9, 21, 21, 420), + Trans(9, 22, 21, 420), + Trans(9, 23, 21, 420), + Trans(9, 24, 21, 420), + Trans(9, 25, 21, 420), + Trans(9, 26, 21, 420), + Trans(9, 29, 21, 420), + Trans(9, 30, 21, 420), + Trans(9, 32, 21, 420), + Trans(9, 33, 21, 420), + Trans(9, 38, 21, 420), + Trans(9, 41, 21, 420), + Trans(9, 42, 21, 420), + Trans(9, 43, 21, 420), + Trans(9, 44, 21, 420), + Trans(9, 45, 21, 420), + Trans(9, 46, 21, 420), + Trans(9, 52, 21, 420), + Trans(9, 92, 21, 420), + Trans(9, 96, 21, 420), + Trans(10, 5, 21, 420), + Trans(10, 6, 21, 420), + Trans(10, 7, 21, 420), + Trans(10, 8, 21, 420), + Trans(10, 9, 21, 420), + Trans(10, 10, 21, 420), + Trans(10, 11, 21, 420), + Trans(10, 18, 21, 420), + Trans(10, 24, 21, 420), + Trans(10, 25, 21, 420), + Trans(10, 26, 21, 420), + Trans(10, 27, 21, 420), + Trans(10, 31, 21, 420), + Trans(10, 38, 21, 420), + Trans(10, 40, 21, 420), + Trans(10, 54, 21, 420), + Trans(10, 68, 21, 420), + Trans(10, 73, 21, 420), + Trans(10, 80, 21, 420), + Trans(10, 83, 21, 420), + Trans(10, 86, 21, 420), + Trans(10, 107, 21, 420), + Trans(11, 5, 21, 420), + Trans(11, 6, 21, 420), + Trans(11, 7, 21, 420), + Trans(11, 8, 21, 420), + Trans(11, 9, 21, 420), + Trans(11, 10, 21, 420), + Trans(11, 11, 21, 420), + Trans(11, 18, 21, 420), + Trans(11, 24, 21, 420), + Trans(11, 25, 21, 420), + Trans(11, 26, 21, 420), + Trans(11, 27, 21, 420), + Trans(11, 31, 21, 420), + Trans(11, 38, 21, 420), + Trans(11, 40, 21, 420), + Trans(11, 54, 21, 420), + Trans(11, 63, 21, 420), + Trans(11, 67, 21, 420), + Trans(11, 68, 21, 420), + Trans(11, 73, 21, 420), + Trans(11, 77, 21, 420), + Trans(11, 80, 21, 420), + Trans(11, 83, 21, 420), + Trans(11, 86, 21, 420), + Trans(11, 93, 21, 420), + Trans(11, 94, 21, 420), + Trans(11, 107, 21, 420), + Trans(12, 5, 21, 420), + Trans(12, 6, 21, 420), + Trans(12, 7, 21, 420), + Trans(12, 8, 21, 420), + Trans(12, 9, 21, 420), + Trans(12, 10, 21, 420), + Trans(12, 11, 21, 420), + Trans(12, 18, 21, 420), + Trans(12, 24, 21, 420), + Trans(12, 25, 21, 420), + Trans(12, 26, 21, 420), + Trans(12, 27, 21, 420), + Trans(12, 31, 21, 420), + Trans(12, 36, 21, 420), + Trans(12, 38, 21, 420), + Trans(12, 40, 21, 420), + Trans(12, 42, 21, 420), + Trans(12, 44, 21, 420), + Trans(12, 54, 21, 420), + Trans(12, 55, 21, 420), + Trans(12, 68, 21, 420), + Trans(12, 73, 21, 420), + Trans(12, 78, 21, 420), + Trans(12, 80, 21, 420), + Trans(12, 83, 21, 420), + Trans(12, 86, 21, 420), + Trans(12, 88, 21, 420), + Trans(12, 107, 21, 420), + Trans(13, 5, 21, 420), + Trans(13, 6, 21, 420), + Trans(13, 7, 21, 420), + Trans(13, 8, 21, 420), + Trans(13, 9, 21, 420), + Trans(13, 10, 21, 420), + Trans(13, 11, 21, 420), + Trans(13, 18, 21, 420), + Trans(13, 24, 21, 420), + Trans(13, 25, 21, 420), + Trans(13, 26, 21, 420), + Trans(13, 27, 21, 420), + Trans(13, 29, 21, 420), + Trans(13, 31, 21, 420), + Trans(13, 36, 21, 420), + Trans(13, 38, 21, 420), + Trans(13, 40, 21, 420), + Trans(13, 42, 21, 420), + Trans(13, 47, 21, 420), + Trans(13, 48, 21, 420), + Trans(13, 49, 21, 420), + Trans(13, 54, 21, 420), + Trans(13, 55, 21, 420), + Trans(13, 58, 21, 420), + Trans(13, 62, 21, 420), + Trans(13, 63, 21, 420), + Trans(13, 64, 21, 420), + Trans(13, 67, 21, 420), + Trans(13, 68, 21, 420), + Trans(13, 69, 21, 420), + Trans(13, 70, 21, 420), + Trans(13, 73, 21, 420), + Trans(13, 74, 21, 420), + Trans(13, 77, 21, 420), + Trans(13, 78, 21, 420), + Trans(13, 80, 21, 420), + Trans(13, 81, 21, 420), + Trans(13, 83, 21, 420), + Trans(13, 86, 21, 420), + Trans(13, 93, 21, 420), + Trans(13, 94, 21, 420), + Trans(13, 98, 21, 420), + Trans(13, 102, 21, 420), + Trans(13, 105, 21, 420), + Trans(13, 106, 21, 420), + Trans(13, 107, 21, 420), + Trans(14, 5, 21, 420), + Trans(14, 30, 21, 420), + Trans(14, 35, 21, 420), + Trans(14, 38, 21, 420), + Trans(14, 39, 21, 420), + Trans(14, 42, 21, 420), + Trans(14, 44, 21, 420), + Trans(14, 45, 21, 420), + Trans(14, 76, 21, 420), + Trans(15, 5, 21, 420), + Trans(15, 12, 21, 420), + Trans(15, 14, 21, 420), + Trans(15, 16, 21, 420), + Trans(15, 17, 21, 420), + Trans(15, 18, 21, 420), + Trans(15, 19, 21, 420), + Trans(15, 20, 21, 420), + Trans(15, 21, 21, 420), + Trans(15, 22, 21, 420), + Trans(15, 23, 21, 420), + Trans(15, 24, 21, 420), + Trans(15, 25, 21, 420), + Trans(15, 26, 21, 420), + Trans(15, 29, 21, 420), + Trans(15, 30, 21, 420), + Trans(15, 32, 21, 420), + Trans(15, 33, 21, 420), + Trans(15, 36, 21, 420), + Trans(15, 38, 21, 420), + Trans(15, 41, 21, 420), + Trans(15, 42, 21, 420), + Trans(15, 43, 21, 420), + Trans(15, 44, 21, 420), + Trans(15, 45, 21, 420), + Trans(15, 46, 21, 420), + Trans(15, 47, 21, 420), + Trans(15, 48, 21, 420), + Trans(15, 49, 21, 420), + Trans(15, 52, 21, 420), + Trans(15, 56, 21, 420), + Trans(15, 58, 21, 420), + Trans(15, 59, 21, 420), + Trans(15, 62, 21, 420), + Trans(15, 63, 21, 420), + Trans(15, 64, 21, 420), + Trans(15, 68, 21, 420), + Trans(15, 69, 21, 420), + Trans(15, 70, 21, 420), + Trans(15, 74, 21, 420), + Trans(15, 77, 21, 420), + Trans(15, 78, 21, 420), + Trans(15, 81, 21, 420), + Trans(15, 92, 21, 420), + Trans(15, 96, 21, 420), + Trans(15, 98, 21, 420), + Trans(15, 102, 21, 420), + Trans(15, 105, 21, 420), + Trans(15, 106, 21, 420), + Trans(16, 5, 21, 420), + Trans(16, 12, 21, 420), + Trans(16, 14, 21, 420), + Trans(16, 15, 21, 420), + Trans(16, 16, 21, 420), + Trans(16, 17, 21, 420), + Trans(16, 18, 21, 420), + Trans(16, 19, 21, 420), + Trans(16, 20, 21, 420), + Trans(16, 21, 21, 420), + Trans(16, 22, 21, 420), + Trans(16, 23, 21, 420), + Trans(16, 24, 21, 420), + Trans(16, 25, 21, 420), + Trans(16, 26, 21, 420), + Trans(16, 29, 21, 420), + Trans(16, 30, 21, 420), + Trans(16, 32, 21, 420), + Trans(16, 33, 21, 420), + Trans(16, 34, 21, 420), + Trans(16, 35, 21, 420), + Trans(16, 36, 21, 420), + Trans(16, 38, 21, 420), + Trans(16, 39, 21, 420), + Trans(16, 40, 21, 420), + Trans(16, 41, 21, 420), + Trans(16, 42, 21, 420), + Trans(16, 43, 21, 420), + Trans(16, 44, 21, 420), + Trans(16, 45, 21, 420), + Trans(16, 46, 21, 420), + Trans(16, 52, 21, 420), + Trans(16, 92, 21, 420), + Trans(16, 96, 21, 420), + Trans(17, 5, 21, 420), + Trans(17, 12, 21, 420), + Trans(17, 13, 21, 420), + Trans(17, 14, 21, 420), + Trans(17, 16, 21, 420), + Trans(17, 17, 21, 420), + Trans(17, 18, 21, 420), + Trans(17, 19, 21, 420), + Trans(17, 20, 21, 420), + Trans(17, 21, 21, 420), + Trans(17, 22, 21, 420), + Trans(17, 23, 21, 420), + Trans(17, 24, 21, 420), + Trans(17, 25, 21, 420), + Trans(17, 26, 21, 420), + Trans(17, 29, 21, 420), + Trans(17, 30, 21, 420), + Trans(17, 32, 21, 420), + Trans(17, 33, 21, 420), + Trans(17, 38, 21, 420), + Trans(17, 40, 21, 420), + Trans(17, 41, 21, 420), + Trans(17, 42, 21, 420), + Trans(17, 43, 21, 420), + Trans(17, 44, 21, 420), + Trans(17, 45, 21, 420), + Trans(17, 46, 21, 420), + Trans(17, 52, 21, 420), + Trans(17, 92, 21, 420), + Trans(17, 96, 21, 420), + Trans(18, 5, 21, 420), + Trans(18, 6, 21, 420), + Trans(18, 7, 21, 420), + Trans(18, 8, 21, 420), + Trans(18, 9, 21, 420), + Trans(18, 10, 21, 420), + Trans(18, 11, 21, 420), + Trans(18, 18, 21, 420), + Trans(18, 24, 21, 420), + Trans(18, 25, 21, 420), + Trans(18, 26, 21, 420), + Trans(18, 27, 21, 420), + Trans(18, 29, 21, 420), + Trans(18, 31, 21, 420), + Trans(18, 36, 21, 420), + Trans(18, 38, 21, 420), + Trans(18, 40, 21, 420), + Trans(18, 42, 21, 420), + Trans(18, 47, 21, 420), + Trans(18, 48, 21, 420), + Trans(18, 49, 21, 420), + Trans(18, 54, 21, 420), + Trans(18, 55, 21, 420), + Trans(18, 58, 21, 420), + Trans(18, 59, 21, 420), + Trans(18, 62, 21, 420), + Trans(18, 63, 21, 420), + Trans(18, 64, 21, 420), + Trans(18, 67, 21, 420), + Trans(18, 68, 21, 420), + Trans(18, 69, 21, 420), + Trans(18, 70, 21, 420), + Trans(18, 73, 21, 420), + Trans(18, 74, 21, 420), + Trans(18, 77, 21, 420), + Trans(18, 78, 21, 420), + Trans(18, 80, 21, 420), + Trans(18, 81, 21, 420), + Trans(18, 83, 21, 420), + Trans(18, 86, 21, 420), + Trans(18, 93, 21, 420), + Trans(18, 94, 21, 420), + Trans(18, 98, 21, 420), + Trans(18, 102, 21, 420), + Trans(18, 105, 21, 420), + Trans(18, 106, 21, 420), + Trans(18, 107, 21, 420), + Trans(19, 5, 21, 420), + Trans(19, 31, 21, 420), + Trans(19, 107, 21, 420), + Trans(20, 5, 21, 420), + Trans(20, 6, 21, 420), + Trans(20, 7, 21, 420), + Trans(20, 8, 21, 420), + Trans(20, 9, 21, 420), + Trans(20, 10, 21, 420), + Trans(20, 11, 21, 420), + Trans(20, 15, 21, 420), + Trans(20, 18, 21, 420), + Trans(20, 24, 21, 420), + Trans(20, 25, 21, 420), + Trans(20, 26, 21, 420), + Trans(20, 27, 21, 420), + Trans(20, 31, 21, 420), + Trans(20, 38, 21, 420), + Trans(20, 40, 21, 420), + Trans(20, 54, 21, 420), + Trans(20, 68, 21, 420), + Trans(20, 73, 21, 420), + Trans(20, 80, 21, 420), + Trans(20, 83, 21, 420), + Trans(20, 86, 21, 420), + Trans(20, 107, 21, 420), + Trans(22, 5, 21, 420), + Trans(22, 12, 21, 420), + Trans(22, 14, 21, 420), + Trans(22, 16, 21, 420), + Trans(22, 17, 21, 420), + Trans(22, 18, 21, 420), + Trans(22, 19, 21, 420), + Trans(22, 20, 21, 420), + Trans(22, 21, 21, 420), + Trans(22, 22, 21, 420), + Trans(22, 23, 21, 420), + Trans(22, 24, 21, 420), + Trans(22, 25, 21, 420), + Trans(22, 26, 21, 420), + Trans(22, 29, 21, 420), + Trans(22, 30, 21, 420), + Trans(22, 32, 21, 420), + Trans(22, 33, 21, 420), + Trans(22, 38, 21, 420), + Trans(22, 41, 21, 420), + Trans(22, 42, 21, 420), + Trans(22, 43, 21, 420), + Trans(22, 44, 21, 420), + Trans(22, 45, 21, 420), + Trans(22, 46, 21, 420), + Trans(22, 52, 21, 420), + Trans(22, 92, 21, 420), + Trans(22, 96, 21, 420), ], k: 3, }, - /* 96 - "ConcatenationListOpt" */ + /* 97 - "ConcatenationListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 417), Trans(0, 42, 2, 418)], + transitions: &[Trans(0, 30, 1, 421), Trans(0, 42, 2, 422)], k: 1, }, - /* 97 - "Defaul" */ + /* 98 - "Defaul" */ LookaheadDFA { - prod0: 258, + prod0: 261, transitions: &[], k: 0, }, - /* 98 - "DefaultTerm" */ + /* 99 - "DefaultTerm" */ LookaheadDFA { prod0: 50, transitions: &[], k: 0, }, - /* 99 - "DefaultToken" */ + /* 100 - "DefaultToken" */ LookaheadDFA { - prod0: 155, + prod0: 157, transitions: &[], k: 0, }, - /* 100 - "DescriptionGroup" */ + /* 101 - "DescriptionGroup" */ LookaheadDFA { - prod0: 837, + prod0: 850, transitions: &[], k: 0, }, - /* 101 - "DescriptionGroupGroup" */ + /* 102 - "DescriptionGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 838), - Trans(0, 68, 2, 841), - Trans(0, 74, 2, 841), - Trans(0, 81, 2, 841), - Trans(0, 86, 2, 841), - Trans(0, 89, 2, 841), + Trans(0, 38, 1, 851), + Trans(0, 57, 2, 854), + Trans(0, 69, 2, 854), + Trans(0, 75, 2, 854), + Trans(0, 82, 2, 854), + Trans(0, 87, 2, 854), + Trans(0, 90, 2, 854), ], k: 1, }, - /* 102 - "DescriptionGroupGroupList" */ + /* 103 - "DescriptionGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 839), - Trans(0, 38, 1, 839), - Trans(0, 42, 2, 840), - Trans(0, 68, 1, 839), - Trans(0, 74, 1, 839), - Trans(0, 81, 1, 839), - Trans(0, 86, 1, 839), - Trans(0, 89, 1, 839), + Trans(0, 36, 1, 852), + Trans(0, 38, 1, 852), + Trans(0, 42, 2, 853), + Trans(0, 57, 1, 852), + Trans(0, 69, 1, 852), + Trans(0, 75, 1, 852), + Trans(0, 82, 1, 852), + Trans(0, 87, 1, 852), + Trans(0, 90, 1, 852), ], k: 1, }, - /* 103 - "DescriptionGroupList" */ + /* 104 - "DescriptionGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 842), - Trans(0, 38, 2, 843), - Trans(0, 68, 2, 843), - Trans(0, 74, 2, 843), - Trans(0, 81, 2, 843), - Trans(0, 86, 2, 843), - Trans(0, 89, 2, 843), + Trans(0, 36, 1, 855), + Trans(0, 38, 2, 856), + Trans(0, 57, 2, 856), + Trans(0, 69, 2, 856), + Trans(0, 75, 2, 856), + Trans(0, 82, 2, 856), + Trans(0, 87, 2, 856), + Trans(0, 90, 2, 856), ], k: 1, }, - /* 104 - "DescriptionItem" */ + /* 105 - "DescriptionItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 68, 18, -1), - Trans(0, 74, 8, -1), - Trans(0, 81, 1, -1), - Trans(0, 86, 13, -1), - Trans(0, 89, 5, -1), - Trans(1, 5, 2, -1), - Trans(1, 106, 4, -1), - Trans(2, 106, 3, 844), - Trans(4, 5, 3, 844), - Trans(4, 36, 3, 844), - Trans(4, 38, 3, 844), - Trans(4, 40, 3, 844), - Trans(5, 5, 6, -1), - Trans(5, 74, 12, -1), - Trans(5, 81, 7, -1), - Trans(5, 86, 17, -1), - Trans(6, 74, 11, 845), - Trans(6, 81, 3, 844), - Trans(6, 86, 16, 846), - Trans(7, 5, 3, 844), - Trans(7, 106, 3, 844), - Trans(8, 5, 9, -1), - Trans(8, 106, 10, -1), - Trans(9, 106, 11, 845), - Trans(10, 5, 11, 845), - Trans(10, 36, 11, 845), - Trans(10, 38, 11, 845), - Trans(12, 5, 11, 845), - Trans(12, 106, 11, 845), - Trans(13, 5, 14, -1), - Trans(13, 106, 15, -1), - Trans(14, 106, 16, 846), - Trans(15, 5, 16, 846), - Trans(15, 38, 16, 846), - Trans(17, 5, 16, 846), - Trans(17, 106, 16, 846), + Trans(0, 57, 23, -1), + Trans(0, 69, 18, -1), + Trans(0, 75, 8, -1), + Trans(0, 82, 4, -1), + Trans(0, 87, 13, -1), + Trans(0, 90, 1, -1), + Trans(1, 5, 6, -1), + Trans(1, 75, 9, -1), + Trans(1, 82, 2, -1), + Trans(1, 87, 14, -1), + Trans(2, 5, 3, 857), + Trans(2, 107, 3, 857), + Trans(4, 5, 7, -1), + Trans(4, 107, 5, -1), + Trans(5, 5, 3, 857), + Trans(5, 36, 3, 857), + Trans(5, 38, 3, 857), + Trans(5, 40, 3, 857), + Trans(6, 75, 10, 858), + Trans(6, 82, 3, 857), + Trans(6, 87, 15, 859), + Trans(7, 107, 3, 857), + Trans(8, 5, 11, -1), + Trans(8, 107, 12, -1), + Trans(9, 5, 10, 858), + Trans(9, 107, 10, 858), + Trans(11, 107, 10, 858), + Trans(12, 5, 10, 858), + Trans(12, 36, 10, 858), + Trans(12, 38, 10, 858), + Trans(13, 5, 16, -1), + Trans(13, 107, 17, -1), + Trans(14, 5, 15, 859), + Trans(14, 107, 15, 859), + Trans(16, 107, 15, 859), + Trans(17, 5, 15, 859), + Trans(17, 38, 15, 859), Trans(18, 5, 19, -1), Trans(18, 31, 20, -1), - Trans(18, 106, 21, -1), - Trans(19, 31, 22, 847), - Trans(19, 106, 22, 847), - Trans(20, 5, 22, 847), - Trans(20, 106, 22, 847), - Trans(21, 5, 22, 847), - Trans(21, 28, 22, 847), - Trans(21, 45, 22, 847), + Trans(18, 107, 21, -1), + Trans(19, 31, 22, 860), + Trans(19, 107, 22, 860), + Trans(20, 5, 22, 860), + Trans(20, 107, 22, 860), + Trans(21, 5, 22, 860), + Trans(21, 28, 22, 860), + Trans(21, 45, 22, 860), + Trans(23, 5, 24, -1), + Trans(23, 40, 25, -1), + Trans(24, 40, 26, 861), + Trans(25, 5, 26, 861), + Trans(25, 107, 26, 861), ], k: 3, }, - /* 105 - "Direction" */ + /* 106 - "Direction" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 70, 3, 700), - Trans(0, 71, 1, 698), - Trans(0, 80, 5, 702), - Trans(0, 84, 2, 699), - Trans(0, 90, 4, 701), + Trans(0, 71, 3, 704), + Trans(0, 72, 1, 702), + Trans(0, 81, 5, 706), + Trans(0, 85, 2, 703), + Trans(0, 91, 4, 705), ], k: 1, }, - /* 106 - "Dollar" */ + /* 107 - "Dollar" */ LookaheadDFA { - prod0: 230, + prod0: 233, transitions: &[], k: 0, }, - /* 107 - "DollarTerm" */ + /* 108 - "DollarTerm" */ LookaheadDFA { prod0: 26, transitions: &[], k: 0, }, - /* 108 - "DollarToken" */ + /* 109 - "DollarToken" */ LookaheadDFA { - prod0: 128, + prod0: 130, transitions: &[], k: 0, }, - /* 109 - "Dot" */ + /* 110 - "Dot" */ LookaheadDFA { - prod0: 233, + prod0: 236, transitions: &[], k: 0, }, - /* 110 - "DotDot" */ + /* 111 - "DotDot" */ LookaheadDFA { - prod0: 231, + prod0: 234, transitions: &[], k: 0, }, - /* 111 - "DotDotEqu" */ + /* 112 - "DotDotEqu" */ LookaheadDFA { - prod0: 232, + prod0: 235, transitions: &[], k: 0, }, - /* 112 - "DotDotEquTerm" */ + /* 113 - "DotDotEquTerm" */ LookaheadDFA { prod0: 27, transitions: &[], k: 0, }, - /* 113 - "DotDotEquToken" */ + /* 114 - "DotDotEquToken" */ LookaheadDFA { - prod0: 130, + prod0: 132, transitions: &[], k: 0, }, - /* 114 - "DotDotTerm" */ + /* 115 - "DotDotTerm" */ LookaheadDFA { prod0: 28, transitions: &[], k: 0, }, - /* 115 - "DotDotToken" */ + /* 116 - "DotDotToken" */ LookaheadDFA { - prod0: 129, + prod0: 131, transitions: &[], k: 0, }, - /* 116 - "DotTerm" */ + /* 117 - "DotTerm" */ LookaheadDFA { prod0: 29, transitions: &[], k: 0, }, - /* 117 - "DotToken" */ + /* 118 - "DotToken" */ LookaheadDFA { - prod0: 131, + prod0: 133, transitions: &[], k: 0, }, - /* 118 - "Else" */ + /* 119 - "Else" */ LookaheadDFA { - prod0: 259, + prod0: 262, transitions: &[], k: 0, }, - /* 119 - "ElseTerm" */ + /* 120 - "ElseTerm" */ LookaheadDFA { prod0: 51, transitions: &[], k: 0, }, - /* 120 - "ElseToken" */ + /* 121 - "ElseToken" */ LookaheadDFA { - prod0: 156, + prod0: 158, transitions: &[], k: 0, }, - /* 121 - "Enum" */ + /* 122 - "Embed" */ LookaheadDFA { - prod0: 260, + prod0: 263, + transitions: &[], + k: 0, + }, + /* 123 - "EmbedContent" */ + LookaheadDFA { + prod0: 842, + transitions: &[], + k: 0, + }, + /* 124 - "EmbedContentToken" */ + LookaheadDFA { + prod0: 843, + transitions: &[], + k: 0, + }, + /* 125 - "EmbedContentTokenList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 38, 1, 844), + Trans(0, 42, 2, 845), + Trans(0, 108, 1, 844), + ], + k: 1, + }, + /* 126 - "EmbedDeclaration" */ + LookaheadDFA { + prod0: 841, + transitions: &[], + k: 0, + }, + /* 127 - "EmbedItem" */ + LookaheadDFA { + prod0: -1, + transitions: &[Trans(0, 38, 1, 846), Trans(0, 108, 2, 849)], + k: 1, + }, + /* 128 - "EmbedItemList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 38, 1, 847), + Trans(0, 42, 2, 848), + Trans(0, 108, 1, 847), + ], + k: 1, + }, + /* 129 - "EmbedTerm" */ + LookaheadDFA { + prod0: 52, + transitions: &[], + k: 0, + }, + /* 130 - "EmbedToken" */ + LookaheadDFA { + prod0: 159, + transitions: &[], + k: 0, + }, + /* 131 - "Enum" */ + LookaheadDFA { + prod0: 264, transitions: &[], k: 0, }, - /* 122 - "EnumDeclaration" */ + /* 132 - "EnumDeclaration" */ LookaheadDFA { - prod0: 590, + prod0: 594, transitions: &[], k: 0, }, - /* 123 - "EnumGroup" */ + /* 133 - "EnumGroup" */ LookaheadDFA { - prod0: 596, + prod0: 600, transitions: &[], k: 0, }, - /* 124 - "EnumGroupGroup" */ + /* 134 - "EnumGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 597), Trans(0, 106, 2, 598)], + transitions: &[Trans(0, 38, 1, 601), Trans(0, 107, 2, 602)], k: 1, }, - /* 125 - "EnumGroupList" */ + /* 135 - "EnumGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 599), - Trans(0, 38, 2, 600), - Trans(0, 106, 2, 600), + Trans(0, 36, 1, 603), + Trans(0, 38, 2, 604), + Trans(0, 107, 2, 604), ], k: 1, }, - /* 126 - "EnumItem" */ + /* 136 - "EnumItem" */ LookaheadDFA { - prod0: 601, + prod0: 605, transitions: &[], k: 0, }, - /* 127 - "EnumItemOpt" */ + /* 137 - "EnumItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 603), - Trans(0, 35, 1, 602), - Trans(0, 42, 2, 603), + Trans(0, 30, 2, 607), + Trans(0, 35, 1, 606), + Trans(0, 42, 2, 607), ], k: 1, }, - /* 128 - "EnumList" */ + /* 138 - "EnumList" */ LookaheadDFA { - prod0: 591, + prod0: 595, transitions: &[], k: 0, }, - /* 129 - "EnumListList" */ + /* 139 - "EnumListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 7, -1), - Trans(1, 5, 2, -1), - Trans(1, 36, 4, -1), - Trans(1, 38, 5, -1), - Trans(1, 42, 8, -1), - Trans(1, 106, 6, -1), - Trans(2, 36, 3, 592), - Trans(2, 38, 3, 592), - Trans(2, 42, 9, 593), - Trans(2, 106, 3, 592), - Trans(4, 5, 3, 592), - Trans(4, 39, 3, 592), - Trans(5, 5, 3, 592), - Trans(5, 36, 3, 592), - Trans(5, 38, 3, 592), - Trans(5, 106, 3, 592), - Trans(6, 5, 3, 592), - Trans(6, 30, 3, 592), - Trans(6, 35, 3, 592), - Trans(6, 42, 3, 592), - Trans(7, 5, 10, -1), - Trans(7, 29, 11, -1), - Trans(7, 30, 12, -1), - Trans(7, 36, 13, -1), - Trans(7, 38, 14, -1), - Trans(7, 42, 15, -1), - Trans(7, 47, 16, -1), - Trans(7, 48, 17, -1), - Trans(7, 49, 11, -1), - Trans(7, 57, 11, -1), - Trans(7, 58, 18, -1), - Trans(7, 61, 16, -1), - Trans(7, 62, 11, -1), - Trans(7, 63, 11, -1), - Trans(7, 67, 19, -1), - Trans(7, 68, 20, -1), - Trans(7, 69, 16, -1), - Trans(7, 73, 11, -1), - Trans(7, 76, 11, -1), - Trans(7, 77, 11, -1), - Trans(7, 80, 11, -1), - Trans(7, 97, 11, -1), - Trans(7, 101, 11, -1), - Trans(7, 104, 11, -1), - Trans(7, 105, 11, -1), - Trans(8, 5, 9, 593), - Trans(8, 29, 9, 593), - Trans(8, 30, 9, 593), - Trans(8, 36, 9, 593), - Trans(8, 38, 9, 593), - Trans(8, 42, 9, 593), - Trans(8, 47, 9, 593), - Trans(8, 48, 9, 593), - Trans(8, 49, 9, 593), - Trans(8, 57, 9, 593), - Trans(8, 58, 9, 593), - Trans(8, 61, 9, 593), - Trans(8, 62, 9, 593), - Trans(8, 63, 9, 593), - Trans(8, 67, 9, 593), - Trans(8, 68, 9, 593), - Trans(8, 69, 9, 593), - Trans(8, 73, 9, 593), - Trans(8, 76, 9, 593), - Trans(8, 77, 9, 593), - Trans(8, 80, 9, 593), - Trans(8, 97, 9, 593), - Trans(8, 101, 9, 593), - Trans(8, 104, 9, 593), - Trans(8, 105, 9, 593), - Trans(10, 29, 9, 593), - Trans(10, 30, 9, 593), - Trans(10, 36, 9, 593), - Trans(10, 38, 9, 593), - Trans(10, 42, 9, 593), - Trans(10, 47, 9, 593), - Trans(10, 48, 9, 593), - Trans(10, 49, 9, 593), - Trans(10, 57, 9, 593), - Trans(10, 58, 9, 593), - Trans(10, 61, 9, 593), - Trans(10, 62, 9, 593), - Trans(10, 63, 9, 593), - Trans(10, 67, 9, 593), - Trans(10, 68, 9, 593), - Trans(10, 69, 9, 593), - Trans(10, 73, 9, 593), - Trans(10, 76, 9, 593), - Trans(10, 77, 9, 593), - Trans(10, 80, 9, 593), - Trans(10, 97, 9, 593), - Trans(10, 101, 9, 593), - Trans(10, 104, 9, 593), - Trans(10, 105, 9, 593), - Trans(11, 5, 9, 593), - Trans(11, 106, 9, 593), - Trans(12, 5, 9, 593), - Trans(12, 36, 9, 593), - Trans(12, 38, 9, 593), - Trans(12, 42, 9, 593), - Trans(12, 106, 9, 593), - Trans(13, 5, 9, 593), - Trans(13, 39, 9, 593), - Trans(14, 5, 9, 593), - Trans(14, 29, 9, 593), - Trans(14, 36, 9, 593), - Trans(14, 38, 9, 593), - Trans(14, 42, 9, 593), - Trans(14, 47, 9, 593), - Trans(14, 48, 9, 593), - Trans(14, 49, 9, 593), - Trans(14, 57, 9, 593), - Trans(14, 58, 9, 593), - Trans(14, 61, 9, 593), - Trans(14, 62, 9, 593), - Trans(14, 63, 9, 593), - Trans(14, 67, 9, 593), - Trans(14, 68, 9, 593), - Trans(14, 69, 9, 593), - Trans(14, 73, 9, 593), - Trans(14, 76, 9, 593), - Trans(14, 77, 9, 593), - Trans(14, 80, 9, 593), - Trans(14, 97, 9, 593), - Trans(14, 101, 9, 593), - Trans(14, 104, 9, 593), - Trans(14, 105, 9, 593), - Trans(15, 0, 9, 593), - Trans(15, 5, 9, 593), - Trans(15, 29, 9, 593), - Trans(15, 30, 9, 593), - Trans(15, 36, 9, 593), - Trans(15, 38, 9, 593), - Trans(15, 42, 9, 593), - Trans(15, 47, 9, 593), - Trans(15, 48, 9, 593), - Trans(15, 49, 9, 593), - Trans(15, 56, 9, 593), - Trans(15, 57, 9, 593), - Trans(15, 58, 9, 593), - Trans(15, 61, 9, 593), - Trans(15, 62, 9, 593), - Trans(15, 63, 9, 593), - Trans(15, 67, 9, 593), - Trans(15, 68, 9, 593), - Trans(15, 69, 9, 593), - Trans(15, 73, 9, 593), - Trans(15, 74, 9, 593), - Trans(15, 76, 9, 593), - Trans(15, 77, 9, 593), - Trans(15, 80, 9, 593), - Trans(15, 81, 9, 593), - Trans(15, 86, 9, 593), - Trans(15, 89, 9, 593), - Trans(15, 97, 9, 593), - Trans(15, 101, 9, 593), - Trans(15, 104, 9, 593), - Trans(15, 105, 9, 593), - Trans(16, 5, 9, 593), - Trans(16, 38, 9, 593), - Trans(17, 5, 9, 593), - Trans(17, 40, 9, 593), - Trans(18, 5, 9, 593), - Trans(18, 31, 9, 593), - Trans(18, 46, 9, 593), - Trans(18, 106, 9, 593), - Trans(19, 5, 9, 593), - Trans(19, 6, 9, 593), - Trans(19, 7, 9, 593), - Trans(19, 8, 9, 593), - Trans(19, 9, 9, 593), - Trans(19, 10, 9, 593), - Trans(19, 11, 9, 593), - Trans(19, 18, 9, 593), - Trans(19, 24, 9, 593), - Trans(19, 25, 9, 593), - Trans(19, 26, 9, 593), - Trans(19, 27, 9, 593), - Trans(19, 31, 9, 593), - Trans(19, 38, 9, 593), - Trans(19, 40, 9, 593), - Trans(19, 54, 9, 593), - Trans(19, 67, 9, 593), - Trans(19, 72, 9, 593), - Trans(19, 79, 9, 593), - Trans(19, 82, 9, 593), - Trans(19, 85, 9, 593), - Trans(19, 106, 9, 593), - Trans(20, 5, 9, 593), - Trans(20, 31, 9, 593), - Trans(20, 106, 9, 593), + Trans(1, 5, 6, -1), + Trans(1, 36, 2, -1), + Trans(1, 38, 4, -1), + Trans(1, 42, 20, -1), + Trans(1, 107, 5, -1), + Trans(2, 5, 3, 596), + Trans(2, 39, 3, 596), + Trans(4, 5, 3, 596), + Trans(4, 36, 3, 596), + Trans(4, 38, 3, 596), + Trans(4, 107, 3, 596), + Trans(5, 5, 3, 596), + Trans(5, 30, 3, 596), + Trans(5, 35, 3, 596), + Trans(5, 42, 3, 596), + Trans(6, 36, 3, 596), + Trans(6, 38, 3, 596), + Trans(6, 42, 19, 597), + Trans(6, 107, 3, 596), + Trans(7, 5, 8, -1), + Trans(7, 29, 9, -1), + Trans(7, 30, 10, -1), + Trans(7, 36, 11, -1), + Trans(7, 38, 12, -1), + Trans(7, 42, 13, -1), + Trans(7, 47, 14, -1), + Trans(7, 48, 15, -1), + Trans(7, 49, 9, -1), + Trans(7, 58, 9, -1), + Trans(7, 59, 16, -1), + Trans(7, 62, 14, -1), + Trans(7, 63, 9, -1), + Trans(7, 64, 9, -1), + Trans(7, 68, 17, -1), + Trans(7, 69, 18, -1), + Trans(7, 70, 14, -1), + Trans(7, 74, 9, -1), + Trans(7, 77, 9, -1), + Trans(7, 78, 9, -1), + Trans(7, 81, 9, -1), + Trans(7, 98, 9, -1), + Trans(7, 102, 9, -1), + Trans(7, 105, 9, -1), + Trans(7, 106, 9, -1), + Trans(8, 29, 19, 597), + Trans(8, 30, 19, 597), + Trans(8, 36, 19, 597), + Trans(8, 38, 19, 597), + Trans(8, 42, 19, 597), + Trans(8, 47, 19, 597), + Trans(8, 48, 19, 597), + Trans(8, 49, 19, 597), + Trans(8, 58, 19, 597), + Trans(8, 59, 19, 597), + Trans(8, 62, 19, 597), + Trans(8, 63, 19, 597), + Trans(8, 64, 19, 597), + Trans(8, 68, 19, 597), + Trans(8, 69, 19, 597), + Trans(8, 70, 19, 597), + Trans(8, 74, 19, 597), + Trans(8, 77, 19, 597), + Trans(8, 78, 19, 597), + Trans(8, 81, 19, 597), + Trans(8, 98, 19, 597), + Trans(8, 102, 19, 597), + Trans(8, 105, 19, 597), + Trans(8, 106, 19, 597), + Trans(9, 5, 19, 597), + Trans(9, 107, 19, 597), + Trans(10, 5, 19, 597), + Trans(10, 36, 19, 597), + Trans(10, 38, 19, 597), + Trans(10, 42, 19, 597), + Trans(10, 107, 19, 597), + Trans(11, 5, 19, 597), + Trans(11, 39, 19, 597), + Trans(12, 5, 19, 597), + Trans(12, 29, 19, 597), + Trans(12, 36, 19, 597), + Trans(12, 38, 19, 597), + Trans(12, 42, 19, 597), + Trans(12, 47, 19, 597), + Trans(12, 48, 19, 597), + Trans(12, 49, 19, 597), + Trans(12, 58, 19, 597), + Trans(12, 59, 19, 597), + Trans(12, 62, 19, 597), + Trans(12, 63, 19, 597), + Trans(12, 64, 19, 597), + Trans(12, 68, 19, 597), + Trans(12, 69, 19, 597), + Trans(12, 70, 19, 597), + Trans(12, 74, 19, 597), + Trans(12, 77, 19, 597), + Trans(12, 78, 19, 597), + Trans(12, 81, 19, 597), + Trans(12, 98, 19, 597), + Trans(12, 102, 19, 597), + Trans(12, 105, 19, 597), + Trans(12, 106, 19, 597), + Trans(13, 0, 19, 597), + Trans(13, 5, 19, 597), + Trans(13, 29, 19, 597), + Trans(13, 30, 19, 597), + Trans(13, 36, 19, 597), + Trans(13, 38, 19, 597), + Trans(13, 42, 19, 597), + Trans(13, 47, 19, 597), + Trans(13, 48, 19, 597), + Trans(13, 49, 19, 597), + Trans(13, 56, 19, 597), + Trans(13, 57, 19, 597), + Trans(13, 58, 19, 597), + Trans(13, 59, 19, 597), + Trans(13, 62, 19, 597), + Trans(13, 63, 19, 597), + Trans(13, 64, 19, 597), + Trans(13, 68, 19, 597), + Trans(13, 69, 19, 597), + Trans(13, 70, 19, 597), + Trans(13, 74, 19, 597), + Trans(13, 75, 19, 597), + Trans(13, 77, 19, 597), + Trans(13, 78, 19, 597), + Trans(13, 81, 19, 597), + Trans(13, 82, 19, 597), + Trans(13, 87, 19, 597), + Trans(13, 90, 19, 597), + Trans(13, 98, 19, 597), + Trans(13, 102, 19, 597), + Trans(13, 105, 19, 597), + Trans(13, 106, 19, 597), + Trans(14, 5, 19, 597), + Trans(14, 38, 19, 597), + Trans(15, 5, 19, 597), + Trans(15, 40, 19, 597), + Trans(16, 5, 19, 597), + Trans(16, 31, 19, 597), + Trans(16, 46, 19, 597), + Trans(16, 107, 19, 597), + Trans(17, 5, 19, 597), + Trans(17, 6, 19, 597), + Trans(17, 7, 19, 597), + Trans(17, 8, 19, 597), + Trans(17, 9, 19, 597), + Trans(17, 10, 19, 597), + Trans(17, 11, 19, 597), + Trans(17, 18, 19, 597), + Trans(17, 24, 19, 597), + Trans(17, 25, 19, 597), + Trans(17, 26, 19, 597), + Trans(17, 27, 19, 597), + Trans(17, 31, 19, 597), + Trans(17, 38, 19, 597), + Trans(17, 40, 19, 597), + Trans(17, 54, 19, 597), + Trans(17, 68, 19, 597), + Trans(17, 73, 19, 597), + Trans(17, 80, 19, 597), + Trans(17, 83, 19, 597), + Trans(17, 86, 19, 597), + Trans(17, 107, 19, 597), + Trans(18, 5, 19, 597), + Trans(18, 31, 19, 597), + Trans(18, 107, 19, 597), + Trans(20, 5, 19, 597), + Trans(20, 29, 19, 597), + Trans(20, 30, 19, 597), + Trans(20, 36, 19, 597), + Trans(20, 38, 19, 597), + Trans(20, 42, 19, 597), + Trans(20, 47, 19, 597), + Trans(20, 48, 19, 597), + Trans(20, 49, 19, 597), + Trans(20, 58, 19, 597), + Trans(20, 59, 19, 597), + Trans(20, 62, 19, 597), + Trans(20, 63, 19, 597), + Trans(20, 64, 19, 597), + Trans(20, 68, 19, 597), + Trans(20, 69, 19, 597), + Trans(20, 70, 19, 597), + Trans(20, 74, 19, 597), + Trans(20, 77, 19, 597), + Trans(20, 78, 19, 597), + Trans(20, 81, 19, 597), + Trans(20, 98, 19, 597), + Trans(20, 102, 19, 597), + Trans(20, 105, 19, 597), + Trans(20, 106, 19, 597), ], k: 3, }, - /* 130 - "EnumListOpt" */ + /* 140 - "EnumListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 594), Trans(0, 42, 2, 595)], + transitions: &[Trans(0, 30, 1, 598), Trans(0, 42, 2, 599)], k: 1, }, - /* 131 - "EnumTerm" */ + /* 141 - "EnumTerm" */ LookaheadDFA { - prod0: 52, + prod0: 53, transitions: &[], k: 0, }, - /* 132 - "EnumToken" */ + /* 142 - "EnumToken" */ LookaheadDFA { - prod0: 157, + prod0: 160, transitions: &[], k: 0, }, - /* 133 - "Equ" */ + /* 143 - "Equ" */ LookaheadDFA { - prod0: 234, + prod0: 237, transitions: &[], k: 0, }, - /* 134 - "EquTerm" */ + /* 144 - "EquTerm" */ LookaheadDFA { prod0: 30, transitions: &[], k: 0, }, - /* 135 - "EquToken" */ + /* 145 - "EquToken" */ LookaheadDFA { - prod0: 132, + prod0: 134, transitions: &[], k: 0, }, - /* 136 - "Exponent" */ + /* 146 - "Exponent" */ LookaheadDFA { - prod0: 209, + prod0: 212, transitions: &[], k: 0, }, - /* 137 - "ExponentTerm" */ + /* 147 - "ExponentTerm" */ LookaheadDFA { prod0: 2, transitions: &[], k: 0, }, - /* 138 - "ExponentToken" */ + /* 148 - "ExponentToken" */ LookaheadDFA { - prod0: 107, + prod0: 109, transitions: &[], k: 0, }, - /* 139 - "Export" */ + /* 149 - "Export" */ LookaheadDFA { - prod0: 261, + prod0: 265, transitions: &[], k: 0, }, - /* 140 - "ExportDeclaration" */ + /* 150 - "ExportDeclaration" */ LookaheadDFA { - prod0: 717, + prod0: 721, transitions: &[], k: 0, }, - /* 141 - "ExportDeclarationGroup" */ + /* 151 - "ExportDeclarationGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 719), - Trans(0, 46, 1, 718), - Trans(0, 106, 2, 719), + Trans(0, 31, 2, 723), + Trans(0, 46, 1, 722), + Trans(0, 107, 2, 723), ], k: 1, }, - /* 142 - "ExportDeclarationOpt" */ + /* 152 - "ExportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 720), Trans(0, 45, 2, 721)], + transitions: &[Trans(0, 28, 1, 724), Trans(0, 45, 2, 725)], k: 1, }, - /* 143 - "ExportTerm" */ + /* 153 - "ExportTerm" */ LookaheadDFA { - prod0: 53, + prod0: 54, transitions: &[], k: 0, }, - /* 144 - "ExportToken" */ + /* 154 - "ExportToken" */ LookaheadDFA { - prod0: 158, + prod0: 161, transitions: &[], k: 0, }, - /* 145 - "Expression" */ + /* 155 - "Expression" */ LookaheadDFA { - prod0: 345, + prod0: 349, transitions: &[], k: 0, }, - /* 146 - "Expression01" */ + /* 156 - "Expression01" */ LookaheadDFA { - prod0: 348, + prod0: 352, transitions: &[], k: 0, }, - /* 147 - "Expression01List" */ + /* 157 - "Expression01List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 350), - Trans(0, 14, 2, 350), - Trans(0, 22, 1, 349), - Trans(0, 23, 2, 350), - Trans(0, 29, 2, 350), - Trans(0, 30, 2, 350), - Trans(0, 32, 2, 350), - Trans(0, 33, 2, 350), - Trans(0, 38, 2, 350), - Trans(0, 41, 2, 350), - Trans(0, 42, 2, 350), - Trans(0, 43, 2, 350), - Trans(0, 44, 2, 350), - Trans(0, 45, 2, 350), - Trans(0, 91, 2, 350), - Trans(0, 95, 2, 350), + Trans(0, 12, 2, 354), + Trans(0, 14, 2, 354), + Trans(0, 22, 1, 353), + Trans(0, 23, 2, 354), + Trans(0, 29, 2, 354), + Trans(0, 30, 2, 354), + Trans(0, 32, 2, 354), + Trans(0, 33, 2, 354), + Trans(0, 38, 2, 354), + Trans(0, 41, 2, 354), + Trans(0, 42, 2, 354), + Trans(0, 43, 2, 354), + Trans(0, 44, 2, 354), + Trans(0, 45, 2, 354), + Trans(0, 92, 2, 354), + Trans(0, 96, 2, 354), ], k: 1, }, - /* 148 - "Expression02" */ + /* 158 - "Expression02" */ LookaheadDFA { - prod0: 351, + prod0: 355, transitions: &[], k: 0, }, - /* 149 - "Expression02List" */ + /* 159 - "Expression02List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 353), - Trans(0, 14, 2, 353), - Trans(0, 22, 2, 353), - Trans(0, 23, 2, 353), - Trans(0, 26, 1, 352), - Trans(0, 29, 2, 353), - Trans(0, 30, 2, 353), - Trans(0, 32, 2, 353), - Trans(0, 33, 2, 353), - Trans(0, 38, 2, 353), - Trans(0, 41, 2, 353), - Trans(0, 42, 2, 353), - Trans(0, 43, 2, 353), - Trans(0, 44, 2, 353), - Trans(0, 45, 2, 353), - Trans(0, 91, 2, 353), - Trans(0, 95, 2, 353), + Trans(0, 12, 2, 357), + Trans(0, 14, 2, 357), + Trans(0, 22, 2, 357), + Trans(0, 23, 2, 357), + Trans(0, 26, 1, 356), + Trans(0, 29, 2, 357), + Trans(0, 30, 2, 357), + Trans(0, 32, 2, 357), + Trans(0, 33, 2, 357), + Trans(0, 38, 2, 357), + Trans(0, 41, 2, 357), + Trans(0, 42, 2, 357), + Trans(0, 43, 2, 357), + Trans(0, 44, 2, 357), + Trans(0, 45, 2, 357), + Trans(0, 92, 2, 357), + Trans(0, 96, 2, 357), ], k: 1, }, - /* 150 - "Expression03" */ + /* 160 - "Expression03" */ LookaheadDFA { - prod0: 354, + prod0: 358, transitions: &[], k: 0, }, - /* 151 - "Expression03List" */ + /* 161 - "Expression03List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 356), - Trans(0, 14, 2, 356), - Trans(0, 22, 2, 356), - Trans(0, 23, 2, 356), - Trans(0, 25, 1, 355), - Trans(0, 26, 2, 356), - Trans(0, 29, 2, 356), - Trans(0, 30, 2, 356), - Trans(0, 32, 2, 356), - Trans(0, 33, 2, 356), - Trans(0, 38, 2, 356), - Trans(0, 41, 2, 356), - Trans(0, 42, 2, 356), - Trans(0, 43, 2, 356), - Trans(0, 44, 2, 356), - Trans(0, 45, 2, 356), - Trans(0, 91, 2, 356), - Trans(0, 95, 2, 356), + Trans(0, 12, 2, 360), + Trans(0, 14, 2, 360), + Trans(0, 22, 2, 360), + Trans(0, 23, 2, 360), + Trans(0, 25, 1, 359), + Trans(0, 26, 2, 360), + Trans(0, 29, 2, 360), + Trans(0, 30, 2, 360), + Trans(0, 32, 2, 360), + Trans(0, 33, 2, 360), + Trans(0, 38, 2, 360), + Trans(0, 41, 2, 360), + Trans(0, 42, 2, 360), + Trans(0, 43, 2, 360), + Trans(0, 44, 2, 360), + Trans(0, 45, 2, 360), + Trans(0, 92, 2, 360), + Trans(0, 96, 2, 360), ], k: 1, }, - /* 152 - "Expression04" */ + /* 162 - "Expression04" */ LookaheadDFA { - prod0: 357, + prod0: 361, transitions: &[], k: 0, }, - /* 153 - "Expression04List" */ + /* 163 - "Expression04List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 359), - Trans(0, 14, 2, 359), - Trans(0, 22, 2, 359), - Trans(0, 23, 2, 359), - Trans(0, 24, 1, 358), - Trans(0, 25, 2, 359), - Trans(0, 26, 2, 359), - Trans(0, 29, 2, 359), - Trans(0, 30, 2, 359), - Trans(0, 32, 2, 359), - Trans(0, 33, 2, 359), - Trans(0, 38, 2, 359), - Trans(0, 41, 2, 359), - Trans(0, 42, 2, 359), - Trans(0, 43, 2, 359), - Trans(0, 44, 2, 359), - Trans(0, 45, 2, 359), - Trans(0, 91, 2, 359), - Trans(0, 95, 2, 359), + Trans(0, 12, 2, 363), + Trans(0, 14, 2, 363), + Trans(0, 22, 2, 363), + Trans(0, 23, 2, 363), + Trans(0, 24, 1, 362), + Trans(0, 25, 2, 363), + Trans(0, 26, 2, 363), + Trans(0, 29, 2, 363), + Trans(0, 30, 2, 363), + Trans(0, 32, 2, 363), + Trans(0, 33, 2, 363), + Trans(0, 38, 2, 363), + Trans(0, 41, 2, 363), + Trans(0, 42, 2, 363), + Trans(0, 43, 2, 363), + Trans(0, 44, 2, 363), + Trans(0, 45, 2, 363), + Trans(0, 92, 2, 363), + Trans(0, 96, 2, 363), ], k: 1, }, - /* 154 - "Expression05" */ + /* 164 - "Expression05" */ LookaheadDFA { - prod0: 360, + prod0: 364, transitions: &[], k: 0, }, - /* 155 - "Expression05List" */ + /* 165 - "Expression05List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 362), - Trans(0, 14, 2, 362), - Trans(0, 21, 1, 361), - Trans(0, 22, 2, 362), - Trans(0, 23, 2, 362), - Trans(0, 24, 2, 362), - Trans(0, 25, 2, 362), - Trans(0, 26, 2, 362), - Trans(0, 29, 2, 362), - Trans(0, 30, 2, 362), - Trans(0, 32, 2, 362), - Trans(0, 33, 2, 362), - Trans(0, 38, 2, 362), - Trans(0, 41, 2, 362), - Trans(0, 42, 2, 362), - Trans(0, 43, 2, 362), - Trans(0, 44, 2, 362), - Trans(0, 45, 2, 362), - Trans(0, 91, 2, 362), - Trans(0, 95, 2, 362), + Trans(0, 12, 2, 366), + Trans(0, 14, 2, 366), + Trans(0, 21, 1, 365), + Trans(0, 22, 2, 366), + Trans(0, 23, 2, 366), + Trans(0, 24, 2, 366), + Trans(0, 25, 2, 366), + Trans(0, 26, 2, 366), + Trans(0, 29, 2, 366), + Trans(0, 30, 2, 366), + Trans(0, 32, 2, 366), + Trans(0, 33, 2, 366), + Trans(0, 38, 2, 366), + Trans(0, 41, 2, 366), + Trans(0, 42, 2, 366), + Trans(0, 43, 2, 366), + Trans(0, 44, 2, 366), + Trans(0, 45, 2, 366), + Trans(0, 92, 2, 366), + Trans(0, 96, 2, 366), ], k: 1, }, - /* 156 - "Expression06" */ + /* 166 - "Expression06" */ LookaheadDFA { - prod0: 363, + prod0: 367, transitions: &[], k: 0, }, - /* 157 - "Expression06List" */ + /* 167 - "Expression06List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 365), - Trans(0, 14, 2, 365), - Trans(0, 20, 1, 364), - Trans(0, 21, 2, 365), - Trans(0, 22, 2, 365), - Trans(0, 23, 2, 365), - Trans(0, 24, 2, 365), - Trans(0, 25, 2, 365), - Trans(0, 26, 2, 365), - Trans(0, 29, 2, 365), - Trans(0, 30, 2, 365), - Trans(0, 32, 2, 365), - Trans(0, 33, 2, 365), - Trans(0, 38, 2, 365), - Trans(0, 41, 2, 365), - Trans(0, 42, 2, 365), - Trans(0, 43, 2, 365), - Trans(0, 44, 2, 365), - Trans(0, 45, 2, 365), - Trans(0, 91, 2, 365), - Trans(0, 95, 2, 365), + Trans(0, 12, 2, 369), + Trans(0, 14, 2, 369), + Trans(0, 20, 1, 368), + Trans(0, 21, 2, 369), + Trans(0, 22, 2, 369), + Trans(0, 23, 2, 369), + Trans(0, 24, 2, 369), + Trans(0, 25, 2, 369), + Trans(0, 26, 2, 369), + Trans(0, 29, 2, 369), + Trans(0, 30, 2, 369), + Trans(0, 32, 2, 369), + Trans(0, 33, 2, 369), + Trans(0, 38, 2, 369), + Trans(0, 41, 2, 369), + Trans(0, 42, 2, 369), + Trans(0, 43, 2, 369), + Trans(0, 44, 2, 369), + Trans(0, 45, 2, 369), + Trans(0, 92, 2, 369), + Trans(0, 96, 2, 369), ], k: 1, }, - /* 158 - "Expression07" */ + /* 168 - "Expression07" */ LookaheadDFA { - prod0: 366, + prod0: 370, transitions: &[], k: 0, }, - /* 159 - "Expression07List" */ + /* 169 - "Expression07List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 368), - Trans(0, 14, 2, 368), - Trans(0, 19, 1, 367), - Trans(0, 20, 2, 368), - Trans(0, 21, 2, 368), - Trans(0, 22, 2, 368), - Trans(0, 23, 2, 368), - Trans(0, 24, 2, 368), - Trans(0, 25, 2, 368), - Trans(0, 26, 2, 368), - Trans(0, 29, 2, 368), - Trans(0, 30, 2, 368), - Trans(0, 32, 2, 368), - Trans(0, 33, 2, 368), - Trans(0, 38, 2, 368), - Trans(0, 41, 2, 368), - Trans(0, 42, 2, 368), - Trans(0, 43, 2, 368), - Trans(0, 44, 2, 368), - Trans(0, 45, 2, 368), - Trans(0, 91, 2, 368), - Trans(0, 95, 2, 368), + Trans(0, 12, 2, 372), + Trans(0, 14, 2, 372), + Trans(0, 19, 1, 371), + Trans(0, 20, 2, 372), + Trans(0, 21, 2, 372), + Trans(0, 22, 2, 372), + Trans(0, 23, 2, 372), + Trans(0, 24, 2, 372), + Trans(0, 25, 2, 372), + Trans(0, 26, 2, 372), + Trans(0, 29, 2, 372), + Trans(0, 30, 2, 372), + Trans(0, 32, 2, 372), + Trans(0, 33, 2, 372), + Trans(0, 38, 2, 372), + Trans(0, 41, 2, 372), + Trans(0, 42, 2, 372), + Trans(0, 43, 2, 372), + Trans(0, 44, 2, 372), + Trans(0, 45, 2, 372), + Trans(0, 92, 2, 372), + Trans(0, 96, 2, 372), ], k: 1, }, - /* 160 - "Expression08" */ + /* 170 - "Expression08" */ LookaheadDFA { - prod0: 369, + prod0: 373, transitions: &[], k: 0, }, - /* 161 - "Expression08List" */ + /* 171 - "Expression08List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 371), - Trans(0, 14, 2, 371), - Trans(0, 18, 1, 370), - Trans(0, 19, 2, 371), - Trans(0, 20, 2, 371), - Trans(0, 21, 2, 371), - Trans(0, 22, 2, 371), - Trans(0, 23, 2, 371), - Trans(0, 24, 2, 371), - Trans(0, 25, 2, 371), - Trans(0, 26, 2, 371), - Trans(0, 29, 2, 371), - Trans(0, 30, 2, 371), - Trans(0, 32, 2, 371), - Trans(0, 33, 2, 371), - Trans(0, 38, 2, 371), - Trans(0, 41, 2, 371), - Trans(0, 42, 2, 371), - Trans(0, 43, 2, 371), - Trans(0, 44, 2, 371), - Trans(0, 45, 2, 371), - Trans(0, 91, 2, 371), - Trans(0, 95, 2, 371), + Trans(0, 12, 2, 375), + Trans(0, 14, 2, 375), + Trans(0, 18, 1, 374), + Trans(0, 19, 2, 375), + Trans(0, 20, 2, 375), + Trans(0, 21, 2, 375), + Trans(0, 22, 2, 375), + Trans(0, 23, 2, 375), + Trans(0, 24, 2, 375), + Trans(0, 25, 2, 375), + Trans(0, 26, 2, 375), + Trans(0, 29, 2, 375), + Trans(0, 30, 2, 375), + Trans(0, 32, 2, 375), + Trans(0, 33, 2, 375), + Trans(0, 38, 2, 375), + Trans(0, 41, 2, 375), + Trans(0, 42, 2, 375), + Trans(0, 43, 2, 375), + Trans(0, 44, 2, 375), + Trans(0, 45, 2, 375), + Trans(0, 92, 2, 375), + Trans(0, 96, 2, 375), ], k: 1, }, - /* 162 - "Expression09" */ + /* 172 - "Expression09" */ LookaheadDFA { - prod0: 372, + prod0: 376, transitions: &[], k: 0, }, - /* 163 - "Expression09List" */ + /* 173 - "Expression09List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 376), - Trans(0, 14, 2, 376), - Trans(0, 17, 1, 373), - Trans(0, 18, 2, 376), - Trans(0, 19, 2, 376), - Trans(0, 20, 2, 376), - Trans(0, 21, 2, 376), - Trans(0, 22, 2, 376), - Trans(0, 23, 2, 376), - Trans(0, 24, 2, 376), - Trans(0, 25, 2, 376), - Trans(0, 26, 2, 376), - Trans(0, 29, 2, 376), - Trans(0, 30, 2, 376), - Trans(0, 32, 2, 376), - Trans(0, 33, 2, 376), - Trans(0, 38, 2, 376), - Trans(0, 41, 2, 376), - Trans(0, 42, 2, 376), - Trans(0, 43, 2, 376), - Trans(0, 44, 2, 376), - Trans(0, 45, 2, 376), - Trans(0, 46, 1, 373), - Trans(0, 91, 2, 376), - Trans(0, 95, 2, 376), + Trans(0, 12, 2, 380), + Trans(0, 14, 2, 380), + Trans(0, 17, 1, 377), + Trans(0, 18, 2, 380), + Trans(0, 19, 2, 380), + Trans(0, 20, 2, 380), + Trans(0, 21, 2, 380), + Trans(0, 22, 2, 380), + Trans(0, 23, 2, 380), + Trans(0, 24, 2, 380), + Trans(0, 25, 2, 380), + Trans(0, 26, 2, 380), + Trans(0, 29, 2, 380), + Trans(0, 30, 2, 380), + Trans(0, 32, 2, 380), + Trans(0, 33, 2, 380), + Trans(0, 38, 2, 380), + Trans(0, 41, 2, 380), + Trans(0, 42, 2, 380), + Trans(0, 43, 2, 380), + Trans(0, 44, 2, 380), + Trans(0, 45, 2, 380), + Trans(0, 46, 1, 377), + Trans(0, 92, 2, 380), + Trans(0, 96, 2, 380), ], k: 1, }, - /* 164 - "Expression09ListGroup" */ + /* 174 - "Expression09ListGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 17, 1, 374), Trans(0, 46, 2, 375)], + transitions: &[Trans(0, 17, 1, 378), Trans(0, 46, 2, 379)], k: 1, }, - /* 165 - "Expression10" */ + /* 175 - "Expression10" */ LookaheadDFA { - prod0: 377, + prod0: 381, transitions: &[], k: 0, }, - /* 166 - "Expression10List" */ + /* 176 - "Expression10List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 379), - Trans(0, 14, 2, 379), - Trans(0, 16, 1, 378), - Trans(0, 17, 2, 379), - Trans(0, 18, 2, 379), - Trans(0, 19, 2, 379), - Trans(0, 20, 2, 379), - Trans(0, 21, 2, 379), - Trans(0, 22, 2, 379), - Trans(0, 23, 2, 379), - Trans(0, 24, 2, 379), - Trans(0, 25, 2, 379), - Trans(0, 26, 2, 379), - Trans(0, 29, 2, 379), - Trans(0, 30, 2, 379), - Trans(0, 32, 2, 379), - Trans(0, 33, 2, 379), - Trans(0, 38, 2, 379), - Trans(0, 41, 2, 379), - Trans(0, 42, 2, 379), - Trans(0, 43, 2, 379), - Trans(0, 44, 2, 379), - Trans(0, 45, 2, 379), - Trans(0, 46, 2, 379), - Trans(0, 91, 2, 379), - Trans(0, 95, 2, 379), + Trans(0, 12, 2, 383), + Trans(0, 14, 2, 383), + Trans(0, 16, 1, 382), + Trans(0, 17, 2, 383), + Trans(0, 18, 2, 383), + Trans(0, 19, 2, 383), + Trans(0, 20, 2, 383), + Trans(0, 21, 2, 383), + Trans(0, 22, 2, 383), + Trans(0, 23, 2, 383), + Trans(0, 24, 2, 383), + Trans(0, 25, 2, 383), + Trans(0, 26, 2, 383), + Trans(0, 29, 2, 383), + Trans(0, 30, 2, 383), + Trans(0, 32, 2, 383), + Trans(0, 33, 2, 383), + Trans(0, 38, 2, 383), + Trans(0, 41, 2, 383), + Trans(0, 42, 2, 383), + Trans(0, 43, 2, 383), + Trans(0, 44, 2, 383), + Trans(0, 45, 2, 383), + Trans(0, 46, 2, 383), + Trans(0, 92, 2, 383), + Trans(0, 96, 2, 383), ], k: 1, }, - /* 167 - "Expression11" */ + /* 177 - "Expression11" */ LookaheadDFA { - prod0: 380, + prod0: 384, transitions: &[], k: 0, }, - /* 168 - "Expression11List" */ + /* 178 - "Expression11List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 382), - Trans(0, 14, 2, 382), - Trans(0, 16, 2, 382), - Trans(0, 17, 2, 382), - Trans(0, 18, 2, 382), - Trans(0, 19, 2, 382), - Trans(0, 20, 2, 382), - Trans(0, 21, 2, 382), - Trans(0, 22, 2, 382), - Trans(0, 23, 2, 382), - Trans(0, 24, 2, 382), - Trans(0, 25, 2, 382), - Trans(0, 26, 2, 382), - Trans(0, 29, 2, 382), - Trans(0, 30, 2, 382), - Trans(0, 32, 2, 382), - Trans(0, 33, 2, 382), - Trans(0, 38, 2, 382), - Trans(0, 41, 2, 382), - Trans(0, 42, 2, 382), - Trans(0, 43, 2, 382), - Trans(0, 44, 2, 382), - Trans(0, 45, 2, 382), - Trans(0, 46, 2, 382), - Trans(0, 52, 1, 381), - Trans(0, 91, 2, 382), - Trans(0, 95, 2, 382), + Trans(0, 12, 2, 386), + Trans(0, 14, 2, 386), + Trans(0, 16, 2, 386), + Trans(0, 17, 2, 386), + Trans(0, 18, 2, 386), + Trans(0, 19, 2, 386), + Trans(0, 20, 2, 386), + Trans(0, 21, 2, 386), + Trans(0, 22, 2, 386), + Trans(0, 23, 2, 386), + Trans(0, 24, 2, 386), + Trans(0, 25, 2, 386), + Trans(0, 26, 2, 386), + Trans(0, 29, 2, 386), + Trans(0, 30, 2, 386), + Trans(0, 32, 2, 386), + Trans(0, 33, 2, 386), + Trans(0, 38, 2, 386), + Trans(0, 41, 2, 386), + Trans(0, 42, 2, 386), + Trans(0, 43, 2, 386), + Trans(0, 44, 2, 386), + Trans(0, 45, 2, 386), + Trans(0, 46, 2, 386), + Trans(0, 52, 1, 385), + Trans(0, 92, 2, 386), + Trans(0, 96, 2, 386), ], k: 1, }, - /* 169 - "Expression12" */ + /* 179 - "Expression12" */ LookaheadDFA { - prod0: 383, + prod0: 387, transitions: &[], k: 0, }, - /* 170 - "Expression12List" */ + /* 180 - "Expression12List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 390), - Trans(0, 7, 2, 390), - Trans(0, 8, 2, 390), - Trans(0, 9, 2, 390), - Trans(0, 10, 2, 390), - Trans(0, 11, 2, 390), - Trans(0, 18, 1, 384), - Trans(0, 24, 1, 384), - Trans(0, 25, 1, 384), - Trans(0, 26, 1, 384), - Trans(0, 27, 1, 384), - Trans(0, 31, 2, 390), - Trans(0, 38, 2, 390), - Trans(0, 40, 2, 390), - Trans(0, 54, 2, 390), - Trans(0, 67, 2, 390), - Trans(0, 72, 2, 390), - Trans(0, 79, 2, 390), - Trans(0, 82, 2, 390), - Trans(0, 85, 2, 390), - Trans(0, 106, 2, 390), + Trans(0, 6, 2, 394), + Trans(0, 7, 2, 394), + Trans(0, 8, 2, 394), + Trans(0, 9, 2, 394), + Trans(0, 10, 2, 394), + Trans(0, 11, 2, 394), + Trans(0, 18, 1, 388), + Trans(0, 24, 1, 388), + Trans(0, 25, 1, 388), + Trans(0, 26, 1, 388), + Trans(0, 27, 1, 388), + Trans(0, 31, 2, 394), + Trans(0, 38, 2, 394), + Trans(0, 40, 2, 394), + Trans(0, 54, 2, 394), + Trans(0, 68, 2, 394), + Trans(0, 73, 2, 394), + Trans(0, 80, 2, 394), + Trans(0, 83, 2, 394), + Trans(0, 86, 2, 394), + Trans(0, 107, 2, 394), ], k: 1, }, - /* 171 - "Expression12ListGroup" */ + /* 181 - "Expression12ListGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 18, 2, 386), - Trans(0, 24, 3, 387), - Trans(0, 25, 5, 389), - Trans(0, 26, 4, 388), - Trans(0, 27, 1, 385), + Trans(0, 18, 2, 390), + Trans(0, 24, 3, 391), + Trans(0, 25, 5, 393), + Trans(0, 26, 4, 392), + Trans(0, 27, 1, 389), ], k: 1, }, - /* 172 - "ExpressionIdentifier" */ + /* 182 - "ExpressionIdentifier" */ LookaheadDFA { - prod0: 328, + prod0: 332, transitions: &[], k: 0, }, - /* 173 - "ExpressionIdentifierGroup" */ + /* 183 - "ExpressionIdentifierGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 330), - Trans(0, 14, 2, 330), - Trans(0, 15, 2, 330), - Trans(0, 16, 2, 330), - Trans(0, 17, 2, 330), - Trans(0, 18, 2, 330), - Trans(0, 19, 2, 330), - Trans(0, 20, 2, 330), - Trans(0, 21, 2, 330), - Trans(0, 22, 2, 330), - Trans(0, 23, 2, 330), - Trans(0, 24, 2, 330), - Trans(0, 25, 2, 330), - Trans(0, 26, 2, 330), - Trans(0, 28, 1, 329), - Trans(0, 29, 2, 330), - Trans(0, 30, 2, 330), - Trans(0, 32, 2, 330), - Trans(0, 33, 2, 330), - Trans(0, 34, 2, 330), - Trans(0, 35, 2, 330), - Trans(0, 38, 2, 330), - Trans(0, 39, 2, 330), - Trans(0, 40, 2, 330), - Trans(0, 41, 2, 330), - Trans(0, 42, 2, 330), - Trans(0, 43, 2, 330), - Trans(0, 44, 2, 330), - Trans(0, 45, 2, 330), - Trans(0, 46, 2, 330), - Trans(0, 52, 2, 330), - Trans(0, 91, 2, 330), - Trans(0, 95, 2, 330), + Trans(0, 12, 2, 334), + Trans(0, 14, 2, 334), + Trans(0, 15, 2, 334), + Trans(0, 16, 2, 334), + Trans(0, 17, 2, 334), + Trans(0, 18, 2, 334), + Trans(0, 19, 2, 334), + Trans(0, 20, 2, 334), + Trans(0, 21, 2, 334), + Trans(0, 22, 2, 334), + Trans(0, 23, 2, 334), + Trans(0, 24, 2, 334), + Trans(0, 25, 2, 334), + Trans(0, 26, 2, 334), + Trans(0, 28, 1, 333), + Trans(0, 29, 2, 334), + Trans(0, 30, 2, 334), + Trans(0, 32, 2, 334), + Trans(0, 33, 2, 334), + Trans(0, 34, 2, 334), + Trans(0, 35, 2, 334), + Trans(0, 38, 2, 334), + Trans(0, 39, 2, 334), + Trans(0, 40, 2, 334), + Trans(0, 41, 2, 334), + Trans(0, 42, 2, 334), + Trans(0, 43, 2, 334), + Trans(0, 44, 2, 334), + Trans(0, 45, 2, 334), + Trans(0, 46, 2, 334), + Trans(0, 52, 2, 334), + Trans(0, 92, 2, 334), + Trans(0, 96, 2, 334), ], k: 1, }, - /* 174 - "ExpressionIdentifierMember" */ + /* 184 - "ExpressionIdentifierMember" */ LookaheadDFA { - prod0: 338, + prod0: 342, transitions: &[], k: 0, }, - /* 175 - "ExpressionIdentifierMemberList" */ + /* 185 - "ExpressionIdentifierMemberList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 12, 2, 348), + Trans(0, 14, 2, 348), + Trans(0, 15, 2, 348), + Trans(0, 16, 2, 348), + Trans(0, 17, 2, 348), + Trans(0, 18, 2, 348), + Trans(0, 19, 2, 348), + Trans(0, 20, 2, 348), + Trans(0, 21, 2, 348), + Trans(0, 22, 2, 348), + Trans(0, 23, 2, 348), + Trans(0, 24, 2, 348), + Trans(0, 25, 2, 348), + Trans(0, 26, 2, 348), + Trans(0, 29, 2, 348), + Trans(0, 30, 2, 348), + Trans(0, 32, 2, 348), + Trans(0, 33, 2, 348), + Trans(0, 34, 2, 348), + Trans(0, 35, 2, 348), + Trans(0, 38, 2, 348), + Trans(0, 39, 1, 347), + Trans(0, 40, 2, 348), + Trans(0, 41, 2, 348), + Trans(0, 42, 2, 348), + Trans(0, 43, 2, 348), + Trans(0, 44, 2, 348), + Trans(0, 45, 2, 348), + Trans(0, 46, 2, 348), + Trans(0, 52, 2, 348), + Trans(0, 92, 2, 348), + Trans(0, 96, 2, 348), + ], + k: 1, + }, + /* 186 - "ExpressionIdentifierMemberList0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 344), - Trans(0, 14, 2, 344), - Trans(0, 15, 2, 344), - Trans(0, 16, 2, 344), - Trans(0, 17, 2, 344), - Trans(0, 18, 2, 344), - Trans(0, 19, 2, 344), - Trans(0, 20, 2, 344), - Trans(0, 21, 2, 344), - Trans(0, 22, 2, 344), - Trans(0, 23, 2, 344), - Trans(0, 24, 2, 344), - Trans(0, 25, 2, 344), - Trans(0, 26, 2, 344), - Trans(0, 29, 2, 344), - Trans(0, 30, 2, 344), - Trans(0, 32, 2, 344), - Trans(0, 33, 2, 344), - Trans(0, 34, 2, 344), - Trans(0, 35, 2, 344), - Trans(0, 38, 2, 344), - Trans(0, 39, 1, 343), - Trans(0, 40, 2, 344), - Trans(0, 41, 2, 344), - Trans(0, 42, 2, 344), - Trans(0, 43, 2, 344), - Trans(0, 44, 2, 344), - Trans(0, 45, 2, 344), - Trans(0, 46, 2, 344), - Trans(0, 52, 2, 344), - Trans(0, 91, 2, 344), - Trans(0, 95, 2, 344), + Trans(0, 12, 2, 346), + Trans(0, 14, 2, 346), + Trans(0, 15, 2, 346), + Trans(0, 16, 2, 346), + Trans(0, 17, 2, 346), + Trans(0, 18, 2, 346), + Trans(0, 19, 2, 346), + Trans(0, 20, 2, 346), + Trans(0, 21, 2, 346), + Trans(0, 22, 2, 346), + Trans(0, 23, 2, 346), + Trans(0, 24, 2, 346), + Trans(0, 25, 2, 346), + Trans(0, 26, 2, 346), + Trans(0, 29, 2, 346), + Trans(0, 30, 2, 346), + Trans(0, 32, 2, 346), + Trans(0, 33, 2, 346), + Trans(0, 34, 1, 343), + Trans(0, 35, 2, 346), + Trans(0, 38, 2, 346), + Trans(0, 40, 2, 346), + Trans(0, 41, 2, 346), + Trans(0, 42, 2, 346), + Trans(0, 43, 2, 346), + Trans(0, 44, 2, 346), + Trans(0, 45, 2, 346), + Trans(0, 46, 2, 346), + Trans(0, 52, 2, 346), + Trans(0, 92, 2, 346), + Trans(0, 96, 2, 346), ], k: 1, }, - /* 176 - "ExpressionIdentifierMemberList0" */ + /* 187 - "ExpressionIdentifierMemberList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 342), - Trans(0, 14, 2, 342), - Trans(0, 15, 2, 342), - Trans(0, 16, 2, 342), - Trans(0, 17, 2, 342), - Trans(0, 18, 2, 342), - Trans(0, 19, 2, 342), - Trans(0, 20, 2, 342), - Trans(0, 21, 2, 342), - Trans(0, 22, 2, 342), - Trans(0, 23, 2, 342), - Trans(0, 24, 2, 342), - Trans(0, 25, 2, 342), - Trans(0, 26, 2, 342), - Trans(0, 29, 2, 342), - Trans(0, 30, 2, 342), - Trans(0, 32, 2, 342), - Trans(0, 33, 2, 342), - Trans(0, 34, 1, 339), - Trans(0, 35, 2, 342), - Trans(0, 38, 2, 342), - Trans(0, 40, 2, 342), - Trans(0, 41, 2, 342), - Trans(0, 42, 2, 342), - Trans(0, 43, 2, 342), - Trans(0, 44, 2, 342), - Trans(0, 45, 2, 342), - Trans(0, 46, 2, 342), - Trans(0, 52, 2, 342), - Trans(0, 91, 2, 342), - Trans(0, 95, 2, 342), + Trans(0, 12, 2, 345), + Trans(0, 14, 2, 345), + Trans(0, 15, 2, 345), + Trans(0, 16, 2, 345), + Trans(0, 17, 2, 345), + Trans(0, 18, 2, 345), + Trans(0, 19, 2, 345), + Trans(0, 20, 2, 345), + Trans(0, 21, 2, 345), + Trans(0, 22, 2, 345), + Trans(0, 23, 2, 345), + Trans(0, 24, 2, 345), + Trans(0, 25, 2, 345), + Trans(0, 26, 2, 345), + Trans(0, 29, 2, 345), + Trans(0, 30, 2, 345), + Trans(0, 32, 2, 345), + Trans(0, 33, 2, 345), + Trans(0, 34, 2, 345), + Trans(0, 35, 2, 345), + Trans(0, 38, 2, 345), + Trans(0, 39, 1, 344), + Trans(0, 40, 2, 345), + Trans(0, 41, 2, 345), + Trans(0, 42, 2, 345), + Trans(0, 43, 2, 345), + Trans(0, 44, 2, 345), + Trans(0, 45, 2, 345), + Trans(0, 46, 2, 345), + Trans(0, 52, 2, 345), + Trans(0, 92, 2, 345), + Trans(0, 96, 2, 345), ], k: 1, }, - /* 177 - "ExpressionIdentifierMemberList0List" */ + /* 188 - "ExpressionIdentifierOpt" */ + LookaheadDFA { + prod0: -1, + transitions: &[Trans(0, 31, 1, 335), Trans(0, 107, 2, 336)], + k: 1, + }, + /* 189 - "ExpressionIdentifierScoped" */ + LookaheadDFA { + prod0: 337, + transitions: &[], + k: 0, + }, + /* 190 - "ExpressionIdentifierScopedList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4005,14 +4167,14 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(0, 24, 2, 341), Trans(0, 25, 2, 341), Trans(0, 26, 2, 341), + Trans(0, 28, 1, 340), Trans(0, 29, 2, 341), Trans(0, 30, 2, 341), Trans(0, 32, 2, 341), Trans(0, 33, 2, 341), - Trans(0, 34, 2, 341), Trans(0, 35, 2, 341), Trans(0, 38, 2, 341), - Trans(0, 39, 1, 340), + Trans(0, 39, 2, 341), Trans(0, 40, 2, 341), Trans(0, 41, 2, 341), Trans(0, 42, 2, 341), @@ -4021,691 +4183,640 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(0, 45, 2, 341), Trans(0, 46, 2, 341), Trans(0, 52, 2, 341), - Trans(0, 91, 2, 341), - Trans(0, 95, 2, 341), - ], - k: 1, - }, - /* 178 - "ExpressionIdentifierOpt" */ - LookaheadDFA { - prod0: -1, - transitions: &[Trans(0, 31, 1, 331), Trans(0, 106, 2, 332)], - k: 1, - }, - /* 179 - "ExpressionIdentifierScoped" */ - LookaheadDFA { - prod0: 333, - transitions: &[], - k: 0, - }, - /* 180 - "ExpressionIdentifierScopedList" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 12, 2, 337), - Trans(0, 14, 2, 337), - Trans(0, 15, 2, 337), - Trans(0, 16, 2, 337), - Trans(0, 17, 2, 337), - Trans(0, 18, 2, 337), - Trans(0, 19, 2, 337), - Trans(0, 20, 2, 337), - Trans(0, 21, 2, 337), - Trans(0, 22, 2, 337), - Trans(0, 23, 2, 337), - Trans(0, 24, 2, 337), - Trans(0, 25, 2, 337), - Trans(0, 26, 2, 337), - Trans(0, 28, 1, 336), - Trans(0, 29, 2, 337), - Trans(0, 30, 2, 337), - Trans(0, 32, 2, 337), - Trans(0, 33, 2, 337), - Trans(0, 35, 2, 337), - Trans(0, 38, 2, 337), - Trans(0, 39, 2, 337), - Trans(0, 40, 2, 337), - Trans(0, 41, 2, 337), - Trans(0, 42, 2, 337), - Trans(0, 43, 2, 337), - Trans(0, 44, 2, 337), - Trans(0, 45, 2, 337), - Trans(0, 46, 2, 337), - Trans(0, 52, 2, 337), - Trans(0, 91, 2, 337), - Trans(0, 95, 2, 337), + Trans(0, 92, 2, 341), + Trans(0, 96, 2, 341), ], k: 1, }, - /* 181 - "ExpressionIdentifierScopedList0" */ + /* 191 - "ExpressionIdentifierScopedList0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 335), - Trans(0, 14, 2, 335), - Trans(0, 15, 2, 335), - Trans(0, 16, 2, 335), - Trans(0, 17, 2, 335), - Trans(0, 18, 2, 335), - Trans(0, 19, 2, 335), - Trans(0, 20, 2, 335), - Trans(0, 21, 2, 335), - Trans(0, 22, 2, 335), - Trans(0, 23, 2, 335), - Trans(0, 24, 2, 335), - Trans(0, 25, 2, 335), - Trans(0, 26, 2, 335), - Trans(0, 29, 2, 335), - Trans(0, 30, 2, 335), - Trans(0, 32, 2, 335), - Trans(0, 33, 2, 335), - Trans(0, 35, 2, 335), - Trans(0, 38, 2, 335), - Trans(0, 39, 1, 334), - Trans(0, 40, 2, 335), - Trans(0, 41, 2, 335), - Trans(0, 42, 2, 335), - Trans(0, 43, 2, 335), - Trans(0, 44, 2, 335), - Trans(0, 45, 2, 335), - Trans(0, 46, 2, 335), - Trans(0, 52, 2, 335), - Trans(0, 91, 2, 335), - Trans(0, 95, 2, 335), + Trans(0, 12, 2, 339), + Trans(0, 14, 2, 339), + Trans(0, 15, 2, 339), + Trans(0, 16, 2, 339), + Trans(0, 17, 2, 339), + Trans(0, 18, 2, 339), + Trans(0, 19, 2, 339), + Trans(0, 20, 2, 339), + Trans(0, 21, 2, 339), + Trans(0, 22, 2, 339), + Trans(0, 23, 2, 339), + Trans(0, 24, 2, 339), + Trans(0, 25, 2, 339), + Trans(0, 26, 2, 339), + Trans(0, 29, 2, 339), + Trans(0, 30, 2, 339), + Trans(0, 32, 2, 339), + Trans(0, 33, 2, 339), + Trans(0, 35, 2, 339), + Trans(0, 38, 2, 339), + Trans(0, 39, 1, 338), + Trans(0, 40, 2, 339), + Trans(0, 41, 2, 339), + Trans(0, 42, 2, 339), + Trans(0, 43, 2, 339), + Trans(0, 44, 2, 339), + Trans(0, 45, 2, 339), + Trans(0, 46, 2, 339), + Trans(0, 52, 2, 339), + Trans(0, 92, 2, 339), + Trans(0, 96, 2, 339), ], k: 1, }, - /* 182 - "ExpressionList" */ + /* 192 - "ExpressionList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 347), - Trans(0, 14, 2, 347), - Trans(0, 23, 1, 346), - Trans(0, 29, 2, 347), - Trans(0, 30, 2, 347), - Trans(0, 32, 2, 347), - Trans(0, 33, 2, 347), - Trans(0, 38, 2, 347), - Trans(0, 41, 2, 347), - Trans(0, 42, 2, 347), - Trans(0, 43, 2, 347), - Trans(0, 44, 2, 347), - Trans(0, 45, 2, 347), - Trans(0, 91, 2, 347), - Trans(0, 95, 2, 347), + Trans(0, 12, 2, 351), + Trans(0, 14, 2, 351), + Trans(0, 23, 1, 350), + Trans(0, 29, 2, 351), + Trans(0, 30, 2, 351), + Trans(0, 32, 2, 351), + Trans(0, 33, 2, 351), + Trans(0, 38, 2, 351), + Trans(0, 41, 2, 351), + Trans(0, 42, 2, 351), + Trans(0, 43, 2, 351), + Trans(0, 44, 2, 351), + Trans(0, 45, 2, 351), + Trans(0, 92, 2, 351), + Trans(0, 96, 2, 351), ], k: 1, }, - /* 183 - "F32" */ + /* 193 - "F32" */ LookaheadDFA { - prod0: 262, + prod0: 266, transitions: &[], k: 0, }, - /* 184 - "F32Term" */ + /* 194 - "F32Term" */ LookaheadDFA { - prod0: 54, + prod0: 55, transitions: &[], k: 0, }, - /* 185 - "F32Token" */ + /* 195 - "F32Token" */ LookaheadDFA { - prod0: 159, + prod0: 162, transitions: &[], k: 0, }, - /* 186 - "F64" */ + /* 196 - "F64" */ LookaheadDFA { - prod0: 263, + prod0: 267, transitions: &[], k: 0, }, - /* 187 - "F64Term" */ + /* 197 - "F64Term" */ LookaheadDFA { - prod0: 55, + prod0: 56, transitions: &[], k: 0, }, - /* 188 - "F64Token" */ + /* 198 - "F64Token" */ LookaheadDFA { - prod0: 160, + prod0: 163, transitions: &[], k: 0, }, - /* 189 - "Factor" */ + /* 199 - "Factor" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 7, 397), - Trans(0, 7, 1, 391), - Trans(0, 8, 1, 391), - Trans(0, 9, 1, 391), - Trans(0, 10, 1, 391), - Trans(0, 11, 1, 391), - Trans(0, 31, 2, 392), - Trans(0, 38, 4, 394), - Trans(0, 40, 3, 393), - Trans(0, 54, 6, 396), - Trans(0, 67, 5, 395), - Trans(0, 72, 9, 401), - Trans(0, 79, 8, 398), - Trans(0, 82, 8, 398), - Trans(0, 85, 10, 402), - Trans(0, 106, 2, 392), + Trans(0, 6, 7, 401), + Trans(0, 7, 1, 395), + Trans(0, 8, 1, 395), + Trans(0, 9, 1, 395), + Trans(0, 10, 1, 395), + Trans(0, 11, 1, 395), + Trans(0, 31, 2, 396), + Trans(0, 38, 4, 398), + Trans(0, 40, 3, 397), + Trans(0, 54, 6, 400), + Trans(0, 68, 5, 399), + Trans(0, 73, 9, 405), + Trans(0, 80, 8, 402), + Trans(0, 83, 8, 402), + Trans(0, 86, 10, 406), + Trans(0, 107, 2, 396), ], k: 1, }, - /* 190 - "FactorGroup" */ + /* 200 - "FactorGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 79, 2, 400), Trans(0, 82, 1, 399)], + transitions: &[Trans(0, 80, 2, 404), Trans(0, 83, 1, 403)], k: 1, }, - /* 191 - "FactorOpt" */ + /* 201 - "FactorOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 404), - Trans(0, 14, 2, 404), - Trans(0, 16, 2, 404), - Trans(0, 17, 2, 404), - Trans(0, 18, 2, 404), - Trans(0, 19, 2, 404), - Trans(0, 20, 2, 404), - Trans(0, 21, 2, 404), - Trans(0, 22, 2, 404), - Trans(0, 23, 2, 404), - Trans(0, 24, 2, 404), - Trans(0, 25, 2, 404), - Trans(0, 26, 2, 404), - Trans(0, 29, 2, 404), - Trans(0, 30, 2, 404), - Trans(0, 32, 2, 404), - Trans(0, 33, 2, 404), - Trans(0, 38, 2, 404), - Trans(0, 40, 1, 403), - Trans(0, 41, 2, 404), - Trans(0, 42, 2, 404), - Trans(0, 43, 2, 404), - Trans(0, 44, 2, 404), - Trans(0, 45, 2, 404), - Trans(0, 46, 2, 404), - Trans(0, 52, 2, 404), - Trans(0, 91, 2, 404), - Trans(0, 95, 2, 404), + Trans(0, 12, 2, 408), + Trans(0, 14, 2, 408), + Trans(0, 16, 2, 408), + Trans(0, 17, 2, 408), + Trans(0, 18, 2, 408), + Trans(0, 19, 2, 408), + Trans(0, 20, 2, 408), + Trans(0, 21, 2, 408), + Trans(0, 22, 2, 408), + Trans(0, 23, 2, 408), + Trans(0, 24, 2, 408), + Trans(0, 25, 2, 408), + Trans(0, 26, 2, 408), + Trans(0, 29, 2, 408), + Trans(0, 30, 2, 408), + Trans(0, 32, 2, 408), + Trans(0, 33, 2, 408), + Trans(0, 38, 2, 408), + Trans(0, 40, 1, 407), + Trans(0, 41, 2, 408), + Trans(0, 42, 2, 408), + Trans(0, 43, 2, 408), + Trans(0, 44, 2, 408), + Trans(0, 45, 2, 408), + Trans(0, 46, 2, 408), + Trans(0, 52, 2, 408), + Trans(0, 92, 2, 408), + Trans(0, 96, 2, 408), ], k: 1, }, - /* 192 - "Final" */ + /* 202 - "Final" */ LookaheadDFA { - prod0: 264, + prod0: 268, transitions: &[], k: 0, }, - /* 193 - "FinalDeclaration" */ + /* 203 - "FinalDeclaration" */ LookaheadDFA { - prod0: 621, + prod0: 625, transitions: &[], k: 0, }, - /* 194 - "FinalDeclarationList" */ + /* 204 - "FinalDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 622), - Trans(0, 42, 2, 623), - Trans(0, 54, 1, 622), - Trans(0, 62, 1, 622), - Trans(0, 66, 1, 622), - Trans(0, 67, 1, 622), - Trans(0, 76, 1, 622), - Trans(0, 92, 1, 622), - Trans(0, 93, 1, 622), - Trans(0, 106, 1, 622), + Trans(0, 31, 1, 626), + Trans(0, 42, 2, 627), + Trans(0, 54, 1, 626), + Trans(0, 63, 1, 626), + Trans(0, 67, 1, 626), + Trans(0, 68, 1, 626), + Trans(0, 77, 1, 626), + Trans(0, 93, 1, 626), + Trans(0, 94, 1, 626), + Trans(0, 107, 1, 626), ], k: 1, }, - /* 195 - "FinalTerm" */ + /* 205 - "FinalTerm" */ LookaheadDFA { - prod0: 56, + prod0: 57, transitions: &[], k: 0, }, - /* 196 - "FinalToken" */ + /* 206 - "FinalToken" */ LookaheadDFA { - prod0: 161, + prod0: 164, transitions: &[], k: 0, }, - /* 197 - "FixedPoint" */ + /* 207 - "FixedPoint" */ LookaheadDFA { - prod0: 210, + prod0: 213, transitions: &[], k: 0, }, - /* 198 - "FixedPointTerm" */ + /* 208 - "FixedPointTerm" */ LookaheadDFA { prod0: 3, transitions: &[], k: 0, }, - /* 199 - "FixedPointToken" */ + /* 209 - "FixedPointToken" */ LookaheadDFA { - prod0: 108, + prod0: 110, transitions: &[], k: 0, }, - /* 200 - "FixedType" */ + /* 210 - "FixedType" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 59, 5, 466), - Trans(0, 60, 6, 467), - Trans(0, 64, 3, 464), - Trans(0, 65, 4, 465), - Trans(0, 96, 7, 468), - Trans(0, 102, 1, 462), - Trans(0, 103, 2, 463), + Trans(0, 60, 5, 470), + Trans(0, 61, 6, 471), + Trans(0, 65, 3, 468), + Trans(0, 66, 4, 469), + Trans(0, 97, 7, 472), + Trans(0, 103, 1, 466), + Trans(0, 104, 2, 467), ], k: 1, }, - /* 201 - "For" */ + /* 211 - "For" */ LookaheadDFA { - prod0: 265, + prod0: 269, transitions: &[], k: 0, }, - /* 202 - "ForStatement" */ + /* 212 - "ForStatement" */ LookaheadDFA { - prod0: 524, + prod0: 528, transitions: &[], k: 0, }, - /* 203 - "ForStatementList" */ + /* 213 - "ForStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 525), - Trans(0, 42, 2, 526), - Trans(0, 54, 1, 525), - Trans(0, 62, 1, 525), - Trans(0, 66, 1, 525), - Trans(0, 67, 1, 525), - Trans(0, 76, 1, 525), - Trans(0, 92, 1, 525), - Trans(0, 93, 1, 525), - Trans(0, 106, 1, 525), + Trans(0, 31, 1, 529), + Trans(0, 42, 2, 530), + Trans(0, 54, 1, 529), + Trans(0, 63, 1, 529), + Trans(0, 67, 1, 529), + Trans(0, 68, 1, 529), + Trans(0, 77, 1, 529), + Trans(0, 93, 1, 529), + Trans(0, 94, 1, 529), + Trans(0, 107, 1, 529), ], k: 1, }, - /* 204 - "ForStatementOpt" */ + /* 214 - "ForStatementOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 2, 528), Trans(0, 95, 1, 527)], + transitions: &[Trans(0, 38, 2, 532), Trans(0, 96, 1, 531)], k: 1, }, - /* 205 - "ForTerm" */ + /* 215 - "ForTerm" */ LookaheadDFA { - prod0: 57, + prod0: 58, transitions: &[], k: 0, }, - /* 206 - "ForToken" */ + /* 216 - "ForToken" */ LookaheadDFA { - prod0: 162, + prod0: 165, transitions: &[], k: 0, }, - /* 207 - "Function" */ + /* 217 - "Function" */ LookaheadDFA { - prod0: 266, + prod0: 270, transitions: &[], k: 0, }, - /* 208 - "FunctionCall" */ + /* 218 - "FunctionCall" */ LookaheadDFA { - prod0: 405, + prod0: 409, transitions: &[], k: 0, }, - /* 209 - "FunctionCallOpt" */ + /* 219 - "FunctionCallOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 406), - Trans(0, 7, 1, 406), - Trans(0, 8, 1, 406), - Trans(0, 9, 1, 406), - Trans(0, 10, 1, 406), - Trans(0, 11, 1, 406), - Trans(0, 18, 1, 406), - Trans(0, 24, 1, 406), - Trans(0, 25, 1, 406), - Trans(0, 26, 1, 406), - Trans(0, 27, 1, 406), - Trans(0, 31, 1, 406), - Trans(0, 38, 1, 406), - Trans(0, 40, 1, 406), - Trans(0, 44, 2, 407), - Trans(0, 54, 1, 406), - Trans(0, 67, 1, 406), - Trans(0, 72, 1, 406), - Trans(0, 79, 1, 406), - Trans(0, 82, 1, 406), - Trans(0, 85, 1, 406), - Trans(0, 106, 1, 406), + Trans(0, 6, 1, 410), + Trans(0, 7, 1, 410), + Trans(0, 8, 1, 410), + Trans(0, 9, 1, 410), + Trans(0, 10, 1, 410), + Trans(0, 11, 1, 410), + Trans(0, 18, 1, 410), + Trans(0, 24, 1, 410), + Trans(0, 25, 1, 410), + Trans(0, 26, 1, 410), + Trans(0, 27, 1, 410), + Trans(0, 31, 1, 410), + Trans(0, 38, 1, 410), + Trans(0, 40, 1, 410), + Trans(0, 44, 2, 411), + Trans(0, 54, 1, 410), + Trans(0, 68, 1, 410), + Trans(0, 73, 1, 410), + Trans(0, 80, 1, 410), + Trans(0, 83, 1, 410), + Trans(0, 86, 1, 410), + Trans(0, 107, 1, 410), ], k: 1, }, - /* 210 - "FunctionDeclaration" */ + /* 220 - "FunctionDeclaration" */ LookaheadDFA { - prod0: 703, + prod0: 707, transitions: &[], k: 0, }, - /* 211 - "FunctionDeclarationList" */ + /* 221 - "FunctionDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 704), - Trans(0, 42, 2, 705), - Trans(0, 54, 1, 704), - Trans(0, 62, 1, 704), - Trans(0, 66, 1, 704), - Trans(0, 67, 1, 704), - Trans(0, 76, 1, 704), - Trans(0, 92, 1, 704), - Trans(0, 93, 1, 704), - Trans(0, 105, 1, 704), - Trans(0, 106, 1, 704), + Trans(0, 31, 1, 708), + Trans(0, 42, 2, 709), + Trans(0, 54, 1, 708), + Trans(0, 63, 1, 708), + Trans(0, 67, 1, 708), + Trans(0, 68, 1, 708), + Trans(0, 77, 1, 708), + Trans(0, 93, 1, 708), + Trans(0, 94, 1, 708), + Trans(0, 106, 1, 708), + Trans(0, 107, 1, 708), ], k: 1, }, - /* 212 - "FunctionDeclarationOpt" */ + /* 222 - "FunctionDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 711), - Trans(0, 36, 1, 710), - Trans(0, 38, 2, 711), - Trans(0, 40, 2, 711), + Trans(0, 13, 2, 715), + Trans(0, 36, 1, 714), + Trans(0, 38, 2, 715), + Trans(0, 40, 2, 715), ], k: 1, }, - /* 213 - "FunctionDeclarationOpt0" */ + /* 223 - "FunctionDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 709), - Trans(0, 38, 2, 709), - Trans(0, 40, 1, 708), + Trans(0, 13, 2, 713), + Trans(0, 38, 2, 713), + Trans(0, 40, 1, 712), ], k: 1, }, - /* 214 - "FunctionDeclarationOpt1" */ + /* 224 - "FunctionDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 13, 1, 706), Trans(0, 38, 2, 707)], + transitions: &[Trans(0, 13, 1, 710), Trans(0, 38, 2, 711)], k: 1, }, - /* 215 - "FunctionItem" */ + /* 225 - "FunctionItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 713), - Trans(0, 54, 2, 713), - Trans(0, 62, 2, 713), - Trans(0, 66, 2, 713), - Trans(0, 67, 2, 713), - Trans(0, 76, 2, 713), - Trans(0, 92, 2, 713), - Trans(0, 93, 2, 713), - Trans(0, 105, 1, 712), - Trans(0, 106, 2, 713), + Trans(0, 31, 2, 717), + Trans(0, 54, 2, 717), + Trans(0, 63, 2, 717), + Trans(0, 67, 2, 717), + Trans(0, 68, 2, 717), + Trans(0, 77, 2, 717), + Trans(0, 93, 2, 717), + Trans(0, 94, 2, 717), + Trans(0, 106, 1, 716), + Trans(0, 107, 2, 717), ], k: 1, }, - /* 216 - "FunctionTerm" */ + /* 226 - "FunctionTerm" */ LookaheadDFA { - prod0: 58, + prod0: 59, transitions: &[], k: 0, }, - /* 217 - "FunctionToken" */ + /* 227 - "FunctionToken" */ LookaheadDFA { - prod0: 163, + prod0: 166, transitions: &[], k: 0, }, - /* 218 - "Hash" */ + /* 228 - "Hash" */ LookaheadDFA { - prod0: 235, + prod0: 238, transitions: &[], k: 0, }, - /* 219 - "HashTerm" */ + /* 229 - "HashTerm" */ LookaheadDFA { prod0: 31, transitions: &[], k: 0, }, - /* 220 - "HashToken" */ + /* 230 - "HashToken" */ LookaheadDFA { - prod0: 133, + prod0: 135, transitions: &[], k: 0, }, - /* 221 - "HierarchicalIdentifier" */ + /* 231 - "HierarchicalIdentifier" */ LookaheadDFA { - prod0: 316, + prod0: 320, transitions: &[], k: 0, }, - /* 222 - "HierarchicalIdentifierList" */ + /* 232 - "HierarchicalIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 322), - Trans(0, 34, 2, 322), - Trans(0, 35, 2, 322), - Trans(0, 39, 1, 321), - Trans(0, 44, 2, 322), + Trans(0, 30, 2, 326), + Trans(0, 34, 2, 326), + Trans(0, 35, 2, 326), + Trans(0, 39, 1, 325), + Trans(0, 44, 2, 326), ], k: 1, }, - /* 223 - "HierarchicalIdentifierList0" */ + /* 233 - "HierarchicalIdentifierList0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 320), - Trans(0, 34, 1, 317), - Trans(0, 35, 2, 320), - Trans(0, 44, 2, 320), + Trans(0, 30, 2, 324), + Trans(0, 34, 1, 321), + Trans(0, 35, 2, 324), + Trans(0, 44, 2, 324), ], k: 1, }, - /* 224 - "HierarchicalIdentifierList0List" */ + /* 234 - "HierarchicalIdentifierList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 319), - Trans(0, 34, 2, 319), - Trans(0, 35, 2, 319), - Trans(0, 39, 1, 318), - Trans(0, 44, 2, 319), + Trans(0, 30, 2, 323), + Trans(0, 34, 2, 323), + Trans(0, 35, 2, 323), + Trans(0, 39, 1, 322), + Trans(0, 44, 2, 323), ], k: 1, }, - /* 225 - "I32" */ + /* 235 - "I32" */ LookaheadDFA { - prod0: 267, + prod0: 271, transitions: &[], k: 0, }, - /* 226 - "I32Term" */ + /* 236 - "I32Term" */ LookaheadDFA { - prod0: 59, + prod0: 60, transitions: &[], k: 0, }, - /* 227 - "I32Token" */ + /* 237 - "I32Token" */ LookaheadDFA { - prod0: 164, + prod0: 167, transitions: &[], k: 0, }, - /* 228 - "I64" */ + /* 238 - "I64" */ LookaheadDFA { - prod0: 268, + prod0: 272, transitions: &[], k: 0, }, - /* 229 - "I64Term" */ + /* 239 - "I64Term" */ LookaheadDFA { - prod0: 60, + prod0: 61, transitions: &[], k: 0, }, - /* 230 - "I64Token" */ + /* 240 - "I64Token" */ LookaheadDFA { - prod0: 165, + prod0: 168, transitions: &[], k: 0, }, - /* 231 - "Identifier" */ + /* 241 - "Identifier" */ LookaheadDFA { - prod0: 308, + prod0: 312, transitions: &[], k: 0, }, - /* 232 - "IdentifierStatement" */ + /* 242 - "IdentifierStatement" */ LookaheadDFA { - prod0: 494, + prod0: 498, transitions: &[], k: 0, }, - /* 233 - "IdentifierStatementGroup" */ + /* 243 - "IdentifierStatementGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 15, 2, 496), - Trans(0, 35, 2, 496), - Trans(0, 40, 1, 495), + Trans(0, 15, 2, 500), + Trans(0, 35, 2, 500), + Trans(0, 40, 1, 499), ], k: 1, }, - /* 234 - "IdentifierTerm" */ + /* 244 - "IdentifierTerm" */ LookaheadDFA { - prod0: 101, + prod0: 102, transitions: &[], k: 0, }, - /* 235 - "IdentifierToken" */ + /* 245 - "IdentifierToken" */ LookaheadDFA { - prod0: 206, + prod0: 209, transitions: &[], k: 0, }, - /* 236 - "If" */ + /* 246 - "If" */ LookaheadDFA { - prod0: 269, + prod0: 273, transitions: &[], k: 0, }, - /* 237 - "IfExpression" */ + /* 247 - "IfExpression" */ LookaheadDFA { - prod0: 422, + prod0: 426, transitions: &[], k: 0, }, - /* 238 - "IfExpressionList" */ + /* 248 - "IfExpressionList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 56, 1, -1), - Trans(1, 5, 2, -1), + Trans(1, 5, 4, -1), Trans(1, 38, 5, -1), - Trans(1, 67, 4, -1), - Trans(2, 38, 6, 424), - Trans(2, 67, 3, 423), - Trans(4, 5, 3, 423), - Trans(4, 6, 3, 423), - Trans(4, 7, 3, 423), - Trans(4, 8, 3, 423), - Trans(4, 9, 3, 423), - Trans(4, 10, 3, 423), - Trans(4, 11, 3, 423), - Trans(4, 18, 3, 423), - Trans(4, 24, 3, 423), - Trans(4, 25, 3, 423), - Trans(4, 26, 3, 423), - Trans(4, 27, 3, 423), - Trans(4, 31, 3, 423), - Trans(4, 38, 3, 423), - Trans(4, 40, 3, 423), - Trans(4, 54, 3, 423), - Trans(4, 67, 3, 423), - Trans(4, 72, 3, 423), - Trans(4, 79, 3, 423), - Trans(4, 82, 3, 423), - Trans(4, 85, 3, 423), - Trans(4, 106, 3, 423), - Trans(5, 5, 6, 424), - Trans(5, 6, 6, 424), - Trans(5, 7, 6, 424), - Trans(5, 8, 6, 424), - Trans(5, 9, 6, 424), - Trans(5, 10, 6, 424), - Trans(5, 11, 6, 424), - Trans(5, 18, 6, 424), - Trans(5, 24, 6, 424), - Trans(5, 25, 6, 424), - Trans(5, 26, 6, 424), - Trans(5, 27, 6, 424), - Trans(5, 31, 6, 424), - Trans(5, 38, 6, 424), - Trans(5, 40, 6, 424), - Trans(5, 54, 6, 424), - Trans(5, 67, 6, 424), - Trans(5, 72, 6, 424), - Trans(5, 79, 6, 424), - Trans(5, 82, 6, 424), - Trans(5, 85, 6, 424), - Trans(5, 106, 6, 424), + Trans(1, 68, 2, -1), + Trans(2, 5, 3, 427), + Trans(2, 6, 3, 427), + Trans(2, 7, 3, 427), + Trans(2, 8, 3, 427), + Trans(2, 9, 3, 427), + Trans(2, 10, 3, 427), + Trans(2, 11, 3, 427), + Trans(2, 18, 3, 427), + Trans(2, 24, 3, 427), + Trans(2, 25, 3, 427), + Trans(2, 26, 3, 427), + Trans(2, 27, 3, 427), + Trans(2, 31, 3, 427), + Trans(2, 38, 3, 427), + Trans(2, 40, 3, 427), + Trans(2, 54, 3, 427), + Trans(2, 68, 3, 427), + Trans(2, 73, 3, 427), + Trans(2, 80, 3, 427), + Trans(2, 83, 3, 427), + Trans(2, 86, 3, 427), + Trans(2, 107, 3, 427), + Trans(4, 38, 6, 428), + Trans(4, 68, 3, 427), + Trans(5, 5, 6, 428), + Trans(5, 6, 6, 428), + Trans(5, 7, 6, 428), + Trans(5, 8, 6, 428), + Trans(5, 9, 6, 428), + Trans(5, 10, 6, 428), + Trans(5, 11, 6, 428), + Trans(5, 18, 6, 428), + Trans(5, 24, 6, 428), + Trans(5, 25, 6, 428), + Trans(5, 26, 6, 428), + Trans(5, 27, 6, 428), + Trans(5, 31, 6, 428), + Trans(5, 38, 6, 428), + Trans(5, 40, 6, 428), + Trans(5, 54, 6, 428), + Trans(5, 68, 6, 428), + Trans(5, 73, 6, 428), + Trans(5, 80, 6, 428), + Trans(5, 83, 6, 428), + Trans(5, 86, 6, 428), + Trans(5, 107, 6, 428), ], k: 3, }, - /* 239 - "IfReset" */ + /* 249 - "IfReset" */ LookaheadDFA { - prod0: 270, + prod0: 274, transitions: &[], k: 0, }, - /* 240 - "IfResetStatement" */ + /* 250 - "IfResetStatement" */ LookaheadDFA { - prod0: 511, + prod0: 515, transitions: &[], k: 0, }, - /* 241 - "IfResetStatementList" */ + /* 251 - "IfResetStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 516), - Trans(0, 42, 2, 517), - Trans(0, 54, 1, 516), - Trans(0, 62, 1, 516), - Trans(0, 66, 1, 516), - Trans(0, 67, 1, 516), - Trans(0, 76, 1, 516), - Trans(0, 92, 1, 516), - Trans(0, 93, 1, 516), - Trans(0, 106, 1, 516), + Trans(0, 31, 1, 520), + Trans(0, 42, 2, 521), + Trans(0, 54, 1, 520), + Trans(0, 63, 1, 520), + Trans(0, 67, 1, 520), + Trans(0, 68, 1, 520), + Trans(0, 77, 1, 520), + Trans(0, 93, 1, 520), + Trans(0, 94, 1, 520), + Trans(0, 107, 1, 520), ], k: 1, }, - /* 242 - "IfResetStatementList0" */ + /* 252 - "IfResetStatementList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4727,850 +4838,865 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(0, 54, 11, -1), Trans(0, 55, 12, -1), Trans(0, 56, 1, -1), - Trans(0, 62, 13, -1), - Trans(0, 66, 14, -1), - Trans(0, 67, 11, -1), - Trans(0, 72, 11, -1), - Trans(0, 76, 13, -1), - Trans(0, 79, 5, -1), - Trans(0, 82, 5, -1), - Trans(0, 85, 11, -1), - Trans(0, 92, 15, -1), - Trans(0, 93, 16, -1), - Trans(0, 105, 13, -1), - Trans(0, 106, 17, -1), - Trans(1, 5, 2, -1), - Trans(1, 38, 46, -1), - Trans(1, 67, 4, -1), - Trans(2, 38, 22, 515), - Trans(2, 67, 3, 512), - Trans(4, 5, 3, 512), - Trans(4, 6, 3, 512), - Trans(4, 7, 3, 512), - Trans(4, 8, 3, 512), - Trans(4, 9, 3, 512), - Trans(4, 10, 3, 512), - Trans(4, 11, 3, 512), - Trans(4, 18, 3, 512), - Trans(4, 24, 3, 512), - Trans(4, 25, 3, 512), - Trans(4, 26, 3, 512), - Trans(4, 27, 3, 512), - Trans(4, 31, 3, 512), - Trans(4, 38, 3, 512), - Trans(4, 40, 3, 512), - Trans(4, 54, 3, 512), - Trans(4, 67, 3, 512), - Trans(4, 72, 3, 512), - Trans(4, 79, 3, 512), - Trans(4, 82, 3, 512), - Trans(4, 85, 3, 512), - Trans(4, 106, 3, 512), - Trans(5, 5, 18, -1), - Trans(5, 16, 19, -1), - Trans(5, 17, 19, -1), - Trans(5, 18, 19, -1), - Trans(5, 19, 19, -1), - Trans(5, 20, 19, -1), - Trans(5, 21, 19, -1), - Trans(5, 22, 19, -1), - Trans(5, 23, 19, -1), - Trans(5, 24, 19, -1), - Trans(5, 25, 19, -1), - Trans(5, 26, 19, -1), - Trans(5, 29, 20, -1), - Trans(5, 30, 19, -1), - Trans(5, 46, 19, -1), - Trans(5, 52, 21, -1), - Trans(6, 5, 23, -1), - Trans(6, 6, 24, -1), - Trans(6, 7, 24, -1), - Trans(6, 8, 24, -1), - Trans(6, 9, 24, -1), - Trans(6, 10, 24, -1), - Trans(6, 11, 24, -1), - Trans(6, 18, 19, -1), - Trans(6, 24, 19, -1), - Trans(6, 25, 19, -1), - Trans(6, 26, 19, -1), - Trans(6, 27, 19, -1), - Trans(6, 31, 25, -1), - Trans(6, 38, 19, -1), - Trans(6, 40, 19, -1), - Trans(6, 54, 19, -1), - Trans(6, 67, 19, -1), - Trans(6, 72, 19, -1), - Trans(6, 79, 24, -1), - Trans(6, 82, 24, -1), - Trans(6, 85, 19, -1), - Trans(6, 106, 26, -1), - Trans(7, 5, 27, -1), - Trans(7, 106, 28, -1), - Trans(8, 5, 23, -1), - Trans(8, 6, 29, -1), - Trans(8, 7, 29, -1), - Trans(8, 8, 29, -1), - Trans(8, 9, 29, -1), - Trans(8, 10, 29, -1), - Trans(8, 11, 29, -1), - Trans(8, 18, 19, -1), - Trans(8, 24, 19, -1), - Trans(8, 25, 19, -1), - Trans(8, 26, 19, -1), - Trans(8, 27, 19, -1), - Trans(8, 31, 25, -1), - Trans(8, 38, 19, -1), - Trans(8, 40, 19, -1), - Trans(8, 54, 19, -1), - Trans(8, 67, 19, -1), - Trans(8, 72, 19, -1), - Trans(8, 79, 29, -1), - Trans(8, 82, 29, -1), - Trans(8, 85, 19, -1), - Trans(8, 106, 30, -1), - Trans(9, 5, 23, -1), - Trans(9, 6, 31, -1), - Trans(9, 7, 31, -1), - Trans(9, 8, 31, -1), - Trans(9, 9, 31, -1), - Trans(9, 10, 31, -1), - Trans(9, 11, 31, -1), - Trans(9, 18, 19, -1), - Trans(9, 24, 19, -1), - Trans(9, 25, 19, -1), - Trans(9, 26, 19, -1), - Trans(9, 27, 19, -1), - Trans(9, 31, 25, -1), - Trans(9, 38, 19, -1), - Trans(9, 40, 19, -1), - Trans(9, 54, 19, -1), - Trans(9, 67, 19, -1), - Trans(9, 72, 19, -1), - Trans(9, 79, 31, -1), - Trans(9, 82, 31, -1), - Trans(9, 85, 19, -1), - Trans(9, 106, 32, -1), - Trans(10, 5, 33, -1), - Trans(10, 6, 24, -1), - Trans(10, 7, 24, -1), - Trans(10, 8, 24, -1), - Trans(10, 9, 24, -1), - Trans(10, 10, 24, -1), - Trans(10, 11, 24, -1), - Trans(10, 18, 19, -1), - Trans(10, 24, 19, -1), - Trans(10, 25, 19, -1), - Trans(10, 26, 19, -1), - Trans(10, 27, 19, -1), - Trans(10, 29, 25, -1), - Trans(10, 31, 25, -1), - Trans(10, 36, 34, -1), - Trans(10, 38, 35, -1), - Trans(10, 40, 19, -1), - Trans(10, 42, 36, -1), - Trans(10, 47, 37, -1), - Trans(10, 48, 38, -1), - Trans(10, 49, 25, -1), - Trans(10, 54, 19, -1), - Trans(10, 55, 39, -1), - Trans(10, 56, 40, -1), - Trans(10, 57, 25, -1), - Trans(10, 58, 41, -1), - Trans(10, 61, 37, -1), + Trans(0, 63, 13, -1), + Trans(0, 67, 14, -1), + Trans(0, 68, 11, -1), + Trans(0, 73, 11, -1), + Trans(0, 77, 13, -1), + Trans(0, 80, 5, -1), + Trans(0, 83, 5, -1), + Trans(0, 86, 11, -1), + Trans(0, 93, 15, -1), + Trans(0, 94, 16, -1), + Trans(0, 106, 13, -1), + Trans(0, 107, 17, -1), + Trans(1, 5, 4, -1), + Trans(1, 38, 49, -1), + Trans(1, 68, 2, -1), + Trans(2, 5, 3, 516), + Trans(2, 6, 3, 516), + Trans(2, 7, 3, 516), + Trans(2, 8, 3, 516), + Trans(2, 9, 3, 516), + Trans(2, 10, 3, 516), + Trans(2, 11, 3, 516), + Trans(2, 18, 3, 516), + Trans(2, 24, 3, 516), + Trans(2, 25, 3, 516), + Trans(2, 26, 3, 516), + Trans(2, 27, 3, 516), + Trans(2, 31, 3, 516), + Trans(2, 38, 3, 516), + Trans(2, 40, 3, 516), + Trans(2, 54, 3, 516), + Trans(2, 68, 3, 516), + Trans(2, 73, 3, 516), + Trans(2, 80, 3, 516), + Trans(2, 83, 3, 516), + Trans(2, 86, 3, 516), + Trans(2, 107, 3, 516), + Trans(4, 38, 33, 519), + Trans(4, 68, 3, 516), + Trans(5, 5, 47, -1), + Trans(5, 16, 20, -1), + Trans(5, 17, 20, -1), + Trans(5, 18, 20, -1), + Trans(5, 19, 20, -1), + Trans(5, 20, 20, -1), + Trans(5, 21, 20, -1), + Trans(5, 22, 20, -1), + Trans(5, 23, 20, -1), + Trans(5, 24, 20, -1), + Trans(5, 25, 20, -1), + Trans(5, 26, 20, -1), + Trans(5, 29, 45, -1), + Trans(5, 30, 20, -1), + Trans(5, 46, 20, -1), + Trans(5, 52, 30, -1), + Trans(6, 5, 34, -1), + Trans(6, 6, 19, -1), + Trans(6, 7, 19, -1), + Trans(6, 8, 19, -1), + Trans(6, 9, 19, -1), + Trans(6, 10, 19, -1), + Trans(6, 11, 19, -1), + Trans(6, 18, 20, -1), + Trans(6, 24, 20, -1), + Trans(6, 25, 20, -1), + Trans(6, 26, 20, -1), + Trans(6, 27, 20, -1), + Trans(6, 31, 21, -1), + Trans(6, 38, 20, -1), + Trans(6, 40, 20, -1), + Trans(6, 54, 20, -1), + Trans(6, 68, 20, -1), + Trans(6, 73, 20, -1), + Trans(6, 80, 19, -1), + Trans(6, 83, 19, -1), + Trans(6, 86, 20, -1), + Trans(6, 107, 35, -1), + Trans(7, 5, 53, -1), + Trans(7, 107, 32, -1), + Trans(8, 5, 34, -1), + Trans(8, 6, 36, -1), + Trans(8, 7, 36, -1), + Trans(8, 8, 36, -1), + Trans(8, 9, 36, -1), + Trans(8, 10, 36, -1), + Trans(8, 11, 36, -1), + Trans(8, 18, 20, -1), + Trans(8, 24, 20, -1), + Trans(8, 25, 20, -1), + Trans(8, 26, 20, -1), + Trans(8, 27, 20, -1), + Trans(8, 31, 21, -1), + Trans(8, 38, 20, -1), + Trans(8, 40, 20, -1), + Trans(8, 54, 20, -1), + Trans(8, 68, 20, -1), + Trans(8, 73, 20, -1), + Trans(8, 80, 36, -1), + Trans(8, 83, 36, -1), + Trans(8, 86, 20, -1), + Trans(8, 107, 37, -1), + Trans(9, 5, 34, -1), + Trans(9, 6, 38, -1), + Trans(9, 7, 38, -1), + Trans(9, 8, 38, -1), + Trans(9, 9, 38, -1), + Trans(9, 10, 38, -1), + Trans(9, 11, 38, -1), + Trans(9, 18, 20, -1), + Trans(9, 24, 20, -1), + Trans(9, 25, 20, -1), + Trans(9, 26, 20, -1), + Trans(9, 27, 20, -1), + Trans(9, 31, 21, -1), + Trans(9, 38, 20, -1), + Trans(9, 40, 20, -1), + Trans(9, 54, 20, -1), + Trans(9, 68, 20, -1), + Trans(9, 73, 20, -1), + Trans(9, 80, 38, -1), + Trans(9, 83, 38, -1), + Trans(9, 86, 20, -1), + Trans(9, 107, 39, -1), + Trans(10, 5, 18, -1), + Trans(10, 6, 19, -1), + Trans(10, 7, 19, -1), + Trans(10, 8, 19, -1), + Trans(10, 9, 19, -1), + Trans(10, 10, 19, -1), + Trans(10, 11, 19, -1), + Trans(10, 18, 20, -1), + Trans(10, 24, 20, -1), + Trans(10, 25, 20, -1), + Trans(10, 26, 20, -1), + Trans(10, 27, 20, -1), + Trans(10, 29, 21, -1), + Trans(10, 31, 21, -1), + Trans(10, 36, 22, -1), + Trans(10, 38, 23, -1), + Trans(10, 40, 20, -1), + Trans(10, 42, 24, -1), + Trans(10, 47, 25, -1), + Trans(10, 48, 26, -1), + Trans(10, 49, 21, -1), + Trans(10, 54, 20, -1), + Trans(10, 55, 27, -1), + Trans(10, 56, 28, -1), + Trans(10, 58, 21, -1), + Trans(10, 59, 29, -1), Trans(10, 62, 25, -1), - Trans(10, 63, 25, -1), - Trans(10, 66, 37, -1), - Trans(10, 67, 19, -1), - Trans(10, 68, 21, -1), - Trans(10, 69, 37, -1), - Trans(10, 72, 19, -1), - Trans(10, 73, 25, -1), - Trans(10, 76, 25, -1), - Trans(10, 77, 25, -1), - Trans(10, 79, 24, -1), - Trans(10, 80, 25, -1), - Trans(10, 82, 24, -1), - Trans(10, 85, 19, -1), - Trans(10, 92, 19, -1), - Trans(10, 93, 42, -1), - Trans(10, 97, 25, -1), - Trans(10, 101, 25, -1), - Trans(10, 104, 25, -1), - Trans(10, 105, 25, -1), - Trans(10, 106, 28, -1), - Trans(11, 5, 23, -1), - Trans(11, 6, 43, -1), - Trans(11, 7, 43, -1), - Trans(11, 8, 43, -1), - Trans(11, 9, 43, -1), - Trans(11, 10, 43, -1), - Trans(11, 11, 43, -1), - Trans(11, 18, 19, -1), - Trans(11, 24, 19, -1), - Trans(11, 25, 19, -1), - Trans(11, 26, 19, -1), - Trans(11, 27, 19, -1), - Trans(11, 31, 25, -1), - Trans(11, 38, 19, -1), - Trans(11, 40, 19, -1), - Trans(11, 54, 19, -1), - Trans(11, 67, 19, -1), - Trans(11, 72, 19, -1), - Trans(11, 79, 43, -1), - Trans(11, 82, 43, -1), - Trans(11, 85, 19, -1), - Trans(11, 106, 44, -1), - Trans(12, 5, 45, -1), - Trans(12, 29, 20, -1), - Trans(13, 5, 27, -1), - Trans(13, 106, 39, -1), - Trans(14, 5, 47, -1), - Trans(14, 38, 46, -1), - Trans(15, 5, 23, -1), - Trans(15, 6, 48, -1), - Trans(15, 7, 48, -1), - Trans(15, 8, 48, -1), - Trans(15, 9, 48, -1), - Trans(15, 10, 48, -1), - Trans(15, 11, 48, -1), - Trans(15, 18, 19, -1), - Trans(15, 24, 19, -1), - Trans(15, 25, 19, -1), - Trans(15, 26, 19, -1), - Trans(15, 27, 19, -1), - Trans(15, 31, 25, -1), - Trans(15, 38, 19, -1), - Trans(15, 40, 19, -1), - Trans(15, 54, 19, -1), - Trans(15, 67, 19, -1), - Trans(15, 72, 19, -1), - Trans(15, 79, 48, -1), - Trans(15, 82, 48, -1), - Trans(15, 85, 19, -1), - Trans(15, 106, 49, -1), - Trans(16, 5, 50, -1), - Trans(16, 45, 51, -1), - Trans(17, 5, 52, -1), - Trans(17, 15, 19, -1), - Trans(17, 16, 19, -1), - Trans(17, 17, 19, -1), - Trans(17, 18, 19, -1), - Trans(17, 19, 19, -1), - Trans(17, 20, 19, -1), - Trans(17, 21, 19, -1), - Trans(17, 22, 19, -1), - Trans(17, 23, 19, -1), - Trans(17, 24, 19, -1), - Trans(17, 25, 19, -1), - Trans(17, 26, 19, -1), - Trans(17, 28, 25, -1), - Trans(17, 29, 20, -1), - Trans(17, 30, 19, -1), - Trans(17, 34, 25, -1), - Trans(17, 35, 19, -1), - Trans(17, 39, 19, -1), - Trans(17, 40, 53, -1), - Trans(17, 46, 19, -1), - Trans(17, 52, 21, -1), - Trans(18, 52, 22, 515), - Trans(19, 5, 22, 515), - Trans(19, 6, 22, 515), - Trans(19, 7, 22, 515), - Trans(19, 8, 22, 515), - Trans(19, 9, 22, 515), - Trans(19, 10, 22, 515), - Trans(19, 11, 22, 515), - Trans(19, 18, 22, 515), - Trans(19, 24, 22, 515), - Trans(19, 25, 22, 515), - Trans(19, 26, 22, 515), - Trans(19, 27, 22, 515), - Trans(19, 31, 22, 515), - Trans(19, 38, 22, 515), - Trans(19, 40, 22, 515), - Trans(19, 54, 22, 515), - Trans(19, 67, 22, 515), - Trans(19, 72, 22, 515), - Trans(19, 79, 22, 515), - Trans(19, 82, 22, 515), - Trans(19, 85, 22, 515), - Trans(19, 106, 22, 515), - Trans(20, 5, 22, 515), - Trans(20, 31, 22, 515), - Trans(20, 38, 22, 515), - Trans(20, 54, 22, 515), - Trans(20, 62, 22, 515), - Trans(20, 66, 22, 515), - Trans(20, 67, 22, 515), - Trans(20, 76, 22, 515), - Trans(20, 92, 22, 515), - Trans(20, 93, 22, 515), - Trans(20, 106, 22, 515), - Trans(21, 5, 22, 515), - Trans(21, 31, 22, 515), - Trans(21, 106, 22, 515), - Trans(23, 6, 22, 515), - Trans(23, 7, 22, 515), - Trans(23, 8, 22, 515), - Trans(23, 9, 22, 515), - Trans(23, 10, 22, 515), - Trans(23, 11, 22, 515), - Trans(23, 18, 22, 515), - Trans(23, 24, 22, 515), - Trans(23, 25, 22, 515), - Trans(23, 26, 22, 515), - Trans(23, 27, 22, 515), - Trans(23, 31, 22, 515), - Trans(23, 38, 22, 515), - Trans(23, 40, 22, 515), - Trans(23, 54, 22, 515), - Trans(23, 67, 22, 515), - Trans(23, 72, 22, 515), - Trans(23, 79, 22, 515), - Trans(23, 82, 22, 515), - Trans(23, 85, 22, 515), - Trans(23, 106, 22, 515), - Trans(24, 5, 22, 515), - Trans(24, 16, 22, 515), - Trans(24, 17, 22, 515), - Trans(24, 18, 22, 515), - Trans(24, 19, 22, 515), - Trans(24, 20, 22, 515), - Trans(24, 21, 22, 515), - Trans(24, 22, 22, 515), - Trans(24, 23, 22, 515), - Trans(24, 24, 22, 515), - Trans(24, 25, 22, 515), - Trans(24, 26, 22, 515), - Trans(24, 29, 22, 515), - Trans(24, 30, 22, 515), - Trans(24, 46, 22, 515), - Trans(24, 52, 22, 515), - Trans(25, 5, 22, 515), - Trans(25, 106, 22, 515), - Trans(26, 5, 22, 515), - Trans(26, 16, 22, 515), - Trans(26, 17, 22, 515), - Trans(26, 18, 22, 515), - Trans(26, 19, 22, 515), - Trans(26, 20, 22, 515), - Trans(26, 21, 22, 515), - Trans(26, 22, 22, 515), - Trans(26, 23, 22, 515), - Trans(26, 24, 22, 515), - Trans(26, 25, 22, 515), - Trans(26, 26, 22, 515), - Trans(26, 28, 22, 515), - Trans(26, 29, 22, 515), - Trans(26, 30, 22, 515), - Trans(26, 34, 22, 515), - Trans(26, 39, 22, 515), - Trans(26, 40, 22, 515), - Trans(26, 46, 22, 515), - Trans(26, 52, 22, 515), - Trans(27, 106, 22, 515), - Trans(28, 5, 22, 515), - Trans(28, 15, 22, 515), - Trans(28, 16, 22, 515), - Trans(28, 17, 22, 515), - Trans(28, 18, 22, 515), - Trans(28, 19, 22, 515), - Trans(28, 20, 22, 515), - Trans(28, 21, 22, 515), - Trans(28, 22, 22, 515), - Trans(28, 23, 22, 515), - Trans(28, 24, 22, 515), - Trans(28, 25, 22, 515), - Trans(28, 26, 22, 515), - Trans(28, 28, 22, 515), - Trans(28, 29, 22, 515), - Trans(28, 30, 22, 515), - Trans(28, 34, 22, 515), - Trans(28, 35, 22, 515), - Trans(28, 39, 22, 515), - Trans(28, 40, 22, 515), - Trans(28, 46, 22, 515), - Trans(28, 52, 22, 515), - Trans(29, 5, 22, 515), - Trans(29, 16, 22, 515), - Trans(29, 17, 22, 515), - Trans(29, 18, 22, 515), - Trans(29, 19, 22, 515), - Trans(29, 20, 22, 515), - Trans(29, 21, 22, 515), - Trans(29, 22, 22, 515), - Trans(29, 23, 22, 515), - Trans(29, 24, 22, 515), - Trans(29, 25, 22, 515), - Trans(29, 26, 22, 515), - Trans(29, 30, 22, 515), - Trans(29, 42, 22, 515), - Trans(29, 46, 22, 515), - Trans(29, 52, 22, 515), - Trans(29, 91, 22, 515), - Trans(30, 5, 22, 515), - Trans(30, 16, 22, 515), - Trans(30, 17, 22, 515), - Trans(30, 18, 22, 515), - Trans(30, 19, 22, 515), - Trans(30, 20, 22, 515), - Trans(30, 21, 22, 515), - Trans(30, 22, 22, 515), - Trans(30, 23, 22, 515), - Trans(30, 24, 22, 515), - Trans(30, 25, 22, 515), - Trans(30, 26, 22, 515), - Trans(30, 28, 22, 515), - Trans(30, 30, 22, 515), - Trans(30, 34, 22, 515), - Trans(30, 39, 22, 515), - Trans(30, 40, 22, 515), - Trans(30, 42, 22, 515), - Trans(30, 46, 22, 515), - Trans(30, 52, 22, 515), - Trans(30, 91, 22, 515), - Trans(31, 5, 22, 515), - Trans(31, 16, 22, 515), - Trans(31, 17, 22, 515), - Trans(31, 18, 22, 515), - Trans(31, 19, 22, 515), - Trans(31, 20, 22, 515), - Trans(31, 21, 22, 515), - Trans(31, 22, 22, 515), - Trans(31, 23, 22, 515), - Trans(31, 24, 22, 515), - Trans(31, 25, 22, 515), - Trans(31, 26, 22, 515), - Trans(31, 44, 22, 515), - Trans(31, 46, 22, 515), - Trans(31, 52, 22, 515), - Trans(32, 5, 22, 515), - Trans(32, 16, 22, 515), - Trans(32, 17, 22, 515), - Trans(32, 18, 22, 515), - Trans(32, 19, 22, 515), - Trans(32, 20, 22, 515), - Trans(32, 21, 22, 515), - Trans(32, 22, 22, 515), - Trans(32, 23, 22, 515), - Trans(32, 24, 22, 515), - Trans(32, 25, 22, 515), - Trans(32, 26, 22, 515), - Trans(32, 28, 22, 515), - Trans(32, 34, 22, 515), - Trans(32, 39, 22, 515), - Trans(32, 40, 22, 515), - Trans(32, 44, 22, 515), - Trans(32, 46, 22, 515), - Trans(32, 52, 22, 515), - Trans(33, 6, 22, 515), - Trans(33, 7, 22, 515), - Trans(33, 8, 22, 515), - Trans(33, 9, 22, 515), - Trans(33, 10, 22, 515), - Trans(33, 11, 22, 515), - Trans(33, 18, 22, 515), - Trans(33, 24, 22, 515), - Trans(33, 25, 22, 515), - Trans(33, 26, 22, 515), - Trans(33, 27, 22, 515), - Trans(33, 29, 22, 515), - Trans(33, 31, 22, 515), - Trans(33, 36, 22, 515), - Trans(33, 38, 22, 515), - Trans(33, 40, 22, 515), - Trans(33, 42, 22, 515), - Trans(33, 47, 22, 515), - Trans(33, 48, 22, 515), - Trans(33, 49, 22, 515), - Trans(33, 54, 22, 515), - Trans(33, 55, 22, 515), - Trans(33, 56, 22, 515), - Trans(33, 57, 22, 515), - Trans(33, 58, 22, 515), - Trans(33, 61, 22, 515), - Trans(33, 62, 22, 515), - Trans(33, 63, 22, 515), - Trans(33, 66, 22, 515), - Trans(33, 67, 22, 515), - Trans(33, 68, 22, 515), - Trans(33, 69, 22, 515), - Trans(33, 72, 22, 515), - Trans(33, 73, 22, 515), - Trans(33, 76, 22, 515), - Trans(33, 77, 22, 515), - Trans(33, 79, 22, 515), - Trans(33, 80, 22, 515), - Trans(33, 82, 22, 515), - Trans(33, 85, 22, 515), - Trans(33, 92, 22, 515), - Trans(33, 93, 22, 515), - Trans(33, 97, 22, 515), - Trans(33, 101, 22, 515), - Trans(33, 104, 22, 515), - Trans(33, 105, 22, 515), - Trans(33, 106, 22, 515), - Trans(34, 5, 22, 515), - Trans(34, 39, 22, 515), - Trans(35, 5, 22, 515), - Trans(35, 6, 22, 515), - Trans(35, 7, 22, 515), - Trans(35, 8, 22, 515), - Trans(35, 9, 22, 515), - Trans(35, 10, 22, 515), - Trans(35, 11, 22, 515), - Trans(35, 18, 22, 515), - Trans(35, 24, 22, 515), - Trans(35, 25, 22, 515), - Trans(35, 26, 22, 515), - Trans(35, 27, 22, 515), - Trans(35, 29, 22, 515), - Trans(35, 31, 22, 515), - Trans(35, 36, 22, 515), - Trans(35, 38, 22, 515), - Trans(35, 40, 22, 515), - Trans(35, 42, 22, 515), - Trans(35, 47, 22, 515), - Trans(35, 48, 22, 515), - Trans(35, 49, 22, 515), - Trans(35, 54, 22, 515), - Trans(35, 57, 22, 515), - Trans(35, 58, 22, 515), - Trans(35, 61, 22, 515), - Trans(35, 62, 22, 515), - Trans(35, 63, 22, 515), - Trans(35, 67, 22, 515), - Trans(35, 68, 22, 515), - Trans(35, 69, 22, 515), - Trans(35, 72, 22, 515), - Trans(35, 73, 22, 515), - Trans(35, 76, 22, 515), - Trans(35, 77, 22, 515), - Trans(35, 79, 22, 515), - Trans(35, 80, 22, 515), - Trans(35, 82, 22, 515), - Trans(35, 85, 22, 515), - Trans(35, 97, 22, 515), - Trans(35, 101, 22, 515), - Trans(35, 104, 22, 515), - Trans(35, 105, 22, 515), - Trans(35, 106, 22, 515), - Trans(36, 0, 22, 515), - Trans(36, 5, 22, 515), - Trans(36, 6, 22, 515), - Trans(36, 7, 22, 515), - Trans(36, 8, 22, 515), - Trans(36, 9, 22, 515), - Trans(36, 10, 22, 515), - Trans(36, 11, 22, 515), - Trans(36, 18, 22, 515), - Trans(36, 24, 22, 515), - Trans(36, 25, 22, 515), - Trans(36, 26, 22, 515), - Trans(36, 27, 22, 515), - Trans(36, 29, 22, 515), - Trans(36, 31, 22, 515), - Trans(36, 36, 22, 515), - Trans(36, 38, 22, 515), - Trans(36, 40, 22, 515), - Trans(36, 42, 22, 515), - Trans(36, 47, 22, 515), - Trans(36, 48, 22, 515), - Trans(36, 49, 22, 515), - Trans(36, 54, 22, 515), - Trans(36, 55, 22, 515), - Trans(36, 56, 22, 515), - Trans(36, 57, 22, 515), - Trans(36, 58, 22, 515), - Trans(36, 61, 22, 515), - Trans(36, 62, 22, 515), - Trans(36, 63, 22, 515), - Trans(36, 66, 22, 515), - Trans(36, 67, 22, 515), - Trans(36, 68, 22, 515), - Trans(36, 69, 22, 515), - Trans(36, 72, 22, 515), - Trans(36, 73, 22, 515), - Trans(36, 74, 22, 515), - Trans(36, 76, 22, 515), - Trans(36, 77, 22, 515), - Trans(36, 79, 22, 515), - Trans(36, 80, 22, 515), - Trans(36, 81, 22, 515), - Trans(36, 82, 22, 515), - Trans(36, 85, 22, 515), - Trans(36, 86, 22, 515), - Trans(36, 89, 22, 515), - Trans(36, 92, 22, 515), - Trans(36, 93, 22, 515), - Trans(36, 97, 22, 515), - Trans(36, 101, 22, 515), - Trans(36, 104, 22, 515), - Trans(36, 105, 22, 515), - Trans(36, 106, 22, 515), - Trans(37, 5, 22, 515), - Trans(37, 38, 22, 515), - Trans(38, 5, 22, 515), - Trans(38, 40, 22, 515), - Trans(39, 5, 22, 515), - Trans(39, 29, 22, 515), - Trans(40, 5, 22, 515), - Trans(40, 38, 22, 515), - Trans(40, 67, 22, 515), - Trans(41, 5, 22, 515), - Trans(41, 31, 22, 515), - Trans(41, 46, 22, 515), - Trans(41, 106, 22, 515), - Trans(42, 5, 22, 515), - Trans(42, 45, 22, 515), - Trans(43, 5, 22, 515), - Trans(43, 16, 22, 515), - Trans(43, 17, 22, 515), - Trans(43, 18, 22, 515), - Trans(43, 19, 22, 515), - Trans(43, 20, 22, 515), - Trans(43, 21, 22, 515), - Trans(43, 22, 22, 515), - Trans(43, 23, 22, 515), - Trans(43, 24, 22, 515), - Trans(43, 25, 22, 515), - Trans(43, 26, 22, 515), - Trans(43, 38, 22, 515), - Trans(43, 46, 22, 515), - Trans(43, 52, 22, 515), - Trans(44, 5, 22, 515), - Trans(44, 16, 22, 515), - Trans(44, 17, 22, 515), - Trans(44, 18, 22, 515), - Trans(44, 19, 22, 515), - Trans(44, 20, 22, 515), - Trans(44, 21, 22, 515), - Trans(44, 22, 22, 515), - Trans(44, 23, 22, 515), - Trans(44, 24, 22, 515), - Trans(44, 25, 22, 515), - Trans(44, 26, 22, 515), - Trans(44, 28, 22, 515), - Trans(44, 34, 22, 515), - Trans(44, 38, 22, 515), - Trans(44, 39, 22, 515), - Trans(44, 40, 22, 515), - Trans(44, 46, 22, 515), - Trans(44, 52, 22, 515), - Trans(45, 29, 22, 515), - Trans(46, 5, 22, 515), - Trans(46, 31, 22, 515), - Trans(46, 42, 22, 515), - Trans(46, 54, 22, 515), - Trans(46, 62, 22, 515), - Trans(46, 66, 22, 515), - Trans(46, 67, 22, 515), - Trans(46, 76, 22, 515), - Trans(46, 92, 22, 515), - Trans(46, 93, 22, 515), - Trans(46, 106, 22, 515), - Trans(47, 38, 22, 515), - Trans(48, 5, 22, 515), - Trans(48, 16, 22, 515), - Trans(48, 17, 22, 515), - Trans(48, 18, 22, 515), - Trans(48, 19, 22, 515), - Trans(48, 20, 22, 515), - Trans(48, 21, 22, 515), - Trans(48, 22, 22, 515), - Trans(48, 23, 22, 515), - Trans(48, 24, 22, 515), - Trans(48, 25, 22, 515), - Trans(48, 26, 22, 515), - Trans(48, 45, 22, 515), - Trans(48, 46, 22, 515), - Trans(48, 52, 22, 515), - Trans(49, 5, 22, 515), - Trans(49, 16, 22, 515), - Trans(49, 17, 22, 515), - Trans(49, 18, 22, 515), - Trans(49, 19, 22, 515), - Trans(49, 20, 22, 515), - Trans(49, 21, 22, 515), - Trans(49, 22, 22, 515), - Trans(49, 23, 22, 515), - Trans(49, 24, 22, 515), - Trans(49, 25, 22, 515), - Trans(49, 26, 22, 515), - Trans(49, 28, 22, 515), - Trans(49, 34, 22, 515), - Trans(49, 39, 22, 515), - Trans(49, 40, 22, 515), - Trans(49, 45, 22, 515), - Trans(49, 46, 22, 515), - Trans(49, 52, 22, 515), - Trans(50, 45, 22, 515), - Trans(51, 5, 22, 515), - Trans(51, 31, 22, 515), - Trans(51, 42, 22, 515), - Trans(51, 54, 22, 515), - Trans(51, 62, 22, 515), - Trans(51, 66, 22, 515), - Trans(51, 67, 22, 515), - Trans(51, 76, 22, 515), - Trans(51, 92, 22, 515), - Trans(51, 93, 22, 515), - Trans(51, 105, 22, 515), - Trans(51, 106, 22, 515), - Trans(52, 15, 22, 515), - Trans(52, 16, 22, 515), - Trans(52, 17, 22, 515), - Trans(52, 18, 22, 515), - Trans(52, 19, 22, 515), - Trans(52, 20, 22, 515), - Trans(52, 21, 22, 515), - Trans(52, 22, 22, 515), - Trans(52, 23, 22, 515), - Trans(52, 24, 22, 515), - Trans(52, 25, 22, 515), - Trans(52, 26, 22, 515), - Trans(52, 28, 22, 515), - Trans(52, 29, 22, 515), - Trans(52, 30, 22, 515), - Trans(52, 34, 22, 515), - Trans(52, 35, 22, 515), - Trans(52, 39, 22, 515), - Trans(52, 40, 22, 515), - Trans(52, 46, 22, 515), - Trans(52, 52, 22, 515), - Trans(53, 5, 22, 515), - Trans(53, 6, 22, 515), - Trans(53, 7, 22, 515), - Trans(53, 8, 22, 515), - Trans(53, 9, 22, 515), - Trans(53, 10, 22, 515), - Trans(53, 11, 22, 515), - Trans(53, 18, 22, 515), - Trans(53, 24, 22, 515), - Trans(53, 25, 22, 515), - Trans(53, 26, 22, 515), - Trans(53, 27, 22, 515), - Trans(53, 31, 22, 515), - Trans(53, 38, 22, 515), - Trans(53, 40, 22, 515), - Trans(53, 44, 22, 515), - Trans(53, 54, 22, 515), - Trans(53, 67, 22, 515), - Trans(53, 72, 22, 515), - Trans(53, 79, 22, 515), - Trans(53, 82, 22, 515), - Trans(53, 85, 22, 515), - Trans(53, 106, 22, 515), + Trans(10, 63, 21, -1), + Trans(10, 64, 21, -1), + Trans(10, 67, 25, -1), + Trans(10, 68, 20, -1), + Trans(10, 69, 30, -1), + Trans(10, 70, 25, -1), + Trans(10, 73, 20, -1), + Trans(10, 74, 21, -1), + Trans(10, 77, 21, -1), + Trans(10, 78, 21, -1), + Trans(10, 80, 19, -1), + Trans(10, 81, 21, -1), + Trans(10, 83, 19, -1), + Trans(10, 86, 20, -1), + Trans(10, 93, 20, -1), + Trans(10, 94, 31, -1), + Trans(10, 98, 21, -1), + Trans(10, 102, 21, -1), + Trans(10, 105, 21, -1), + Trans(10, 106, 21, -1), + Trans(10, 107, 32, -1), + Trans(11, 5, 34, -1), + Trans(11, 6, 40, -1), + Trans(11, 7, 40, -1), + Trans(11, 8, 40, -1), + Trans(11, 9, 40, -1), + Trans(11, 10, 40, -1), + Trans(11, 11, 40, -1), + Trans(11, 18, 20, -1), + Trans(11, 24, 20, -1), + Trans(11, 25, 20, -1), + Trans(11, 26, 20, -1), + Trans(11, 27, 20, -1), + Trans(11, 31, 21, -1), + Trans(11, 38, 20, -1), + Trans(11, 40, 20, -1), + Trans(11, 54, 20, -1), + Trans(11, 68, 20, -1), + Trans(11, 73, 20, -1), + Trans(11, 80, 40, -1), + Trans(11, 83, 40, -1), + Trans(11, 86, 20, -1), + Trans(11, 107, 41, -1), + Trans(12, 5, 48, -1), + Trans(12, 29, 45, -1), + Trans(13, 5, 53, -1), + Trans(13, 107, 27, -1), + Trans(14, 5, 50, -1), + Trans(14, 38, 49, -1), + Trans(15, 5, 34, -1), + Trans(15, 6, 42, -1), + Trans(15, 7, 42, -1), + Trans(15, 8, 42, -1), + Trans(15, 9, 42, -1), + Trans(15, 10, 42, -1), + Trans(15, 11, 42, -1), + Trans(15, 18, 20, -1), + Trans(15, 24, 20, -1), + Trans(15, 25, 20, -1), + Trans(15, 26, 20, -1), + Trans(15, 27, 20, -1), + Trans(15, 31, 21, -1), + Trans(15, 38, 20, -1), + Trans(15, 40, 20, -1), + Trans(15, 54, 20, -1), + Trans(15, 68, 20, -1), + Trans(15, 73, 20, -1), + Trans(15, 80, 42, -1), + Trans(15, 83, 42, -1), + Trans(15, 86, 20, -1), + Trans(15, 107, 43, -1), + Trans(16, 5, 51, -1), + Trans(16, 45, 52, -1), + Trans(17, 5, 44, -1), + Trans(17, 15, 20, -1), + Trans(17, 16, 20, -1), + Trans(17, 17, 20, -1), + Trans(17, 18, 20, -1), + Trans(17, 19, 20, -1), + Trans(17, 20, 20, -1), + Trans(17, 21, 20, -1), + Trans(17, 22, 20, -1), + Trans(17, 23, 20, -1), + Trans(17, 24, 20, -1), + Trans(17, 25, 20, -1), + Trans(17, 26, 20, -1), + Trans(17, 28, 21, -1), + Trans(17, 29, 45, -1), + Trans(17, 30, 20, -1), + Trans(17, 34, 21, -1), + Trans(17, 35, 20, -1), + Trans(17, 39, 20, -1), + Trans(17, 40, 46, -1), + Trans(17, 46, 20, -1), + Trans(17, 52, 30, -1), + Trans(18, 6, 33, 519), + Trans(18, 7, 33, 519), + Trans(18, 8, 33, 519), + Trans(18, 9, 33, 519), + Trans(18, 10, 33, 519), + Trans(18, 11, 33, 519), + Trans(18, 18, 33, 519), + Trans(18, 24, 33, 519), + Trans(18, 25, 33, 519), + Trans(18, 26, 33, 519), + Trans(18, 27, 33, 519), + Trans(18, 29, 33, 519), + Trans(18, 31, 33, 519), + Trans(18, 36, 33, 519), + Trans(18, 38, 33, 519), + Trans(18, 40, 33, 519), + Trans(18, 42, 33, 519), + Trans(18, 47, 33, 519), + Trans(18, 48, 33, 519), + Trans(18, 49, 33, 519), + Trans(18, 54, 33, 519), + Trans(18, 55, 33, 519), + Trans(18, 56, 33, 519), + Trans(18, 58, 33, 519), + Trans(18, 59, 33, 519), + Trans(18, 62, 33, 519), + Trans(18, 63, 33, 519), + Trans(18, 64, 33, 519), + Trans(18, 67, 33, 519), + Trans(18, 68, 33, 519), + Trans(18, 69, 33, 519), + Trans(18, 70, 33, 519), + Trans(18, 73, 33, 519), + Trans(18, 74, 33, 519), + Trans(18, 77, 33, 519), + Trans(18, 78, 33, 519), + Trans(18, 80, 33, 519), + Trans(18, 81, 33, 519), + Trans(18, 83, 33, 519), + Trans(18, 86, 33, 519), + Trans(18, 93, 33, 519), + Trans(18, 94, 33, 519), + Trans(18, 98, 33, 519), + Trans(18, 102, 33, 519), + Trans(18, 105, 33, 519), + Trans(18, 106, 33, 519), + Trans(18, 107, 33, 519), + Trans(19, 5, 33, 519), + Trans(19, 16, 33, 519), + Trans(19, 17, 33, 519), + Trans(19, 18, 33, 519), + Trans(19, 19, 33, 519), + Trans(19, 20, 33, 519), + Trans(19, 21, 33, 519), + Trans(19, 22, 33, 519), + Trans(19, 23, 33, 519), + Trans(19, 24, 33, 519), + Trans(19, 25, 33, 519), + Trans(19, 26, 33, 519), + Trans(19, 29, 33, 519), + Trans(19, 30, 33, 519), + Trans(19, 46, 33, 519), + Trans(19, 52, 33, 519), + Trans(20, 5, 33, 519), + Trans(20, 6, 33, 519), + Trans(20, 7, 33, 519), + Trans(20, 8, 33, 519), + Trans(20, 9, 33, 519), + Trans(20, 10, 33, 519), + Trans(20, 11, 33, 519), + Trans(20, 18, 33, 519), + Trans(20, 24, 33, 519), + Trans(20, 25, 33, 519), + Trans(20, 26, 33, 519), + Trans(20, 27, 33, 519), + Trans(20, 31, 33, 519), + Trans(20, 38, 33, 519), + Trans(20, 40, 33, 519), + Trans(20, 54, 33, 519), + Trans(20, 68, 33, 519), + Trans(20, 73, 33, 519), + Trans(20, 80, 33, 519), + Trans(20, 83, 33, 519), + Trans(20, 86, 33, 519), + Trans(20, 107, 33, 519), + Trans(21, 5, 33, 519), + Trans(21, 107, 33, 519), + Trans(22, 5, 33, 519), + Trans(22, 39, 33, 519), + Trans(23, 5, 33, 519), + Trans(23, 6, 33, 519), + Trans(23, 7, 33, 519), + Trans(23, 8, 33, 519), + Trans(23, 9, 33, 519), + Trans(23, 10, 33, 519), + Trans(23, 11, 33, 519), + Trans(23, 18, 33, 519), + Trans(23, 24, 33, 519), + Trans(23, 25, 33, 519), + Trans(23, 26, 33, 519), + Trans(23, 27, 33, 519), + Trans(23, 29, 33, 519), + Trans(23, 31, 33, 519), + Trans(23, 36, 33, 519), + Trans(23, 38, 33, 519), + Trans(23, 40, 33, 519), + Trans(23, 42, 33, 519), + Trans(23, 47, 33, 519), + Trans(23, 48, 33, 519), + Trans(23, 49, 33, 519), + Trans(23, 54, 33, 519), + Trans(23, 58, 33, 519), + Trans(23, 59, 33, 519), + Trans(23, 62, 33, 519), + Trans(23, 63, 33, 519), + Trans(23, 64, 33, 519), + Trans(23, 68, 33, 519), + Trans(23, 69, 33, 519), + Trans(23, 70, 33, 519), + Trans(23, 73, 33, 519), + Trans(23, 74, 33, 519), + Trans(23, 77, 33, 519), + Trans(23, 78, 33, 519), + Trans(23, 80, 33, 519), + Trans(23, 81, 33, 519), + Trans(23, 83, 33, 519), + Trans(23, 86, 33, 519), + Trans(23, 98, 33, 519), + Trans(23, 102, 33, 519), + Trans(23, 105, 33, 519), + Trans(23, 106, 33, 519), + Trans(23, 107, 33, 519), + Trans(24, 0, 33, 519), + Trans(24, 5, 33, 519), + Trans(24, 6, 33, 519), + Trans(24, 7, 33, 519), + Trans(24, 8, 33, 519), + Trans(24, 9, 33, 519), + Trans(24, 10, 33, 519), + Trans(24, 11, 33, 519), + Trans(24, 18, 33, 519), + Trans(24, 24, 33, 519), + Trans(24, 25, 33, 519), + Trans(24, 26, 33, 519), + Trans(24, 27, 33, 519), + Trans(24, 29, 33, 519), + Trans(24, 31, 33, 519), + Trans(24, 36, 33, 519), + Trans(24, 38, 33, 519), + Trans(24, 40, 33, 519), + Trans(24, 42, 33, 519), + Trans(24, 47, 33, 519), + Trans(24, 48, 33, 519), + Trans(24, 49, 33, 519), + Trans(24, 54, 33, 519), + Trans(24, 55, 33, 519), + Trans(24, 56, 33, 519), + Trans(24, 57, 33, 519), + Trans(24, 58, 33, 519), + Trans(24, 59, 33, 519), + Trans(24, 62, 33, 519), + Trans(24, 63, 33, 519), + Trans(24, 64, 33, 519), + Trans(24, 67, 33, 519), + Trans(24, 68, 33, 519), + Trans(24, 69, 33, 519), + Trans(24, 70, 33, 519), + Trans(24, 73, 33, 519), + Trans(24, 74, 33, 519), + Trans(24, 75, 33, 519), + Trans(24, 77, 33, 519), + Trans(24, 78, 33, 519), + Trans(24, 80, 33, 519), + Trans(24, 81, 33, 519), + Trans(24, 82, 33, 519), + Trans(24, 83, 33, 519), + Trans(24, 86, 33, 519), + Trans(24, 87, 33, 519), + Trans(24, 90, 33, 519), + Trans(24, 93, 33, 519), + Trans(24, 94, 33, 519), + Trans(24, 98, 33, 519), + Trans(24, 102, 33, 519), + Trans(24, 105, 33, 519), + Trans(24, 106, 33, 519), + Trans(24, 107, 33, 519), + Trans(25, 5, 33, 519), + Trans(25, 38, 33, 519), + Trans(26, 5, 33, 519), + Trans(26, 40, 33, 519), + Trans(27, 5, 33, 519), + Trans(27, 29, 33, 519), + Trans(28, 5, 33, 519), + Trans(28, 38, 33, 519), + Trans(28, 68, 33, 519), + Trans(29, 5, 33, 519), + Trans(29, 31, 33, 519), + Trans(29, 46, 33, 519), + Trans(29, 107, 33, 519), + Trans(30, 5, 33, 519), + Trans(30, 31, 33, 519), + Trans(30, 107, 33, 519), + Trans(31, 5, 33, 519), + Trans(31, 45, 33, 519), + Trans(32, 5, 33, 519), + Trans(32, 15, 33, 519), + Trans(32, 16, 33, 519), + Trans(32, 17, 33, 519), + Trans(32, 18, 33, 519), + Trans(32, 19, 33, 519), + Trans(32, 20, 33, 519), + Trans(32, 21, 33, 519), + Trans(32, 22, 33, 519), + Trans(32, 23, 33, 519), + Trans(32, 24, 33, 519), + Trans(32, 25, 33, 519), + Trans(32, 26, 33, 519), + Trans(32, 28, 33, 519), + Trans(32, 29, 33, 519), + Trans(32, 30, 33, 519), + Trans(32, 34, 33, 519), + Trans(32, 35, 33, 519), + Trans(32, 39, 33, 519), + Trans(32, 40, 33, 519), + Trans(32, 46, 33, 519), + Trans(32, 52, 33, 519), + Trans(34, 6, 33, 519), + Trans(34, 7, 33, 519), + Trans(34, 8, 33, 519), + Trans(34, 9, 33, 519), + Trans(34, 10, 33, 519), + Trans(34, 11, 33, 519), + Trans(34, 18, 33, 519), + Trans(34, 24, 33, 519), + Trans(34, 25, 33, 519), + Trans(34, 26, 33, 519), + Trans(34, 27, 33, 519), + Trans(34, 31, 33, 519), + Trans(34, 38, 33, 519), + Trans(34, 40, 33, 519), + Trans(34, 54, 33, 519), + Trans(34, 68, 33, 519), + Trans(34, 73, 33, 519), + Trans(34, 80, 33, 519), + Trans(34, 83, 33, 519), + Trans(34, 86, 33, 519), + Trans(34, 107, 33, 519), + Trans(35, 5, 33, 519), + Trans(35, 16, 33, 519), + Trans(35, 17, 33, 519), + Trans(35, 18, 33, 519), + Trans(35, 19, 33, 519), + Trans(35, 20, 33, 519), + Trans(35, 21, 33, 519), + Trans(35, 22, 33, 519), + Trans(35, 23, 33, 519), + Trans(35, 24, 33, 519), + Trans(35, 25, 33, 519), + Trans(35, 26, 33, 519), + Trans(35, 28, 33, 519), + Trans(35, 29, 33, 519), + Trans(35, 30, 33, 519), + Trans(35, 34, 33, 519), + Trans(35, 39, 33, 519), + Trans(35, 40, 33, 519), + Trans(35, 46, 33, 519), + Trans(35, 52, 33, 519), + Trans(36, 5, 33, 519), + Trans(36, 16, 33, 519), + Trans(36, 17, 33, 519), + Trans(36, 18, 33, 519), + Trans(36, 19, 33, 519), + Trans(36, 20, 33, 519), + Trans(36, 21, 33, 519), + Trans(36, 22, 33, 519), + Trans(36, 23, 33, 519), + Trans(36, 24, 33, 519), + Trans(36, 25, 33, 519), + Trans(36, 26, 33, 519), + Trans(36, 30, 33, 519), + Trans(36, 42, 33, 519), + Trans(36, 46, 33, 519), + Trans(36, 52, 33, 519), + Trans(36, 92, 33, 519), + Trans(37, 5, 33, 519), + Trans(37, 16, 33, 519), + Trans(37, 17, 33, 519), + Trans(37, 18, 33, 519), + Trans(37, 19, 33, 519), + Trans(37, 20, 33, 519), + Trans(37, 21, 33, 519), + Trans(37, 22, 33, 519), + Trans(37, 23, 33, 519), + Trans(37, 24, 33, 519), + Trans(37, 25, 33, 519), + Trans(37, 26, 33, 519), + Trans(37, 28, 33, 519), + Trans(37, 30, 33, 519), + Trans(37, 34, 33, 519), + Trans(37, 39, 33, 519), + Trans(37, 40, 33, 519), + Trans(37, 42, 33, 519), + Trans(37, 46, 33, 519), + Trans(37, 52, 33, 519), + Trans(37, 92, 33, 519), + Trans(38, 5, 33, 519), + Trans(38, 16, 33, 519), + Trans(38, 17, 33, 519), + Trans(38, 18, 33, 519), + Trans(38, 19, 33, 519), + Trans(38, 20, 33, 519), + Trans(38, 21, 33, 519), + Trans(38, 22, 33, 519), + Trans(38, 23, 33, 519), + Trans(38, 24, 33, 519), + Trans(38, 25, 33, 519), + Trans(38, 26, 33, 519), + Trans(38, 44, 33, 519), + Trans(38, 46, 33, 519), + Trans(38, 52, 33, 519), + Trans(39, 5, 33, 519), + Trans(39, 16, 33, 519), + Trans(39, 17, 33, 519), + Trans(39, 18, 33, 519), + Trans(39, 19, 33, 519), + Trans(39, 20, 33, 519), + Trans(39, 21, 33, 519), + Trans(39, 22, 33, 519), + Trans(39, 23, 33, 519), + Trans(39, 24, 33, 519), + Trans(39, 25, 33, 519), + Trans(39, 26, 33, 519), + Trans(39, 28, 33, 519), + Trans(39, 34, 33, 519), + Trans(39, 39, 33, 519), + Trans(39, 40, 33, 519), + Trans(39, 44, 33, 519), + Trans(39, 46, 33, 519), + Trans(39, 52, 33, 519), + Trans(40, 5, 33, 519), + Trans(40, 16, 33, 519), + Trans(40, 17, 33, 519), + Trans(40, 18, 33, 519), + Trans(40, 19, 33, 519), + Trans(40, 20, 33, 519), + Trans(40, 21, 33, 519), + Trans(40, 22, 33, 519), + Trans(40, 23, 33, 519), + Trans(40, 24, 33, 519), + Trans(40, 25, 33, 519), + Trans(40, 26, 33, 519), + Trans(40, 38, 33, 519), + Trans(40, 46, 33, 519), + Trans(40, 52, 33, 519), + Trans(41, 5, 33, 519), + Trans(41, 16, 33, 519), + Trans(41, 17, 33, 519), + Trans(41, 18, 33, 519), + Trans(41, 19, 33, 519), + Trans(41, 20, 33, 519), + Trans(41, 21, 33, 519), + Trans(41, 22, 33, 519), + Trans(41, 23, 33, 519), + Trans(41, 24, 33, 519), + Trans(41, 25, 33, 519), + Trans(41, 26, 33, 519), + Trans(41, 28, 33, 519), + Trans(41, 34, 33, 519), + Trans(41, 38, 33, 519), + Trans(41, 39, 33, 519), + Trans(41, 40, 33, 519), + Trans(41, 46, 33, 519), + Trans(41, 52, 33, 519), + Trans(42, 5, 33, 519), + Trans(42, 16, 33, 519), + Trans(42, 17, 33, 519), + Trans(42, 18, 33, 519), + Trans(42, 19, 33, 519), + Trans(42, 20, 33, 519), + Trans(42, 21, 33, 519), + Trans(42, 22, 33, 519), + Trans(42, 23, 33, 519), + Trans(42, 24, 33, 519), + Trans(42, 25, 33, 519), + Trans(42, 26, 33, 519), + Trans(42, 45, 33, 519), + Trans(42, 46, 33, 519), + Trans(42, 52, 33, 519), + Trans(43, 5, 33, 519), + Trans(43, 16, 33, 519), + Trans(43, 17, 33, 519), + Trans(43, 18, 33, 519), + Trans(43, 19, 33, 519), + Trans(43, 20, 33, 519), + Trans(43, 21, 33, 519), + Trans(43, 22, 33, 519), + Trans(43, 23, 33, 519), + Trans(43, 24, 33, 519), + Trans(43, 25, 33, 519), + Trans(43, 26, 33, 519), + Trans(43, 28, 33, 519), + Trans(43, 34, 33, 519), + Trans(43, 39, 33, 519), + Trans(43, 40, 33, 519), + Trans(43, 45, 33, 519), + Trans(43, 46, 33, 519), + Trans(43, 52, 33, 519), + Trans(44, 15, 33, 519), + Trans(44, 16, 33, 519), + Trans(44, 17, 33, 519), + Trans(44, 18, 33, 519), + Trans(44, 19, 33, 519), + Trans(44, 20, 33, 519), + Trans(44, 21, 33, 519), + Trans(44, 22, 33, 519), + Trans(44, 23, 33, 519), + Trans(44, 24, 33, 519), + Trans(44, 25, 33, 519), + Trans(44, 26, 33, 519), + Trans(44, 28, 33, 519), + Trans(44, 29, 33, 519), + Trans(44, 30, 33, 519), + Trans(44, 34, 33, 519), + Trans(44, 35, 33, 519), + Trans(44, 39, 33, 519), + Trans(44, 40, 33, 519), + Trans(44, 46, 33, 519), + Trans(44, 52, 33, 519), + Trans(45, 5, 33, 519), + Trans(45, 31, 33, 519), + Trans(45, 38, 33, 519), + Trans(45, 54, 33, 519), + Trans(45, 63, 33, 519), + Trans(45, 67, 33, 519), + Trans(45, 68, 33, 519), + Trans(45, 77, 33, 519), + Trans(45, 93, 33, 519), + Trans(45, 94, 33, 519), + Trans(45, 107, 33, 519), + Trans(46, 5, 33, 519), + Trans(46, 6, 33, 519), + Trans(46, 7, 33, 519), + Trans(46, 8, 33, 519), + Trans(46, 9, 33, 519), + Trans(46, 10, 33, 519), + Trans(46, 11, 33, 519), + Trans(46, 18, 33, 519), + Trans(46, 24, 33, 519), + Trans(46, 25, 33, 519), + Trans(46, 26, 33, 519), + Trans(46, 27, 33, 519), + Trans(46, 31, 33, 519), + Trans(46, 38, 33, 519), + Trans(46, 40, 33, 519), + Trans(46, 44, 33, 519), + Trans(46, 54, 33, 519), + Trans(46, 68, 33, 519), + Trans(46, 73, 33, 519), + Trans(46, 80, 33, 519), + Trans(46, 83, 33, 519), + Trans(46, 86, 33, 519), + Trans(46, 107, 33, 519), + Trans(47, 16, 33, 519), + Trans(47, 17, 33, 519), + Trans(47, 18, 33, 519), + Trans(47, 19, 33, 519), + Trans(47, 20, 33, 519), + Trans(47, 21, 33, 519), + Trans(47, 22, 33, 519), + Trans(47, 23, 33, 519), + Trans(47, 24, 33, 519), + Trans(47, 25, 33, 519), + Trans(47, 26, 33, 519), + Trans(47, 29, 33, 519), + Trans(47, 30, 33, 519), + Trans(47, 46, 33, 519), + Trans(47, 52, 33, 519), + Trans(48, 29, 33, 519), + Trans(49, 5, 33, 519), + Trans(49, 31, 33, 519), + Trans(49, 42, 33, 519), + Trans(49, 54, 33, 519), + Trans(49, 63, 33, 519), + Trans(49, 67, 33, 519), + Trans(49, 68, 33, 519), + Trans(49, 77, 33, 519), + Trans(49, 93, 33, 519), + Trans(49, 94, 33, 519), + Trans(49, 107, 33, 519), + Trans(50, 38, 33, 519), + Trans(51, 45, 33, 519), + Trans(52, 5, 33, 519), + Trans(52, 31, 33, 519), + Trans(52, 42, 33, 519), + Trans(52, 54, 33, 519), + Trans(52, 63, 33, 519), + Trans(52, 67, 33, 519), + Trans(52, 68, 33, 519), + Trans(52, 77, 33, 519), + Trans(52, 93, 33, 519), + Trans(52, 94, 33, 519), + Trans(52, 106, 33, 519), + Trans(52, 107, 33, 519), + Trans(53, 107, 33, 519), ], k: 3, }, - /* 243 - "IfResetStatementList0List" */ + /* 253 - "IfResetStatementList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 513), - Trans(0, 42, 2, 514), - Trans(0, 54, 1, 513), - Trans(0, 62, 1, 513), - Trans(0, 66, 1, 513), - Trans(0, 67, 1, 513), - Trans(0, 76, 1, 513), - Trans(0, 92, 1, 513), - Trans(0, 93, 1, 513), - Trans(0, 106, 1, 513), + Trans(0, 31, 1, 517), + Trans(0, 42, 2, 518), + Trans(0, 54, 1, 517), + Trans(0, 63, 1, 517), + Trans(0, 67, 1, 517), + Trans(0, 68, 1, 517), + Trans(0, 77, 1, 517), + Trans(0, 93, 1, 517), + Trans(0, 94, 1, 517), + Trans(0, 107, 1, 517), ], k: 1, }, - /* 244 - "IfResetStatementOpt" */ + /* 254 - "IfResetStatementOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 521), - Trans(0, 7, 2, 521), - Trans(0, 8, 2, 521), - Trans(0, 9, 2, 521), - Trans(0, 10, 2, 521), - Trans(0, 11, 2, 521), - Trans(0, 18, 2, 521), - Trans(0, 24, 2, 521), - Trans(0, 25, 2, 521), - Trans(0, 26, 2, 521), - Trans(0, 27, 2, 521), - Trans(0, 31, 2, 521), - Trans(0, 38, 2, 521), - Trans(0, 40, 2, 521), - Trans(0, 42, 2, 521), - Trans(0, 54, 2, 521), - Trans(0, 55, 2, 521), - Trans(0, 56, 1, 518), - Trans(0, 62, 2, 521), - Trans(0, 66, 2, 521), - Trans(0, 67, 2, 521), - Trans(0, 72, 2, 521), - Trans(0, 76, 2, 521), - Trans(0, 79, 2, 521), - Trans(0, 82, 2, 521), - Trans(0, 85, 2, 521), - Trans(0, 92, 2, 521), - Trans(0, 93, 2, 521), - Trans(0, 105, 2, 521), - Trans(0, 106, 2, 521), + Trans(0, 6, 2, 525), + Trans(0, 7, 2, 525), + Trans(0, 8, 2, 525), + Trans(0, 9, 2, 525), + Trans(0, 10, 2, 525), + Trans(0, 11, 2, 525), + Trans(0, 18, 2, 525), + Trans(0, 24, 2, 525), + Trans(0, 25, 2, 525), + Trans(0, 26, 2, 525), + Trans(0, 27, 2, 525), + Trans(0, 31, 2, 525), + Trans(0, 38, 2, 525), + Trans(0, 40, 2, 525), + Trans(0, 42, 2, 525), + Trans(0, 54, 2, 525), + Trans(0, 55, 2, 525), + Trans(0, 56, 1, 522), + Trans(0, 63, 2, 525), + Trans(0, 67, 2, 525), + Trans(0, 68, 2, 525), + Trans(0, 73, 2, 525), + Trans(0, 77, 2, 525), + Trans(0, 80, 2, 525), + Trans(0, 83, 2, 525), + Trans(0, 86, 2, 525), + Trans(0, 93, 2, 525), + Trans(0, 94, 2, 525), + Trans(0, 106, 2, 525), + Trans(0, 107, 2, 525), ], k: 1, }, - /* 245 - "IfResetStatementOptList" */ + /* 255 - "IfResetStatementOptList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 519), - Trans(0, 42, 2, 520), - Trans(0, 54, 1, 519), - Trans(0, 62, 1, 519), - Trans(0, 66, 1, 519), - Trans(0, 67, 1, 519), - Trans(0, 76, 1, 519), - Trans(0, 92, 1, 519), - Trans(0, 93, 1, 519), - Trans(0, 106, 1, 519), + Trans(0, 31, 1, 523), + Trans(0, 42, 2, 524), + Trans(0, 54, 1, 523), + Trans(0, 63, 1, 523), + Trans(0, 67, 1, 523), + Trans(0, 68, 1, 523), + Trans(0, 77, 1, 523), + Trans(0, 93, 1, 523), + Trans(0, 94, 1, 523), + Trans(0, 107, 1, 523), ], k: 1, }, - /* 246 - "IfResetTerm" */ + /* 256 - "IfResetTerm" */ LookaheadDFA { - prod0: 61, + prod0: 62, transitions: &[], k: 0, }, - /* 247 - "IfResetToken" */ + /* 257 - "IfResetToken" */ LookaheadDFA { - prod0: 166, + prod0: 169, transitions: &[], k: 0, }, - /* 248 - "IfStatement" */ + /* 258 - "IfStatement" */ LookaheadDFA { - prod0: 500, + prod0: 504, transitions: &[], k: 0, }, - /* 249 - "IfStatementList" */ + /* 259 - "IfStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 505), - Trans(0, 42, 2, 506), - Trans(0, 54, 1, 505), - Trans(0, 62, 1, 505), - Trans(0, 66, 1, 505), - Trans(0, 67, 1, 505), - Trans(0, 76, 1, 505), - Trans(0, 92, 1, 505), - Trans(0, 93, 1, 505), - Trans(0, 106, 1, 505), + Trans(0, 31, 1, 509), + Trans(0, 42, 2, 510), + Trans(0, 54, 1, 509), + Trans(0, 63, 1, 509), + Trans(0, 67, 1, 509), + Trans(0, 68, 1, 509), + Trans(0, 77, 1, 509), + Trans(0, 93, 1, 509), + Trans(0, 94, 1, 509), + Trans(0, 107, 1, 509), ], k: 1, }, - /* 250 - "IfStatementList0" */ + /* 260 - "IfStatementList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5592,1480 +5718,1495 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(0, 54, 11, -1), Trans(0, 55, 12, -1), Trans(0, 56, 1, -1), - Trans(0, 62, 13, -1), - Trans(0, 66, 14, -1), - Trans(0, 67, 11, -1), - Trans(0, 72, 11, -1), - Trans(0, 76, 13, -1), - Trans(0, 79, 5, -1), - Trans(0, 82, 5, -1), - Trans(0, 85, 11, -1), - Trans(0, 92, 15, -1), - Trans(0, 93, 16, -1), - Trans(0, 105, 13, -1), - Trans(0, 106, 17, -1), - Trans(1, 5, 2, -1), - Trans(1, 38, 46, -1), - Trans(1, 67, 4, -1), - Trans(2, 38, 22, 504), - Trans(2, 67, 3, 501), - Trans(4, 5, 3, 501), - Trans(4, 6, 3, 501), - Trans(4, 7, 3, 501), - Trans(4, 8, 3, 501), - Trans(4, 9, 3, 501), - Trans(4, 10, 3, 501), - Trans(4, 11, 3, 501), - Trans(4, 18, 3, 501), - Trans(4, 24, 3, 501), - Trans(4, 25, 3, 501), - Trans(4, 26, 3, 501), - Trans(4, 27, 3, 501), - Trans(4, 31, 3, 501), - Trans(4, 38, 3, 501), - Trans(4, 40, 3, 501), - Trans(4, 54, 3, 501), - Trans(4, 67, 3, 501), - Trans(4, 72, 3, 501), - Trans(4, 79, 3, 501), - Trans(4, 82, 3, 501), - Trans(4, 85, 3, 501), - Trans(4, 106, 3, 501), - Trans(5, 5, 18, -1), - Trans(5, 16, 19, -1), - Trans(5, 17, 19, -1), - Trans(5, 18, 19, -1), - Trans(5, 19, 19, -1), - Trans(5, 20, 19, -1), - Trans(5, 21, 19, -1), - Trans(5, 22, 19, -1), - Trans(5, 23, 19, -1), - Trans(5, 24, 19, -1), - Trans(5, 25, 19, -1), - Trans(5, 26, 19, -1), - Trans(5, 29, 20, -1), - Trans(5, 30, 19, -1), - Trans(5, 46, 19, -1), - Trans(5, 52, 21, -1), - Trans(6, 5, 23, -1), - Trans(6, 6, 24, -1), - Trans(6, 7, 24, -1), - Trans(6, 8, 24, -1), - Trans(6, 9, 24, -1), - Trans(6, 10, 24, -1), - Trans(6, 11, 24, -1), - Trans(6, 18, 19, -1), - Trans(6, 24, 19, -1), - Trans(6, 25, 19, -1), - Trans(6, 26, 19, -1), - Trans(6, 27, 19, -1), - Trans(6, 31, 25, -1), - Trans(6, 38, 19, -1), - Trans(6, 40, 19, -1), - Trans(6, 54, 19, -1), - Trans(6, 67, 19, -1), - Trans(6, 72, 19, -1), - Trans(6, 79, 24, -1), - Trans(6, 82, 24, -1), - Trans(6, 85, 19, -1), - Trans(6, 106, 26, -1), - Trans(7, 5, 27, -1), - Trans(7, 106, 28, -1), - Trans(8, 5, 23, -1), - Trans(8, 6, 29, -1), - Trans(8, 7, 29, -1), - Trans(8, 8, 29, -1), - Trans(8, 9, 29, -1), - Trans(8, 10, 29, -1), - Trans(8, 11, 29, -1), - Trans(8, 18, 19, -1), - Trans(8, 24, 19, -1), - Trans(8, 25, 19, -1), - Trans(8, 26, 19, -1), - Trans(8, 27, 19, -1), - Trans(8, 31, 25, -1), - Trans(8, 38, 19, -1), - Trans(8, 40, 19, -1), - Trans(8, 54, 19, -1), - Trans(8, 67, 19, -1), - Trans(8, 72, 19, -1), - Trans(8, 79, 29, -1), - Trans(8, 82, 29, -1), - Trans(8, 85, 19, -1), - Trans(8, 106, 30, -1), - Trans(9, 5, 23, -1), - Trans(9, 6, 31, -1), - Trans(9, 7, 31, -1), - Trans(9, 8, 31, -1), - Trans(9, 9, 31, -1), - Trans(9, 10, 31, -1), - Trans(9, 11, 31, -1), - Trans(9, 18, 19, -1), - Trans(9, 24, 19, -1), - Trans(9, 25, 19, -1), - Trans(9, 26, 19, -1), - Trans(9, 27, 19, -1), - Trans(9, 31, 25, -1), - Trans(9, 38, 19, -1), - Trans(9, 40, 19, -1), - Trans(9, 54, 19, -1), - Trans(9, 67, 19, -1), - Trans(9, 72, 19, -1), - Trans(9, 79, 31, -1), - Trans(9, 82, 31, -1), - Trans(9, 85, 19, -1), - Trans(9, 106, 32, -1), - Trans(10, 5, 33, -1), - Trans(10, 6, 24, -1), - Trans(10, 7, 24, -1), - Trans(10, 8, 24, -1), - Trans(10, 9, 24, -1), - Trans(10, 10, 24, -1), - Trans(10, 11, 24, -1), - Trans(10, 18, 19, -1), - Trans(10, 24, 19, -1), - Trans(10, 25, 19, -1), - Trans(10, 26, 19, -1), - Trans(10, 27, 19, -1), - Trans(10, 29, 25, -1), - Trans(10, 31, 25, -1), - Trans(10, 36, 34, -1), - Trans(10, 38, 35, -1), - Trans(10, 40, 19, -1), - Trans(10, 42, 36, -1), - Trans(10, 47, 37, -1), - Trans(10, 48, 38, -1), - Trans(10, 49, 25, -1), - Trans(10, 54, 19, -1), - Trans(10, 55, 39, -1), - Trans(10, 56, 40, -1), - Trans(10, 57, 25, -1), - Trans(10, 58, 41, -1), - Trans(10, 61, 37, -1), + Trans(0, 63, 13, -1), + Trans(0, 67, 14, -1), + Trans(0, 68, 11, -1), + Trans(0, 73, 11, -1), + Trans(0, 77, 13, -1), + Trans(0, 80, 5, -1), + Trans(0, 83, 5, -1), + Trans(0, 86, 11, -1), + Trans(0, 93, 15, -1), + Trans(0, 94, 16, -1), + Trans(0, 106, 13, -1), + Trans(0, 107, 17, -1), + Trans(1, 5, 4, -1), + Trans(1, 38, 49, -1), + Trans(1, 68, 2, -1), + Trans(2, 5, 3, 505), + Trans(2, 6, 3, 505), + Trans(2, 7, 3, 505), + Trans(2, 8, 3, 505), + Trans(2, 9, 3, 505), + Trans(2, 10, 3, 505), + Trans(2, 11, 3, 505), + Trans(2, 18, 3, 505), + Trans(2, 24, 3, 505), + Trans(2, 25, 3, 505), + Trans(2, 26, 3, 505), + Trans(2, 27, 3, 505), + Trans(2, 31, 3, 505), + Trans(2, 38, 3, 505), + Trans(2, 40, 3, 505), + Trans(2, 54, 3, 505), + Trans(2, 68, 3, 505), + Trans(2, 73, 3, 505), + Trans(2, 80, 3, 505), + Trans(2, 83, 3, 505), + Trans(2, 86, 3, 505), + Trans(2, 107, 3, 505), + Trans(4, 38, 33, 508), + Trans(4, 68, 3, 505), + Trans(5, 5, 47, -1), + Trans(5, 16, 20, -1), + Trans(5, 17, 20, -1), + Trans(5, 18, 20, -1), + Trans(5, 19, 20, -1), + Trans(5, 20, 20, -1), + Trans(5, 21, 20, -1), + Trans(5, 22, 20, -1), + Trans(5, 23, 20, -1), + Trans(5, 24, 20, -1), + Trans(5, 25, 20, -1), + Trans(5, 26, 20, -1), + Trans(5, 29, 45, -1), + Trans(5, 30, 20, -1), + Trans(5, 46, 20, -1), + Trans(5, 52, 30, -1), + Trans(6, 5, 34, -1), + Trans(6, 6, 19, -1), + Trans(6, 7, 19, -1), + Trans(6, 8, 19, -1), + Trans(6, 9, 19, -1), + Trans(6, 10, 19, -1), + Trans(6, 11, 19, -1), + Trans(6, 18, 20, -1), + Trans(6, 24, 20, -1), + Trans(6, 25, 20, -1), + Trans(6, 26, 20, -1), + Trans(6, 27, 20, -1), + Trans(6, 31, 21, -1), + Trans(6, 38, 20, -1), + Trans(6, 40, 20, -1), + Trans(6, 54, 20, -1), + Trans(6, 68, 20, -1), + Trans(6, 73, 20, -1), + Trans(6, 80, 19, -1), + Trans(6, 83, 19, -1), + Trans(6, 86, 20, -1), + Trans(6, 107, 35, -1), + Trans(7, 5, 53, -1), + Trans(7, 107, 32, -1), + Trans(8, 5, 34, -1), + Trans(8, 6, 36, -1), + Trans(8, 7, 36, -1), + Trans(8, 8, 36, -1), + Trans(8, 9, 36, -1), + Trans(8, 10, 36, -1), + Trans(8, 11, 36, -1), + Trans(8, 18, 20, -1), + Trans(8, 24, 20, -1), + Trans(8, 25, 20, -1), + Trans(8, 26, 20, -1), + Trans(8, 27, 20, -1), + Trans(8, 31, 21, -1), + Trans(8, 38, 20, -1), + Trans(8, 40, 20, -1), + Trans(8, 54, 20, -1), + Trans(8, 68, 20, -1), + Trans(8, 73, 20, -1), + Trans(8, 80, 36, -1), + Trans(8, 83, 36, -1), + Trans(8, 86, 20, -1), + Trans(8, 107, 37, -1), + Trans(9, 5, 34, -1), + Trans(9, 6, 38, -1), + Trans(9, 7, 38, -1), + Trans(9, 8, 38, -1), + Trans(9, 9, 38, -1), + Trans(9, 10, 38, -1), + Trans(9, 11, 38, -1), + Trans(9, 18, 20, -1), + Trans(9, 24, 20, -1), + Trans(9, 25, 20, -1), + Trans(9, 26, 20, -1), + Trans(9, 27, 20, -1), + Trans(9, 31, 21, -1), + Trans(9, 38, 20, -1), + Trans(9, 40, 20, -1), + Trans(9, 54, 20, -1), + Trans(9, 68, 20, -1), + Trans(9, 73, 20, -1), + Trans(9, 80, 38, -1), + Trans(9, 83, 38, -1), + Trans(9, 86, 20, -1), + Trans(9, 107, 39, -1), + Trans(10, 5, 18, -1), + Trans(10, 6, 19, -1), + Trans(10, 7, 19, -1), + Trans(10, 8, 19, -1), + Trans(10, 9, 19, -1), + Trans(10, 10, 19, -1), + Trans(10, 11, 19, -1), + Trans(10, 18, 20, -1), + Trans(10, 24, 20, -1), + Trans(10, 25, 20, -1), + Trans(10, 26, 20, -1), + Trans(10, 27, 20, -1), + Trans(10, 29, 21, -1), + Trans(10, 31, 21, -1), + Trans(10, 36, 22, -1), + Trans(10, 38, 23, -1), + Trans(10, 40, 20, -1), + Trans(10, 42, 24, -1), + Trans(10, 47, 25, -1), + Trans(10, 48, 26, -1), + Trans(10, 49, 21, -1), + Trans(10, 54, 20, -1), + Trans(10, 55, 27, -1), + Trans(10, 56, 28, -1), + Trans(10, 58, 21, -1), + Trans(10, 59, 29, -1), Trans(10, 62, 25, -1), - Trans(10, 63, 25, -1), - Trans(10, 66, 37, -1), - Trans(10, 67, 19, -1), - Trans(10, 68, 21, -1), - Trans(10, 69, 37, -1), - Trans(10, 72, 19, -1), - Trans(10, 73, 25, -1), - Trans(10, 76, 25, -1), - Trans(10, 77, 25, -1), - Trans(10, 79, 24, -1), - Trans(10, 80, 25, -1), - Trans(10, 82, 24, -1), - Trans(10, 85, 19, -1), - Trans(10, 92, 19, -1), - Trans(10, 93, 42, -1), - Trans(10, 97, 25, -1), - Trans(10, 101, 25, -1), - Trans(10, 104, 25, -1), - Trans(10, 105, 25, -1), - Trans(10, 106, 28, -1), - Trans(11, 5, 23, -1), - Trans(11, 6, 43, -1), - Trans(11, 7, 43, -1), - Trans(11, 8, 43, -1), - Trans(11, 9, 43, -1), - Trans(11, 10, 43, -1), - Trans(11, 11, 43, -1), - Trans(11, 18, 19, -1), - Trans(11, 24, 19, -1), - Trans(11, 25, 19, -1), - Trans(11, 26, 19, -1), - Trans(11, 27, 19, -1), - Trans(11, 31, 25, -1), - Trans(11, 38, 19, -1), - Trans(11, 40, 19, -1), - Trans(11, 54, 19, -1), - Trans(11, 67, 19, -1), - Trans(11, 72, 19, -1), - Trans(11, 79, 43, -1), - Trans(11, 82, 43, -1), - Trans(11, 85, 19, -1), - Trans(11, 106, 44, -1), - Trans(12, 5, 45, -1), - Trans(12, 29, 20, -1), - Trans(13, 5, 27, -1), - Trans(13, 106, 39, -1), - Trans(14, 5, 47, -1), - Trans(14, 38, 46, -1), - Trans(15, 5, 23, -1), - Trans(15, 6, 48, -1), - Trans(15, 7, 48, -1), - Trans(15, 8, 48, -1), - Trans(15, 9, 48, -1), - Trans(15, 10, 48, -1), - Trans(15, 11, 48, -1), - Trans(15, 18, 19, -1), - Trans(15, 24, 19, -1), - Trans(15, 25, 19, -1), - Trans(15, 26, 19, -1), - Trans(15, 27, 19, -1), - Trans(15, 31, 25, -1), - Trans(15, 38, 19, -1), - Trans(15, 40, 19, -1), - Trans(15, 54, 19, -1), - Trans(15, 67, 19, -1), - Trans(15, 72, 19, -1), - Trans(15, 79, 48, -1), - Trans(15, 82, 48, -1), - Trans(15, 85, 19, -1), - Trans(15, 106, 49, -1), - Trans(16, 5, 50, -1), - Trans(16, 45, 51, -1), - Trans(17, 5, 52, -1), - Trans(17, 15, 19, -1), - Trans(17, 16, 19, -1), - Trans(17, 17, 19, -1), - Trans(17, 18, 19, -1), - Trans(17, 19, 19, -1), - Trans(17, 20, 19, -1), - Trans(17, 21, 19, -1), - Trans(17, 22, 19, -1), - Trans(17, 23, 19, -1), - Trans(17, 24, 19, -1), - Trans(17, 25, 19, -1), - Trans(17, 26, 19, -1), - Trans(17, 28, 25, -1), - Trans(17, 29, 20, -1), - Trans(17, 30, 19, -1), - Trans(17, 34, 25, -1), - Trans(17, 35, 19, -1), - Trans(17, 39, 19, -1), - Trans(17, 40, 53, -1), - Trans(17, 46, 19, -1), - Trans(17, 52, 21, -1), - Trans(18, 52, 22, 504), - Trans(19, 5, 22, 504), - Trans(19, 6, 22, 504), - Trans(19, 7, 22, 504), - Trans(19, 8, 22, 504), - Trans(19, 9, 22, 504), - Trans(19, 10, 22, 504), - Trans(19, 11, 22, 504), - Trans(19, 18, 22, 504), - Trans(19, 24, 22, 504), - Trans(19, 25, 22, 504), - Trans(19, 26, 22, 504), - Trans(19, 27, 22, 504), - Trans(19, 31, 22, 504), - Trans(19, 38, 22, 504), - Trans(19, 40, 22, 504), - Trans(19, 54, 22, 504), - Trans(19, 67, 22, 504), - Trans(19, 72, 22, 504), - Trans(19, 79, 22, 504), - Trans(19, 82, 22, 504), - Trans(19, 85, 22, 504), - Trans(19, 106, 22, 504), - Trans(20, 5, 22, 504), - Trans(20, 31, 22, 504), - Trans(20, 38, 22, 504), - Trans(20, 54, 22, 504), - Trans(20, 62, 22, 504), - Trans(20, 66, 22, 504), - Trans(20, 67, 22, 504), - Trans(20, 76, 22, 504), - Trans(20, 92, 22, 504), - Trans(20, 93, 22, 504), - Trans(20, 106, 22, 504), - Trans(21, 5, 22, 504), - Trans(21, 31, 22, 504), - Trans(21, 106, 22, 504), - Trans(23, 6, 22, 504), - Trans(23, 7, 22, 504), - Trans(23, 8, 22, 504), - Trans(23, 9, 22, 504), - Trans(23, 10, 22, 504), - Trans(23, 11, 22, 504), - Trans(23, 18, 22, 504), - Trans(23, 24, 22, 504), - Trans(23, 25, 22, 504), - Trans(23, 26, 22, 504), - Trans(23, 27, 22, 504), - Trans(23, 31, 22, 504), - Trans(23, 38, 22, 504), - Trans(23, 40, 22, 504), - Trans(23, 54, 22, 504), - Trans(23, 67, 22, 504), - Trans(23, 72, 22, 504), - Trans(23, 79, 22, 504), - Trans(23, 82, 22, 504), - Trans(23, 85, 22, 504), - Trans(23, 106, 22, 504), - Trans(24, 5, 22, 504), - Trans(24, 16, 22, 504), - Trans(24, 17, 22, 504), - Trans(24, 18, 22, 504), - Trans(24, 19, 22, 504), - Trans(24, 20, 22, 504), - Trans(24, 21, 22, 504), - Trans(24, 22, 22, 504), - Trans(24, 23, 22, 504), - Trans(24, 24, 22, 504), - Trans(24, 25, 22, 504), - Trans(24, 26, 22, 504), - Trans(24, 29, 22, 504), - Trans(24, 30, 22, 504), - Trans(24, 46, 22, 504), - Trans(24, 52, 22, 504), - Trans(25, 5, 22, 504), - Trans(25, 106, 22, 504), - Trans(26, 5, 22, 504), - Trans(26, 16, 22, 504), - Trans(26, 17, 22, 504), - Trans(26, 18, 22, 504), - Trans(26, 19, 22, 504), - Trans(26, 20, 22, 504), - Trans(26, 21, 22, 504), - Trans(26, 22, 22, 504), - Trans(26, 23, 22, 504), - Trans(26, 24, 22, 504), - Trans(26, 25, 22, 504), - Trans(26, 26, 22, 504), - Trans(26, 28, 22, 504), - Trans(26, 29, 22, 504), - Trans(26, 30, 22, 504), - Trans(26, 34, 22, 504), - Trans(26, 39, 22, 504), - Trans(26, 40, 22, 504), - Trans(26, 46, 22, 504), - Trans(26, 52, 22, 504), - Trans(27, 106, 22, 504), - Trans(28, 5, 22, 504), - Trans(28, 15, 22, 504), - Trans(28, 16, 22, 504), - Trans(28, 17, 22, 504), - Trans(28, 18, 22, 504), - Trans(28, 19, 22, 504), - Trans(28, 20, 22, 504), - Trans(28, 21, 22, 504), - Trans(28, 22, 22, 504), - Trans(28, 23, 22, 504), - Trans(28, 24, 22, 504), - Trans(28, 25, 22, 504), - Trans(28, 26, 22, 504), - Trans(28, 28, 22, 504), - Trans(28, 29, 22, 504), - Trans(28, 30, 22, 504), - Trans(28, 34, 22, 504), - Trans(28, 35, 22, 504), - Trans(28, 39, 22, 504), - Trans(28, 40, 22, 504), - Trans(28, 46, 22, 504), - Trans(28, 52, 22, 504), - Trans(29, 5, 22, 504), - Trans(29, 16, 22, 504), - Trans(29, 17, 22, 504), - Trans(29, 18, 22, 504), - Trans(29, 19, 22, 504), - Trans(29, 20, 22, 504), - Trans(29, 21, 22, 504), - Trans(29, 22, 22, 504), - Trans(29, 23, 22, 504), - Trans(29, 24, 22, 504), - Trans(29, 25, 22, 504), - Trans(29, 26, 22, 504), - Trans(29, 30, 22, 504), - Trans(29, 42, 22, 504), - Trans(29, 46, 22, 504), - Trans(29, 52, 22, 504), - Trans(29, 91, 22, 504), - Trans(30, 5, 22, 504), - Trans(30, 16, 22, 504), - Trans(30, 17, 22, 504), - Trans(30, 18, 22, 504), - Trans(30, 19, 22, 504), - Trans(30, 20, 22, 504), - Trans(30, 21, 22, 504), - Trans(30, 22, 22, 504), - Trans(30, 23, 22, 504), - Trans(30, 24, 22, 504), - Trans(30, 25, 22, 504), - Trans(30, 26, 22, 504), - Trans(30, 28, 22, 504), - Trans(30, 30, 22, 504), - Trans(30, 34, 22, 504), - Trans(30, 39, 22, 504), - Trans(30, 40, 22, 504), - Trans(30, 42, 22, 504), - Trans(30, 46, 22, 504), - Trans(30, 52, 22, 504), - Trans(30, 91, 22, 504), - Trans(31, 5, 22, 504), - Trans(31, 16, 22, 504), - Trans(31, 17, 22, 504), - Trans(31, 18, 22, 504), - Trans(31, 19, 22, 504), - Trans(31, 20, 22, 504), - Trans(31, 21, 22, 504), - Trans(31, 22, 22, 504), - Trans(31, 23, 22, 504), - Trans(31, 24, 22, 504), - Trans(31, 25, 22, 504), - Trans(31, 26, 22, 504), - Trans(31, 44, 22, 504), - Trans(31, 46, 22, 504), - Trans(31, 52, 22, 504), - Trans(32, 5, 22, 504), - Trans(32, 16, 22, 504), - Trans(32, 17, 22, 504), - Trans(32, 18, 22, 504), - Trans(32, 19, 22, 504), - Trans(32, 20, 22, 504), - Trans(32, 21, 22, 504), - Trans(32, 22, 22, 504), - Trans(32, 23, 22, 504), - Trans(32, 24, 22, 504), - Trans(32, 25, 22, 504), - Trans(32, 26, 22, 504), - Trans(32, 28, 22, 504), - Trans(32, 34, 22, 504), - Trans(32, 39, 22, 504), - Trans(32, 40, 22, 504), - Trans(32, 44, 22, 504), - Trans(32, 46, 22, 504), - Trans(32, 52, 22, 504), - Trans(33, 6, 22, 504), - Trans(33, 7, 22, 504), - Trans(33, 8, 22, 504), - Trans(33, 9, 22, 504), - Trans(33, 10, 22, 504), - Trans(33, 11, 22, 504), - Trans(33, 18, 22, 504), - Trans(33, 24, 22, 504), - Trans(33, 25, 22, 504), - Trans(33, 26, 22, 504), - Trans(33, 27, 22, 504), - Trans(33, 29, 22, 504), - Trans(33, 31, 22, 504), - Trans(33, 36, 22, 504), - Trans(33, 38, 22, 504), - Trans(33, 40, 22, 504), - Trans(33, 42, 22, 504), - Trans(33, 47, 22, 504), - Trans(33, 48, 22, 504), - Trans(33, 49, 22, 504), - Trans(33, 54, 22, 504), - Trans(33, 55, 22, 504), - Trans(33, 56, 22, 504), - Trans(33, 57, 22, 504), - Trans(33, 58, 22, 504), - Trans(33, 61, 22, 504), - Trans(33, 62, 22, 504), - Trans(33, 63, 22, 504), - Trans(33, 66, 22, 504), - Trans(33, 67, 22, 504), - Trans(33, 68, 22, 504), - Trans(33, 69, 22, 504), - Trans(33, 72, 22, 504), - Trans(33, 73, 22, 504), - Trans(33, 76, 22, 504), - Trans(33, 77, 22, 504), - Trans(33, 79, 22, 504), - Trans(33, 80, 22, 504), - Trans(33, 82, 22, 504), - Trans(33, 85, 22, 504), - Trans(33, 92, 22, 504), - Trans(33, 93, 22, 504), - Trans(33, 97, 22, 504), - Trans(33, 101, 22, 504), - Trans(33, 104, 22, 504), - Trans(33, 105, 22, 504), - Trans(33, 106, 22, 504), - Trans(34, 5, 22, 504), - Trans(34, 39, 22, 504), - Trans(35, 5, 22, 504), - Trans(35, 6, 22, 504), - Trans(35, 7, 22, 504), - Trans(35, 8, 22, 504), - Trans(35, 9, 22, 504), - Trans(35, 10, 22, 504), - Trans(35, 11, 22, 504), - Trans(35, 18, 22, 504), - Trans(35, 24, 22, 504), - Trans(35, 25, 22, 504), - Trans(35, 26, 22, 504), - Trans(35, 27, 22, 504), - Trans(35, 29, 22, 504), - Trans(35, 31, 22, 504), - Trans(35, 36, 22, 504), - Trans(35, 38, 22, 504), - Trans(35, 40, 22, 504), - Trans(35, 42, 22, 504), - Trans(35, 47, 22, 504), - Trans(35, 48, 22, 504), - Trans(35, 49, 22, 504), - Trans(35, 54, 22, 504), - Trans(35, 57, 22, 504), - Trans(35, 58, 22, 504), - Trans(35, 61, 22, 504), - Trans(35, 62, 22, 504), - Trans(35, 63, 22, 504), - Trans(35, 67, 22, 504), - Trans(35, 68, 22, 504), - Trans(35, 69, 22, 504), - Trans(35, 72, 22, 504), - Trans(35, 73, 22, 504), - Trans(35, 76, 22, 504), - Trans(35, 77, 22, 504), - Trans(35, 79, 22, 504), - Trans(35, 80, 22, 504), - Trans(35, 82, 22, 504), - Trans(35, 85, 22, 504), - Trans(35, 97, 22, 504), - Trans(35, 101, 22, 504), - Trans(35, 104, 22, 504), - Trans(35, 105, 22, 504), - Trans(35, 106, 22, 504), - Trans(36, 0, 22, 504), - Trans(36, 5, 22, 504), - Trans(36, 6, 22, 504), - Trans(36, 7, 22, 504), - Trans(36, 8, 22, 504), - Trans(36, 9, 22, 504), - Trans(36, 10, 22, 504), - Trans(36, 11, 22, 504), - Trans(36, 18, 22, 504), - Trans(36, 24, 22, 504), - Trans(36, 25, 22, 504), - Trans(36, 26, 22, 504), - Trans(36, 27, 22, 504), - Trans(36, 29, 22, 504), - Trans(36, 31, 22, 504), - Trans(36, 36, 22, 504), - Trans(36, 38, 22, 504), - Trans(36, 40, 22, 504), - Trans(36, 42, 22, 504), - Trans(36, 47, 22, 504), - Trans(36, 48, 22, 504), - Trans(36, 49, 22, 504), - Trans(36, 54, 22, 504), - Trans(36, 55, 22, 504), - Trans(36, 56, 22, 504), - Trans(36, 57, 22, 504), - Trans(36, 58, 22, 504), - Trans(36, 61, 22, 504), - Trans(36, 62, 22, 504), - Trans(36, 63, 22, 504), - Trans(36, 66, 22, 504), - Trans(36, 67, 22, 504), - Trans(36, 68, 22, 504), - Trans(36, 69, 22, 504), - Trans(36, 72, 22, 504), - Trans(36, 73, 22, 504), - Trans(36, 74, 22, 504), - Trans(36, 76, 22, 504), - Trans(36, 77, 22, 504), - Trans(36, 79, 22, 504), - Trans(36, 80, 22, 504), - Trans(36, 81, 22, 504), - Trans(36, 82, 22, 504), - Trans(36, 85, 22, 504), - Trans(36, 86, 22, 504), - Trans(36, 89, 22, 504), - Trans(36, 92, 22, 504), - Trans(36, 93, 22, 504), - Trans(36, 97, 22, 504), - Trans(36, 101, 22, 504), - Trans(36, 104, 22, 504), - Trans(36, 105, 22, 504), - Trans(36, 106, 22, 504), - Trans(37, 5, 22, 504), - Trans(37, 38, 22, 504), - Trans(38, 5, 22, 504), - Trans(38, 40, 22, 504), - Trans(39, 5, 22, 504), - Trans(39, 29, 22, 504), - Trans(40, 5, 22, 504), - Trans(40, 38, 22, 504), - Trans(40, 67, 22, 504), - Trans(41, 5, 22, 504), - Trans(41, 31, 22, 504), - Trans(41, 46, 22, 504), - Trans(41, 106, 22, 504), - Trans(42, 5, 22, 504), - Trans(42, 45, 22, 504), - Trans(43, 5, 22, 504), - Trans(43, 16, 22, 504), - Trans(43, 17, 22, 504), - Trans(43, 18, 22, 504), - Trans(43, 19, 22, 504), - Trans(43, 20, 22, 504), - Trans(43, 21, 22, 504), - Trans(43, 22, 22, 504), - Trans(43, 23, 22, 504), - Trans(43, 24, 22, 504), - Trans(43, 25, 22, 504), - Trans(43, 26, 22, 504), - Trans(43, 38, 22, 504), - Trans(43, 46, 22, 504), - Trans(43, 52, 22, 504), - Trans(44, 5, 22, 504), - Trans(44, 16, 22, 504), - Trans(44, 17, 22, 504), - Trans(44, 18, 22, 504), - Trans(44, 19, 22, 504), - Trans(44, 20, 22, 504), - Trans(44, 21, 22, 504), - Trans(44, 22, 22, 504), - Trans(44, 23, 22, 504), - Trans(44, 24, 22, 504), - Trans(44, 25, 22, 504), - Trans(44, 26, 22, 504), - Trans(44, 28, 22, 504), - Trans(44, 34, 22, 504), - Trans(44, 38, 22, 504), - Trans(44, 39, 22, 504), - Trans(44, 40, 22, 504), - Trans(44, 46, 22, 504), - Trans(44, 52, 22, 504), - Trans(45, 29, 22, 504), - Trans(46, 5, 22, 504), - Trans(46, 31, 22, 504), - Trans(46, 42, 22, 504), - Trans(46, 54, 22, 504), - Trans(46, 62, 22, 504), - Trans(46, 66, 22, 504), - Trans(46, 67, 22, 504), - Trans(46, 76, 22, 504), - Trans(46, 92, 22, 504), - Trans(46, 93, 22, 504), - Trans(46, 106, 22, 504), - Trans(47, 38, 22, 504), - Trans(48, 5, 22, 504), - Trans(48, 16, 22, 504), - Trans(48, 17, 22, 504), - Trans(48, 18, 22, 504), - Trans(48, 19, 22, 504), - Trans(48, 20, 22, 504), - Trans(48, 21, 22, 504), - Trans(48, 22, 22, 504), - Trans(48, 23, 22, 504), - Trans(48, 24, 22, 504), - Trans(48, 25, 22, 504), - Trans(48, 26, 22, 504), - Trans(48, 45, 22, 504), - Trans(48, 46, 22, 504), - Trans(48, 52, 22, 504), - Trans(49, 5, 22, 504), - Trans(49, 16, 22, 504), - Trans(49, 17, 22, 504), - Trans(49, 18, 22, 504), - Trans(49, 19, 22, 504), - Trans(49, 20, 22, 504), - Trans(49, 21, 22, 504), - Trans(49, 22, 22, 504), - Trans(49, 23, 22, 504), - Trans(49, 24, 22, 504), - Trans(49, 25, 22, 504), - Trans(49, 26, 22, 504), - Trans(49, 28, 22, 504), - Trans(49, 34, 22, 504), - Trans(49, 39, 22, 504), - Trans(49, 40, 22, 504), - Trans(49, 45, 22, 504), - Trans(49, 46, 22, 504), - Trans(49, 52, 22, 504), - Trans(50, 45, 22, 504), - Trans(51, 5, 22, 504), - Trans(51, 31, 22, 504), - Trans(51, 42, 22, 504), - Trans(51, 54, 22, 504), - Trans(51, 62, 22, 504), - Trans(51, 66, 22, 504), - Trans(51, 67, 22, 504), - Trans(51, 76, 22, 504), - Trans(51, 92, 22, 504), - Trans(51, 93, 22, 504), - Trans(51, 105, 22, 504), - Trans(51, 106, 22, 504), - Trans(52, 15, 22, 504), - Trans(52, 16, 22, 504), - Trans(52, 17, 22, 504), - Trans(52, 18, 22, 504), - Trans(52, 19, 22, 504), - Trans(52, 20, 22, 504), - Trans(52, 21, 22, 504), - Trans(52, 22, 22, 504), - Trans(52, 23, 22, 504), - Trans(52, 24, 22, 504), - Trans(52, 25, 22, 504), - Trans(52, 26, 22, 504), - Trans(52, 28, 22, 504), - Trans(52, 29, 22, 504), - Trans(52, 30, 22, 504), - Trans(52, 34, 22, 504), - Trans(52, 35, 22, 504), - Trans(52, 39, 22, 504), - Trans(52, 40, 22, 504), - Trans(52, 46, 22, 504), - Trans(52, 52, 22, 504), - Trans(53, 5, 22, 504), - Trans(53, 6, 22, 504), - Trans(53, 7, 22, 504), - Trans(53, 8, 22, 504), - Trans(53, 9, 22, 504), - Trans(53, 10, 22, 504), - Trans(53, 11, 22, 504), - Trans(53, 18, 22, 504), - Trans(53, 24, 22, 504), - Trans(53, 25, 22, 504), - Trans(53, 26, 22, 504), - Trans(53, 27, 22, 504), - Trans(53, 31, 22, 504), - Trans(53, 38, 22, 504), - Trans(53, 40, 22, 504), - Trans(53, 44, 22, 504), - Trans(53, 54, 22, 504), - Trans(53, 67, 22, 504), - Trans(53, 72, 22, 504), - Trans(53, 79, 22, 504), - Trans(53, 82, 22, 504), - Trans(53, 85, 22, 504), - Trans(53, 106, 22, 504), + Trans(10, 63, 21, -1), + Trans(10, 64, 21, -1), + Trans(10, 67, 25, -1), + Trans(10, 68, 20, -1), + Trans(10, 69, 30, -1), + Trans(10, 70, 25, -1), + Trans(10, 73, 20, -1), + Trans(10, 74, 21, -1), + Trans(10, 77, 21, -1), + Trans(10, 78, 21, -1), + Trans(10, 80, 19, -1), + Trans(10, 81, 21, -1), + Trans(10, 83, 19, -1), + Trans(10, 86, 20, -1), + Trans(10, 93, 20, -1), + Trans(10, 94, 31, -1), + Trans(10, 98, 21, -1), + Trans(10, 102, 21, -1), + Trans(10, 105, 21, -1), + Trans(10, 106, 21, -1), + Trans(10, 107, 32, -1), + Trans(11, 5, 34, -1), + Trans(11, 6, 40, -1), + Trans(11, 7, 40, -1), + Trans(11, 8, 40, -1), + Trans(11, 9, 40, -1), + Trans(11, 10, 40, -1), + Trans(11, 11, 40, -1), + Trans(11, 18, 20, -1), + Trans(11, 24, 20, -1), + Trans(11, 25, 20, -1), + Trans(11, 26, 20, -1), + Trans(11, 27, 20, -1), + Trans(11, 31, 21, -1), + Trans(11, 38, 20, -1), + Trans(11, 40, 20, -1), + Trans(11, 54, 20, -1), + Trans(11, 68, 20, -1), + Trans(11, 73, 20, -1), + Trans(11, 80, 40, -1), + Trans(11, 83, 40, -1), + Trans(11, 86, 20, -1), + Trans(11, 107, 41, -1), + Trans(12, 5, 48, -1), + Trans(12, 29, 45, -1), + Trans(13, 5, 53, -1), + Trans(13, 107, 27, -1), + Trans(14, 5, 50, -1), + Trans(14, 38, 49, -1), + Trans(15, 5, 34, -1), + Trans(15, 6, 42, -1), + Trans(15, 7, 42, -1), + Trans(15, 8, 42, -1), + Trans(15, 9, 42, -1), + Trans(15, 10, 42, -1), + Trans(15, 11, 42, -1), + Trans(15, 18, 20, -1), + Trans(15, 24, 20, -1), + Trans(15, 25, 20, -1), + Trans(15, 26, 20, -1), + Trans(15, 27, 20, -1), + Trans(15, 31, 21, -1), + Trans(15, 38, 20, -1), + Trans(15, 40, 20, -1), + Trans(15, 54, 20, -1), + Trans(15, 68, 20, -1), + Trans(15, 73, 20, -1), + Trans(15, 80, 42, -1), + Trans(15, 83, 42, -1), + Trans(15, 86, 20, -1), + Trans(15, 107, 43, -1), + Trans(16, 5, 51, -1), + Trans(16, 45, 52, -1), + Trans(17, 5, 44, -1), + Trans(17, 15, 20, -1), + Trans(17, 16, 20, -1), + Trans(17, 17, 20, -1), + Trans(17, 18, 20, -1), + Trans(17, 19, 20, -1), + Trans(17, 20, 20, -1), + Trans(17, 21, 20, -1), + Trans(17, 22, 20, -1), + Trans(17, 23, 20, -1), + Trans(17, 24, 20, -1), + Trans(17, 25, 20, -1), + Trans(17, 26, 20, -1), + Trans(17, 28, 21, -1), + Trans(17, 29, 45, -1), + Trans(17, 30, 20, -1), + Trans(17, 34, 21, -1), + Trans(17, 35, 20, -1), + Trans(17, 39, 20, -1), + Trans(17, 40, 46, -1), + Trans(17, 46, 20, -1), + Trans(17, 52, 30, -1), + Trans(18, 6, 33, 508), + Trans(18, 7, 33, 508), + Trans(18, 8, 33, 508), + Trans(18, 9, 33, 508), + Trans(18, 10, 33, 508), + Trans(18, 11, 33, 508), + Trans(18, 18, 33, 508), + Trans(18, 24, 33, 508), + Trans(18, 25, 33, 508), + Trans(18, 26, 33, 508), + Trans(18, 27, 33, 508), + Trans(18, 29, 33, 508), + Trans(18, 31, 33, 508), + Trans(18, 36, 33, 508), + Trans(18, 38, 33, 508), + Trans(18, 40, 33, 508), + Trans(18, 42, 33, 508), + Trans(18, 47, 33, 508), + Trans(18, 48, 33, 508), + Trans(18, 49, 33, 508), + Trans(18, 54, 33, 508), + Trans(18, 55, 33, 508), + Trans(18, 56, 33, 508), + Trans(18, 58, 33, 508), + Trans(18, 59, 33, 508), + Trans(18, 62, 33, 508), + Trans(18, 63, 33, 508), + Trans(18, 64, 33, 508), + Trans(18, 67, 33, 508), + Trans(18, 68, 33, 508), + Trans(18, 69, 33, 508), + Trans(18, 70, 33, 508), + Trans(18, 73, 33, 508), + Trans(18, 74, 33, 508), + Trans(18, 77, 33, 508), + Trans(18, 78, 33, 508), + Trans(18, 80, 33, 508), + Trans(18, 81, 33, 508), + Trans(18, 83, 33, 508), + Trans(18, 86, 33, 508), + Trans(18, 93, 33, 508), + Trans(18, 94, 33, 508), + Trans(18, 98, 33, 508), + Trans(18, 102, 33, 508), + Trans(18, 105, 33, 508), + Trans(18, 106, 33, 508), + Trans(18, 107, 33, 508), + Trans(19, 5, 33, 508), + Trans(19, 16, 33, 508), + Trans(19, 17, 33, 508), + Trans(19, 18, 33, 508), + Trans(19, 19, 33, 508), + Trans(19, 20, 33, 508), + Trans(19, 21, 33, 508), + Trans(19, 22, 33, 508), + Trans(19, 23, 33, 508), + Trans(19, 24, 33, 508), + Trans(19, 25, 33, 508), + Trans(19, 26, 33, 508), + Trans(19, 29, 33, 508), + Trans(19, 30, 33, 508), + Trans(19, 46, 33, 508), + Trans(19, 52, 33, 508), + Trans(20, 5, 33, 508), + Trans(20, 6, 33, 508), + Trans(20, 7, 33, 508), + Trans(20, 8, 33, 508), + Trans(20, 9, 33, 508), + Trans(20, 10, 33, 508), + Trans(20, 11, 33, 508), + Trans(20, 18, 33, 508), + Trans(20, 24, 33, 508), + Trans(20, 25, 33, 508), + Trans(20, 26, 33, 508), + Trans(20, 27, 33, 508), + Trans(20, 31, 33, 508), + Trans(20, 38, 33, 508), + Trans(20, 40, 33, 508), + Trans(20, 54, 33, 508), + Trans(20, 68, 33, 508), + Trans(20, 73, 33, 508), + Trans(20, 80, 33, 508), + Trans(20, 83, 33, 508), + Trans(20, 86, 33, 508), + Trans(20, 107, 33, 508), + Trans(21, 5, 33, 508), + Trans(21, 107, 33, 508), + Trans(22, 5, 33, 508), + Trans(22, 39, 33, 508), + Trans(23, 5, 33, 508), + Trans(23, 6, 33, 508), + Trans(23, 7, 33, 508), + Trans(23, 8, 33, 508), + Trans(23, 9, 33, 508), + Trans(23, 10, 33, 508), + Trans(23, 11, 33, 508), + Trans(23, 18, 33, 508), + Trans(23, 24, 33, 508), + Trans(23, 25, 33, 508), + Trans(23, 26, 33, 508), + Trans(23, 27, 33, 508), + Trans(23, 29, 33, 508), + Trans(23, 31, 33, 508), + Trans(23, 36, 33, 508), + Trans(23, 38, 33, 508), + Trans(23, 40, 33, 508), + Trans(23, 42, 33, 508), + Trans(23, 47, 33, 508), + Trans(23, 48, 33, 508), + Trans(23, 49, 33, 508), + Trans(23, 54, 33, 508), + Trans(23, 58, 33, 508), + Trans(23, 59, 33, 508), + Trans(23, 62, 33, 508), + Trans(23, 63, 33, 508), + Trans(23, 64, 33, 508), + Trans(23, 68, 33, 508), + Trans(23, 69, 33, 508), + Trans(23, 70, 33, 508), + Trans(23, 73, 33, 508), + Trans(23, 74, 33, 508), + Trans(23, 77, 33, 508), + Trans(23, 78, 33, 508), + Trans(23, 80, 33, 508), + Trans(23, 81, 33, 508), + Trans(23, 83, 33, 508), + Trans(23, 86, 33, 508), + Trans(23, 98, 33, 508), + Trans(23, 102, 33, 508), + Trans(23, 105, 33, 508), + Trans(23, 106, 33, 508), + Trans(23, 107, 33, 508), + Trans(24, 0, 33, 508), + Trans(24, 5, 33, 508), + Trans(24, 6, 33, 508), + Trans(24, 7, 33, 508), + Trans(24, 8, 33, 508), + Trans(24, 9, 33, 508), + Trans(24, 10, 33, 508), + Trans(24, 11, 33, 508), + Trans(24, 18, 33, 508), + Trans(24, 24, 33, 508), + Trans(24, 25, 33, 508), + Trans(24, 26, 33, 508), + Trans(24, 27, 33, 508), + Trans(24, 29, 33, 508), + Trans(24, 31, 33, 508), + Trans(24, 36, 33, 508), + Trans(24, 38, 33, 508), + Trans(24, 40, 33, 508), + Trans(24, 42, 33, 508), + Trans(24, 47, 33, 508), + Trans(24, 48, 33, 508), + Trans(24, 49, 33, 508), + Trans(24, 54, 33, 508), + Trans(24, 55, 33, 508), + Trans(24, 56, 33, 508), + Trans(24, 57, 33, 508), + Trans(24, 58, 33, 508), + Trans(24, 59, 33, 508), + Trans(24, 62, 33, 508), + Trans(24, 63, 33, 508), + Trans(24, 64, 33, 508), + Trans(24, 67, 33, 508), + Trans(24, 68, 33, 508), + Trans(24, 69, 33, 508), + Trans(24, 70, 33, 508), + Trans(24, 73, 33, 508), + Trans(24, 74, 33, 508), + Trans(24, 75, 33, 508), + Trans(24, 77, 33, 508), + Trans(24, 78, 33, 508), + Trans(24, 80, 33, 508), + Trans(24, 81, 33, 508), + Trans(24, 82, 33, 508), + Trans(24, 83, 33, 508), + Trans(24, 86, 33, 508), + Trans(24, 87, 33, 508), + Trans(24, 90, 33, 508), + Trans(24, 93, 33, 508), + Trans(24, 94, 33, 508), + Trans(24, 98, 33, 508), + Trans(24, 102, 33, 508), + Trans(24, 105, 33, 508), + Trans(24, 106, 33, 508), + Trans(24, 107, 33, 508), + Trans(25, 5, 33, 508), + Trans(25, 38, 33, 508), + Trans(26, 5, 33, 508), + Trans(26, 40, 33, 508), + Trans(27, 5, 33, 508), + Trans(27, 29, 33, 508), + Trans(28, 5, 33, 508), + Trans(28, 38, 33, 508), + Trans(28, 68, 33, 508), + Trans(29, 5, 33, 508), + Trans(29, 31, 33, 508), + Trans(29, 46, 33, 508), + Trans(29, 107, 33, 508), + Trans(30, 5, 33, 508), + Trans(30, 31, 33, 508), + Trans(30, 107, 33, 508), + Trans(31, 5, 33, 508), + Trans(31, 45, 33, 508), + Trans(32, 5, 33, 508), + Trans(32, 15, 33, 508), + Trans(32, 16, 33, 508), + Trans(32, 17, 33, 508), + Trans(32, 18, 33, 508), + Trans(32, 19, 33, 508), + Trans(32, 20, 33, 508), + Trans(32, 21, 33, 508), + Trans(32, 22, 33, 508), + Trans(32, 23, 33, 508), + Trans(32, 24, 33, 508), + Trans(32, 25, 33, 508), + Trans(32, 26, 33, 508), + Trans(32, 28, 33, 508), + Trans(32, 29, 33, 508), + Trans(32, 30, 33, 508), + Trans(32, 34, 33, 508), + Trans(32, 35, 33, 508), + Trans(32, 39, 33, 508), + Trans(32, 40, 33, 508), + Trans(32, 46, 33, 508), + Trans(32, 52, 33, 508), + Trans(34, 6, 33, 508), + Trans(34, 7, 33, 508), + Trans(34, 8, 33, 508), + Trans(34, 9, 33, 508), + Trans(34, 10, 33, 508), + Trans(34, 11, 33, 508), + Trans(34, 18, 33, 508), + Trans(34, 24, 33, 508), + Trans(34, 25, 33, 508), + Trans(34, 26, 33, 508), + Trans(34, 27, 33, 508), + Trans(34, 31, 33, 508), + Trans(34, 38, 33, 508), + Trans(34, 40, 33, 508), + Trans(34, 54, 33, 508), + Trans(34, 68, 33, 508), + Trans(34, 73, 33, 508), + Trans(34, 80, 33, 508), + Trans(34, 83, 33, 508), + Trans(34, 86, 33, 508), + Trans(34, 107, 33, 508), + Trans(35, 5, 33, 508), + Trans(35, 16, 33, 508), + Trans(35, 17, 33, 508), + Trans(35, 18, 33, 508), + Trans(35, 19, 33, 508), + Trans(35, 20, 33, 508), + Trans(35, 21, 33, 508), + Trans(35, 22, 33, 508), + Trans(35, 23, 33, 508), + Trans(35, 24, 33, 508), + Trans(35, 25, 33, 508), + Trans(35, 26, 33, 508), + Trans(35, 28, 33, 508), + Trans(35, 29, 33, 508), + Trans(35, 30, 33, 508), + Trans(35, 34, 33, 508), + Trans(35, 39, 33, 508), + Trans(35, 40, 33, 508), + Trans(35, 46, 33, 508), + Trans(35, 52, 33, 508), + Trans(36, 5, 33, 508), + Trans(36, 16, 33, 508), + Trans(36, 17, 33, 508), + Trans(36, 18, 33, 508), + Trans(36, 19, 33, 508), + Trans(36, 20, 33, 508), + Trans(36, 21, 33, 508), + Trans(36, 22, 33, 508), + Trans(36, 23, 33, 508), + Trans(36, 24, 33, 508), + Trans(36, 25, 33, 508), + Trans(36, 26, 33, 508), + Trans(36, 30, 33, 508), + Trans(36, 42, 33, 508), + Trans(36, 46, 33, 508), + Trans(36, 52, 33, 508), + Trans(36, 92, 33, 508), + Trans(37, 5, 33, 508), + Trans(37, 16, 33, 508), + Trans(37, 17, 33, 508), + Trans(37, 18, 33, 508), + Trans(37, 19, 33, 508), + Trans(37, 20, 33, 508), + Trans(37, 21, 33, 508), + Trans(37, 22, 33, 508), + Trans(37, 23, 33, 508), + Trans(37, 24, 33, 508), + Trans(37, 25, 33, 508), + Trans(37, 26, 33, 508), + Trans(37, 28, 33, 508), + Trans(37, 30, 33, 508), + Trans(37, 34, 33, 508), + Trans(37, 39, 33, 508), + Trans(37, 40, 33, 508), + Trans(37, 42, 33, 508), + Trans(37, 46, 33, 508), + Trans(37, 52, 33, 508), + Trans(37, 92, 33, 508), + Trans(38, 5, 33, 508), + Trans(38, 16, 33, 508), + Trans(38, 17, 33, 508), + Trans(38, 18, 33, 508), + Trans(38, 19, 33, 508), + Trans(38, 20, 33, 508), + Trans(38, 21, 33, 508), + Trans(38, 22, 33, 508), + Trans(38, 23, 33, 508), + Trans(38, 24, 33, 508), + Trans(38, 25, 33, 508), + Trans(38, 26, 33, 508), + Trans(38, 44, 33, 508), + Trans(38, 46, 33, 508), + Trans(38, 52, 33, 508), + Trans(39, 5, 33, 508), + Trans(39, 16, 33, 508), + Trans(39, 17, 33, 508), + Trans(39, 18, 33, 508), + Trans(39, 19, 33, 508), + Trans(39, 20, 33, 508), + Trans(39, 21, 33, 508), + Trans(39, 22, 33, 508), + Trans(39, 23, 33, 508), + Trans(39, 24, 33, 508), + Trans(39, 25, 33, 508), + Trans(39, 26, 33, 508), + Trans(39, 28, 33, 508), + Trans(39, 34, 33, 508), + Trans(39, 39, 33, 508), + Trans(39, 40, 33, 508), + Trans(39, 44, 33, 508), + Trans(39, 46, 33, 508), + Trans(39, 52, 33, 508), + Trans(40, 5, 33, 508), + Trans(40, 16, 33, 508), + Trans(40, 17, 33, 508), + Trans(40, 18, 33, 508), + Trans(40, 19, 33, 508), + Trans(40, 20, 33, 508), + Trans(40, 21, 33, 508), + Trans(40, 22, 33, 508), + Trans(40, 23, 33, 508), + Trans(40, 24, 33, 508), + Trans(40, 25, 33, 508), + Trans(40, 26, 33, 508), + Trans(40, 38, 33, 508), + Trans(40, 46, 33, 508), + Trans(40, 52, 33, 508), + Trans(41, 5, 33, 508), + Trans(41, 16, 33, 508), + Trans(41, 17, 33, 508), + Trans(41, 18, 33, 508), + Trans(41, 19, 33, 508), + Trans(41, 20, 33, 508), + Trans(41, 21, 33, 508), + Trans(41, 22, 33, 508), + Trans(41, 23, 33, 508), + Trans(41, 24, 33, 508), + Trans(41, 25, 33, 508), + Trans(41, 26, 33, 508), + Trans(41, 28, 33, 508), + Trans(41, 34, 33, 508), + Trans(41, 38, 33, 508), + Trans(41, 39, 33, 508), + Trans(41, 40, 33, 508), + Trans(41, 46, 33, 508), + Trans(41, 52, 33, 508), + Trans(42, 5, 33, 508), + Trans(42, 16, 33, 508), + Trans(42, 17, 33, 508), + Trans(42, 18, 33, 508), + Trans(42, 19, 33, 508), + Trans(42, 20, 33, 508), + Trans(42, 21, 33, 508), + Trans(42, 22, 33, 508), + Trans(42, 23, 33, 508), + Trans(42, 24, 33, 508), + Trans(42, 25, 33, 508), + Trans(42, 26, 33, 508), + Trans(42, 45, 33, 508), + Trans(42, 46, 33, 508), + Trans(42, 52, 33, 508), + Trans(43, 5, 33, 508), + Trans(43, 16, 33, 508), + Trans(43, 17, 33, 508), + Trans(43, 18, 33, 508), + Trans(43, 19, 33, 508), + Trans(43, 20, 33, 508), + Trans(43, 21, 33, 508), + Trans(43, 22, 33, 508), + Trans(43, 23, 33, 508), + Trans(43, 24, 33, 508), + Trans(43, 25, 33, 508), + Trans(43, 26, 33, 508), + Trans(43, 28, 33, 508), + Trans(43, 34, 33, 508), + Trans(43, 39, 33, 508), + Trans(43, 40, 33, 508), + Trans(43, 45, 33, 508), + Trans(43, 46, 33, 508), + Trans(43, 52, 33, 508), + Trans(44, 15, 33, 508), + Trans(44, 16, 33, 508), + Trans(44, 17, 33, 508), + Trans(44, 18, 33, 508), + Trans(44, 19, 33, 508), + Trans(44, 20, 33, 508), + Trans(44, 21, 33, 508), + Trans(44, 22, 33, 508), + Trans(44, 23, 33, 508), + Trans(44, 24, 33, 508), + Trans(44, 25, 33, 508), + Trans(44, 26, 33, 508), + Trans(44, 28, 33, 508), + Trans(44, 29, 33, 508), + Trans(44, 30, 33, 508), + Trans(44, 34, 33, 508), + Trans(44, 35, 33, 508), + Trans(44, 39, 33, 508), + Trans(44, 40, 33, 508), + Trans(44, 46, 33, 508), + Trans(44, 52, 33, 508), + Trans(45, 5, 33, 508), + Trans(45, 31, 33, 508), + Trans(45, 38, 33, 508), + Trans(45, 54, 33, 508), + Trans(45, 63, 33, 508), + Trans(45, 67, 33, 508), + Trans(45, 68, 33, 508), + Trans(45, 77, 33, 508), + Trans(45, 93, 33, 508), + Trans(45, 94, 33, 508), + Trans(45, 107, 33, 508), + Trans(46, 5, 33, 508), + Trans(46, 6, 33, 508), + Trans(46, 7, 33, 508), + Trans(46, 8, 33, 508), + Trans(46, 9, 33, 508), + Trans(46, 10, 33, 508), + Trans(46, 11, 33, 508), + Trans(46, 18, 33, 508), + Trans(46, 24, 33, 508), + Trans(46, 25, 33, 508), + Trans(46, 26, 33, 508), + Trans(46, 27, 33, 508), + Trans(46, 31, 33, 508), + Trans(46, 38, 33, 508), + Trans(46, 40, 33, 508), + Trans(46, 44, 33, 508), + Trans(46, 54, 33, 508), + Trans(46, 68, 33, 508), + Trans(46, 73, 33, 508), + Trans(46, 80, 33, 508), + Trans(46, 83, 33, 508), + Trans(46, 86, 33, 508), + Trans(46, 107, 33, 508), + Trans(47, 16, 33, 508), + Trans(47, 17, 33, 508), + Trans(47, 18, 33, 508), + Trans(47, 19, 33, 508), + Trans(47, 20, 33, 508), + Trans(47, 21, 33, 508), + Trans(47, 22, 33, 508), + Trans(47, 23, 33, 508), + Trans(47, 24, 33, 508), + Trans(47, 25, 33, 508), + Trans(47, 26, 33, 508), + Trans(47, 29, 33, 508), + Trans(47, 30, 33, 508), + Trans(47, 46, 33, 508), + Trans(47, 52, 33, 508), + Trans(48, 29, 33, 508), + Trans(49, 5, 33, 508), + Trans(49, 31, 33, 508), + Trans(49, 42, 33, 508), + Trans(49, 54, 33, 508), + Trans(49, 63, 33, 508), + Trans(49, 67, 33, 508), + Trans(49, 68, 33, 508), + Trans(49, 77, 33, 508), + Trans(49, 93, 33, 508), + Trans(49, 94, 33, 508), + Trans(49, 107, 33, 508), + Trans(50, 38, 33, 508), + Trans(51, 45, 33, 508), + Trans(52, 5, 33, 508), + Trans(52, 31, 33, 508), + Trans(52, 42, 33, 508), + Trans(52, 54, 33, 508), + Trans(52, 63, 33, 508), + Trans(52, 67, 33, 508), + Trans(52, 68, 33, 508), + Trans(52, 77, 33, 508), + Trans(52, 93, 33, 508), + Trans(52, 94, 33, 508), + Trans(52, 106, 33, 508), + Trans(52, 107, 33, 508), + Trans(53, 107, 33, 508), ], k: 3, }, - /* 251 - "IfStatementList0List" */ + /* 261 - "IfStatementList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 502), - Trans(0, 42, 2, 503), - Trans(0, 54, 1, 502), - Trans(0, 62, 1, 502), - Trans(0, 66, 1, 502), - Trans(0, 67, 1, 502), - Trans(0, 76, 1, 502), - Trans(0, 92, 1, 502), - Trans(0, 93, 1, 502), - Trans(0, 106, 1, 502), + Trans(0, 31, 1, 506), + Trans(0, 42, 2, 507), + Trans(0, 54, 1, 506), + Trans(0, 63, 1, 506), + Trans(0, 67, 1, 506), + Trans(0, 68, 1, 506), + Trans(0, 77, 1, 506), + Trans(0, 93, 1, 506), + Trans(0, 94, 1, 506), + Trans(0, 107, 1, 506), ], k: 1, }, - /* 252 - "IfStatementOpt" */ + /* 262 - "IfStatementOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 510), - Trans(0, 7, 2, 510), - Trans(0, 8, 2, 510), - Trans(0, 9, 2, 510), - Trans(0, 10, 2, 510), - Trans(0, 11, 2, 510), - Trans(0, 18, 2, 510), - Trans(0, 24, 2, 510), - Trans(0, 25, 2, 510), - Trans(0, 26, 2, 510), - Trans(0, 27, 2, 510), - Trans(0, 31, 2, 510), - Trans(0, 38, 2, 510), - Trans(0, 40, 2, 510), - Trans(0, 42, 2, 510), - Trans(0, 54, 2, 510), - Trans(0, 55, 2, 510), - Trans(0, 56, 1, 507), - Trans(0, 62, 2, 510), - Trans(0, 66, 2, 510), - Trans(0, 67, 2, 510), - Trans(0, 72, 2, 510), - Trans(0, 76, 2, 510), - Trans(0, 79, 2, 510), - Trans(0, 82, 2, 510), - Trans(0, 85, 2, 510), - Trans(0, 92, 2, 510), - Trans(0, 93, 2, 510), - Trans(0, 105, 2, 510), - Trans(0, 106, 2, 510), + Trans(0, 6, 2, 514), + Trans(0, 7, 2, 514), + Trans(0, 8, 2, 514), + Trans(0, 9, 2, 514), + Trans(0, 10, 2, 514), + Trans(0, 11, 2, 514), + Trans(0, 18, 2, 514), + Trans(0, 24, 2, 514), + Trans(0, 25, 2, 514), + Trans(0, 26, 2, 514), + Trans(0, 27, 2, 514), + Trans(0, 31, 2, 514), + Trans(0, 38, 2, 514), + Trans(0, 40, 2, 514), + Trans(0, 42, 2, 514), + Trans(0, 54, 2, 514), + Trans(0, 55, 2, 514), + Trans(0, 56, 1, 511), + Trans(0, 63, 2, 514), + Trans(0, 67, 2, 514), + Trans(0, 68, 2, 514), + Trans(0, 73, 2, 514), + Trans(0, 77, 2, 514), + Trans(0, 80, 2, 514), + Trans(0, 83, 2, 514), + Trans(0, 86, 2, 514), + Trans(0, 93, 2, 514), + Trans(0, 94, 2, 514), + Trans(0, 106, 2, 514), + Trans(0, 107, 2, 514), ], k: 1, }, - /* 253 - "IfStatementOptList" */ + /* 263 - "IfStatementOptList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 508), - Trans(0, 42, 2, 509), - Trans(0, 54, 1, 508), - Trans(0, 62, 1, 508), - Trans(0, 66, 1, 508), - Trans(0, 67, 1, 508), - Trans(0, 76, 1, 508), - Trans(0, 92, 1, 508), - Trans(0, 93, 1, 508), - Trans(0, 106, 1, 508), + Trans(0, 31, 1, 512), + Trans(0, 42, 2, 513), + Trans(0, 54, 1, 512), + Trans(0, 63, 1, 512), + Trans(0, 67, 1, 512), + Trans(0, 68, 1, 512), + Trans(0, 77, 1, 512), + Trans(0, 93, 1, 512), + Trans(0, 94, 1, 512), + Trans(0, 107, 1, 512), ], k: 1, }, - /* 254 - "IfTerm" */ + /* 264 - "IfTerm" */ LookaheadDFA { - prod0: 62, + prod0: 63, transitions: &[], k: 0, }, - /* 255 - "IfToken" */ + /* 265 - "IfToken" */ LookaheadDFA { - prod0: 167, + prod0: 170, transitions: &[], k: 0, }, - /* 256 - "Import" */ + /* 266 - "Import" */ LookaheadDFA { - prod0: 271, + prod0: 275, transitions: &[], k: 0, }, - /* 257 - "ImportDeclaration" */ + /* 267 - "ImportDeclaration" */ LookaheadDFA { - prod0: 714, + prod0: 718, transitions: &[], k: 0, }, - /* 258 - "ImportDeclarationOpt" */ + /* 268 - "ImportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 715), Trans(0, 45, 2, 716)], + transitions: &[Trans(0, 28, 1, 719), Trans(0, 45, 2, 720)], k: 1, }, - /* 259 - "ImportTerm" */ + /* 269 - "ImportTerm" */ LookaheadDFA { - prod0: 63, + prod0: 64, transitions: &[], k: 0, }, - /* 260 - "ImportToken" */ + /* 270 - "ImportToken" */ LookaheadDFA { - prod0: 168, + prod0: 171, transitions: &[], k: 0, }, - /* 261 - "In" */ + /* 271 - "In" */ LookaheadDFA { - prod0: 272, + prod0: 276, transitions: &[], k: 0, }, - /* 262 - "InTerm" */ + /* 272 - "InTerm" */ LookaheadDFA { - prod0: 70, + prod0: 71, transitions: &[], k: 0, }, - /* 263 - "InToken" */ + /* 273 - "InToken" */ LookaheadDFA { - prod0: 175, + prod0: 178, transitions: &[], k: 0, }, - /* 264 - "Initial" */ + /* 274 - "Initial" */ LookaheadDFA { - prod0: 273, + prod0: 277, transitions: &[], k: 0, }, - /* 265 - "InitialDeclaration" */ + /* 275 - "InitialDeclaration" */ LookaheadDFA { - prod0: 618, + prod0: 622, transitions: &[], k: 0, }, - /* 266 - "InitialDeclarationList" */ + /* 276 - "InitialDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 619), - Trans(0, 42, 2, 620), - Trans(0, 54, 1, 619), - Trans(0, 62, 1, 619), - Trans(0, 66, 1, 619), - Trans(0, 67, 1, 619), - Trans(0, 76, 1, 619), - Trans(0, 92, 1, 619), - Trans(0, 93, 1, 619), - Trans(0, 106, 1, 619), + Trans(0, 31, 1, 623), + Trans(0, 42, 2, 624), + Trans(0, 54, 1, 623), + Trans(0, 63, 1, 623), + Trans(0, 67, 1, 623), + Trans(0, 68, 1, 623), + Trans(0, 77, 1, 623), + Trans(0, 93, 1, 623), + Trans(0, 94, 1, 623), + Trans(0, 107, 1, 623), ], k: 1, }, - /* 267 - "InitialTerm" */ + /* 277 - "InitialTerm" */ LookaheadDFA { - prod0: 64, + prod0: 65, transitions: &[], k: 0, }, - /* 268 - "InitialToken" */ + /* 278 - "InitialToken" */ LookaheadDFA { - prod0: 169, + prod0: 172, transitions: &[], k: 0, }, - /* 269 - "Inout" */ + /* 279 - "Inout" */ LookaheadDFA { - prod0: 274, + prod0: 278, transitions: &[], k: 0, }, - /* 270 - "InoutTerm" */ + /* 280 - "InoutTerm" */ LookaheadDFA { - prod0: 65, + prod0: 66, transitions: &[], k: 0, }, - /* 271 - "InoutToken" */ + /* 281 - "InoutToken" */ LookaheadDFA { - prod0: 170, + prod0: 173, transitions: &[], k: 0, }, - /* 272 - "Input" */ + /* 282 - "Input" */ LookaheadDFA { - prod0: 275, + prod0: 279, transitions: &[], k: 0, }, - /* 273 - "InputTerm" */ + /* 283 - "InputTerm" */ LookaheadDFA { - prod0: 66, + prod0: 67, transitions: &[], k: 0, }, - /* 274 - "InputToken" */ + /* 284 - "InputToken" */ LookaheadDFA { - prod0: 171, + prod0: 174, transitions: &[], k: 0, }, - /* 275 - "Inside" */ + /* 285 - "Inside" */ LookaheadDFA { - prod0: 276, + prod0: 280, transitions: &[], k: 0, }, - /* 276 - "InsideExpression" */ + /* 286 - "InsideExpression" */ LookaheadDFA { - prod0: 436, + prod0: 440, transitions: &[], k: 0, }, - /* 277 - "InsideTerm" */ + /* 287 - "InsideTerm" */ LookaheadDFA { - prod0: 67, + prod0: 68, transitions: &[], k: 0, }, - /* 278 - "InsideToken" */ + /* 288 - "InsideToken" */ LookaheadDFA { - prod0: 172, + prod0: 175, transitions: &[], k: 0, }, - /* 279 - "Inst" */ + /* 289 - "Inst" */ LookaheadDFA { - prod0: 277, + prod0: 281, transitions: &[], k: 0, }, - /* 280 - "InstDeclaration" */ + /* 290 - "InstDeclaration" */ LookaheadDFA { - prod0: 624, + prod0: 628, transitions: &[], k: 0, }, - /* 281 - "InstDeclarationOpt" */ + /* 291 - "InstDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 2, 632), - Trans(0, 39, 1, 631), - Trans(0, 40, 2, 632), - Trans(0, 45, 2, 632), + Trans(0, 36, 2, 636), + Trans(0, 39, 1, 635), + Trans(0, 40, 2, 636), + Trans(0, 45, 2, 636), ], k: 1, }, - /* 282 - "InstDeclarationOpt0" */ + /* 292 - "InstDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 629), - Trans(0, 40, 2, 630), - Trans(0, 45, 2, 630), + Trans(0, 36, 1, 633), + Trans(0, 40, 2, 634), + Trans(0, 45, 2, 634), ], k: 1, }, - /* 283 - "InstDeclarationOpt1" */ + /* 293 - "InstDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 40, 1, 625), Trans(0, 45, 2, 628)], + transitions: &[Trans(0, 40, 1, 629), Trans(0, 45, 2, 632)], k: 1, }, - /* 284 - "InstDeclarationOpt2" */ + /* 294 - "InstDeclarationOpt2" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 626), - Trans(0, 38, 1, 626), - Trans(0, 44, 2, 627), - Trans(0, 106, 1, 626), + Trans(0, 36, 1, 630), + Trans(0, 38, 1, 630), + Trans(0, 44, 2, 631), + Trans(0, 107, 1, 630), ], k: 1, }, - /* 285 - "InstParameter" */ + /* 295 - "InstParameter" */ LookaheadDFA { - prod0: 633, + prod0: 637, transitions: &[], k: 0, }, - /* 286 - "InstParameterGroup" */ + /* 296 - "InstParameterGroup" */ LookaheadDFA { - prod0: 641, + prod0: 645, transitions: &[], k: 0, }, - /* 287 - "InstParameterGroupGroup" */ + /* 297 - "InstParameterGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 642), Trans(0, 106, 2, 643)], + transitions: &[Trans(0, 38, 1, 646), Trans(0, 107, 2, 647)], k: 1, }, - /* 288 - "InstParameterGroupList" */ + /* 298 - "InstParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 644), - Trans(0, 38, 2, 645), - Trans(0, 106, 2, 645), + Trans(0, 36, 1, 648), + Trans(0, 38, 2, 649), + Trans(0, 107, 2, 649), ], k: 1, }, - /* 289 - "InstParameterItem" */ + /* 299 - "InstParameterItem" */ LookaheadDFA { - prod0: 646, + prod0: 650, transitions: &[], k: 0, }, - /* 290 - "InstParameterItemOpt" */ + /* 300 - "InstParameterItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 647), - Trans(0, 30, 2, 648), - Trans(0, 42, 2, 648), - Trans(0, 44, 2, 648), + Trans(0, 29, 1, 651), + Trans(0, 30, 2, 652), + Trans(0, 42, 2, 652), + Trans(0, 44, 2, 652), ], k: 1, }, - /* 291 - "InstParameterList" */ + /* 301 - "InstParameterList" */ LookaheadDFA { - prod0: 636, + prod0: 640, transitions: &[], k: 0, }, - /* 292 - "InstParameterListList" */ + /* 302 - "InstParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 7, -1), Trans(0, 44, 8, -1), - Trans(1, 5, 2, -1), - Trans(1, 36, 4, -1), - Trans(1, 38, 5, -1), - Trans(1, 42, 9, -1), - Trans(1, 44, 10, -1), - Trans(1, 106, 6, -1), - Trans(2, 36, 3, 637), - Trans(2, 38, 3, 637), - Trans(2, 42, 11, 638), - Trans(2, 44, 11, 638), - Trans(2, 106, 3, 637), - Trans(4, 5, 3, 637), - Trans(4, 39, 3, 637), - Trans(5, 5, 3, 637), - Trans(5, 36, 3, 637), - Trans(5, 38, 3, 637), - Trans(5, 106, 3, 637), - Trans(6, 5, 3, 637), - Trans(6, 29, 3, 637), - Trans(6, 30, 3, 637), - Trans(6, 42, 3, 637), - Trans(6, 44, 3, 637), - Trans(7, 5, 12, -1), - Trans(7, 30, 13, -1), - Trans(7, 42, 9, -1), - Trans(7, 44, 10, -1), + Trans(1, 5, 6, -1), + Trans(1, 36, 2, -1), + Trans(1, 38, 4, -1), + Trans(1, 42, 11, -1), + Trans(1, 44, 12, -1), + Trans(1, 107, 5, -1), + Trans(2, 5, 3, 641), + Trans(2, 39, 3, 641), + Trans(4, 5, 3, 641), + Trans(4, 36, 3, 641), + Trans(4, 38, 3, 641), + Trans(4, 107, 3, 641), + Trans(5, 5, 3, 641), + Trans(5, 29, 3, 641), + Trans(5, 30, 3, 641), + Trans(5, 42, 3, 641), + Trans(5, 44, 3, 641), + Trans(6, 36, 3, 641), + Trans(6, 38, 3, 641), + Trans(6, 42, 13, 642), + Trans(6, 44, 13, 642), + Trans(6, 107, 3, 641), + Trans(7, 5, 9, -1), + Trans(7, 30, 10, -1), + Trans(7, 42, 11, -1), + Trans(7, 44, 12, -1), Trans(8, 5, 14, -1), Trans(8, 40, 15, -1), Trans(8, 45, 16, -1), - Trans(9, 5, 11, 638), - Trans(9, 30, 11, 638), - Trans(9, 42, 11, 638), - Trans(9, 44, 11, 638), - Trans(10, 5, 11, 638), - Trans(10, 40, 11, 638), - Trans(10, 45, 11, 638), - Trans(12, 30, 11, 638), - Trans(12, 42, 11, 638), - Trans(12, 44, 11, 638), - Trans(13, 5, 11, 638), - Trans(13, 36, 11, 638), - Trans(13, 38, 11, 638), - Trans(13, 42, 11, 638), - Trans(13, 44, 11, 638), - Trans(13, 106, 11, 638), - Trans(14, 40, 11, 638), - Trans(14, 45, 11, 638), - Trans(15, 5, 11, 638), - Trans(15, 36, 11, 638), - Trans(15, 38, 11, 638), - Trans(15, 44, 11, 638), - Trans(15, 106, 11, 638), - Trans(16, 5, 11, 638), - Trans(16, 29, 11, 638), - Trans(16, 36, 11, 638), - Trans(16, 38, 11, 638), - Trans(16, 42, 11, 638), - Trans(16, 47, 11, 638), - Trans(16, 48, 11, 638), - Trans(16, 49, 11, 638), - Trans(16, 57, 11, 638), - Trans(16, 61, 11, 638), - Trans(16, 62, 11, 638), - Trans(16, 63, 11, 638), - Trans(16, 67, 11, 638), - Trans(16, 68, 11, 638), - Trans(16, 69, 11, 638), - Trans(16, 73, 11, 638), - Trans(16, 76, 11, 638), - Trans(16, 77, 11, 638), - Trans(16, 97, 11, 638), - Trans(16, 101, 11, 638), - Trans(16, 104, 11, 638), - Trans(16, 105, 11, 638), + Trans(9, 30, 13, 642), + Trans(9, 42, 13, 642), + Trans(9, 44, 13, 642), + Trans(10, 5, 13, 642), + Trans(10, 36, 13, 642), + Trans(10, 38, 13, 642), + Trans(10, 42, 13, 642), + Trans(10, 44, 13, 642), + Trans(10, 107, 13, 642), + Trans(11, 5, 13, 642), + Trans(11, 30, 13, 642), + Trans(11, 42, 13, 642), + Trans(11, 44, 13, 642), + Trans(12, 5, 13, 642), + Trans(12, 40, 13, 642), + Trans(12, 45, 13, 642), + Trans(14, 40, 13, 642), + Trans(14, 45, 13, 642), + Trans(15, 5, 13, 642), + Trans(15, 36, 13, 642), + Trans(15, 38, 13, 642), + Trans(15, 44, 13, 642), + Trans(15, 107, 13, 642), + Trans(16, 5, 13, 642), + Trans(16, 29, 13, 642), + Trans(16, 36, 13, 642), + Trans(16, 38, 13, 642), + Trans(16, 42, 13, 642), + Trans(16, 47, 13, 642), + Trans(16, 48, 13, 642), + Trans(16, 49, 13, 642), + Trans(16, 58, 13, 642), + Trans(16, 62, 13, 642), + Trans(16, 63, 13, 642), + Trans(16, 64, 13, 642), + Trans(16, 68, 13, 642), + Trans(16, 69, 13, 642), + Trans(16, 70, 13, 642), + Trans(16, 74, 13, 642), + Trans(16, 77, 13, 642), + Trans(16, 78, 13, 642), + Trans(16, 98, 13, 642), + Trans(16, 102, 13, 642), + Trans(16, 105, 13, 642), + Trans(16, 106, 13, 642), ], k: 3, }, - /* 293 - "InstParameterListOpt" */ + /* 303 - "InstParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 639), - Trans(0, 42, 2, 640), - Trans(0, 44, 2, 640), + Trans(0, 30, 1, 643), + Trans(0, 42, 2, 644), + Trans(0, 44, 2, 644), ], k: 1, }, - /* 294 - "InstParameterOpt" */ + /* 304 - "InstParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 634), - Trans(0, 38, 1, 634), - Trans(0, 44, 2, 635), - Trans(0, 106, 1, 634), + Trans(0, 36, 1, 638), + Trans(0, 38, 1, 638), + Trans(0, 44, 2, 639), + Trans(0, 107, 1, 638), ], k: 1, }, - /* 295 - "InstPortGroup" */ + /* 305 - "InstPortGroup" */ LookaheadDFA { - prod0: 654, + prod0: 658, transitions: &[], k: 0, }, - /* 296 - "InstPortGroupGroup" */ + /* 306 - "InstPortGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 655), Trans(0, 106, 2, 656)], + transitions: &[Trans(0, 38, 1, 659), Trans(0, 107, 2, 660)], k: 1, }, - /* 297 - "InstPortGroupList" */ + /* 307 - "InstPortGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 657), - Trans(0, 38, 2, 658), - Trans(0, 106, 2, 658), + Trans(0, 36, 1, 661), + Trans(0, 38, 2, 662), + Trans(0, 107, 2, 662), ], k: 1, }, - /* 298 - "InstPortItem" */ + /* 308 - "InstPortItem" */ LookaheadDFA { - prod0: 659, + prod0: 663, transitions: &[], k: 0, }, - /* 299 - "InstPortItemOpt" */ + /* 309 - "InstPortItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 660), - Trans(0, 30, 2, 661), - Trans(0, 42, 2, 661), - Trans(0, 44, 2, 661), + Trans(0, 29, 1, 664), + Trans(0, 30, 2, 665), + Trans(0, 42, 2, 665), + Trans(0, 44, 2, 665), ], k: 1, }, - /* 300 - "InstPortList" */ + /* 310 - "InstPortList" */ LookaheadDFA { - prod0: 649, + prod0: 653, transitions: &[], k: 0, }, - /* 301 - "InstPortListList" */ + /* 311 - "InstPortListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 7, -1), Trans(0, 44, 8, -1), - Trans(1, 5, 2, -1), - Trans(1, 36, 4, -1), - Trans(1, 38, 5, -1), - Trans(1, 42, 9, -1), - Trans(1, 44, 10, -1), - Trans(1, 106, 6, -1), - Trans(2, 36, 3, 650), - Trans(2, 38, 3, 650), - Trans(2, 42, 11, 651), - Trans(2, 44, 11, 651), - Trans(2, 106, 3, 650), - Trans(4, 5, 3, 650), - Trans(4, 39, 3, 650), - Trans(5, 5, 3, 650), - Trans(5, 36, 3, 650), - Trans(5, 38, 3, 650), - Trans(5, 106, 3, 650), - Trans(6, 5, 3, 650), - Trans(6, 29, 3, 650), - Trans(6, 30, 3, 650), - Trans(6, 42, 3, 650), - Trans(6, 44, 3, 650), - Trans(7, 5, 12, -1), - Trans(7, 30, 13, -1), - Trans(7, 42, 9, -1), - Trans(7, 44, 10, -1), + Trans(1, 5, 6, -1), + Trans(1, 36, 2, -1), + Trans(1, 38, 4, -1), + Trans(1, 42, 11, -1), + Trans(1, 44, 12, -1), + Trans(1, 107, 5, -1), + Trans(2, 5, 3, 654), + Trans(2, 39, 3, 654), + Trans(4, 5, 3, 654), + Trans(4, 36, 3, 654), + Trans(4, 38, 3, 654), + Trans(4, 107, 3, 654), + Trans(5, 5, 3, 654), + Trans(5, 29, 3, 654), + Trans(5, 30, 3, 654), + Trans(5, 42, 3, 654), + Trans(5, 44, 3, 654), + Trans(6, 36, 3, 654), + Trans(6, 38, 3, 654), + Trans(6, 42, 13, 655), + Trans(6, 44, 13, 655), + Trans(6, 107, 3, 654), + Trans(7, 5, 9, -1), + Trans(7, 30, 10, -1), + Trans(7, 42, 11, -1), + Trans(7, 44, 12, -1), Trans(8, 5, 14, -1), Trans(8, 45, 15, -1), - Trans(9, 5, 11, 651), - Trans(9, 30, 11, 651), - Trans(9, 42, 11, 651), - Trans(9, 44, 11, 651), - Trans(10, 5, 11, 651), - Trans(10, 45, 11, 651), - Trans(12, 30, 11, 651), - Trans(12, 42, 11, 651), - Trans(12, 44, 11, 651), - Trans(13, 5, 11, 651), - Trans(13, 36, 11, 651), - Trans(13, 38, 11, 651), - Trans(13, 42, 11, 651), - Trans(13, 44, 11, 651), - Trans(13, 106, 11, 651), - Trans(14, 45, 11, 651), - Trans(15, 5, 11, 651), - Trans(15, 29, 11, 651), - Trans(15, 36, 11, 651), - Trans(15, 38, 11, 651), - Trans(15, 42, 11, 651), - Trans(15, 47, 11, 651), - Trans(15, 48, 11, 651), - Trans(15, 49, 11, 651), - Trans(15, 57, 11, 651), - Trans(15, 61, 11, 651), - Trans(15, 62, 11, 651), - Trans(15, 63, 11, 651), - Trans(15, 67, 11, 651), - Trans(15, 68, 11, 651), - Trans(15, 69, 11, 651), - Trans(15, 73, 11, 651), - Trans(15, 76, 11, 651), - Trans(15, 77, 11, 651), - Trans(15, 97, 11, 651), - Trans(15, 101, 11, 651), - Trans(15, 104, 11, 651), - Trans(15, 105, 11, 651), + Trans(9, 30, 13, 655), + Trans(9, 42, 13, 655), + Trans(9, 44, 13, 655), + Trans(10, 5, 13, 655), + Trans(10, 36, 13, 655), + Trans(10, 38, 13, 655), + Trans(10, 42, 13, 655), + Trans(10, 44, 13, 655), + Trans(10, 107, 13, 655), + Trans(11, 5, 13, 655), + Trans(11, 30, 13, 655), + Trans(11, 42, 13, 655), + Trans(11, 44, 13, 655), + Trans(12, 5, 13, 655), + Trans(12, 45, 13, 655), + Trans(14, 45, 13, 655), + Trans(15, 5, 13, 655), + Trans(15, 29, 13, 655), + Trans(15, 36, 13, 655), + Trans(15, 38, 13, 655), + Trans(15, 42, 13, 655), + Trans(15, 47, 13, 655), + Trans(15, 48, 13, 655), + Trans(15, 49, 13, 655), + Trans(15, 58, 13, 655), + Trans(15, 62, 13, 655), + Trans(15, 63, 13, 655), + Trans(15, 64, 13, 655), + Trans(15, 68, 13, 655), + Trans(15, 69, 13, 655), + Trans(15, 70, 13, 655), + Trans(15, 74, 13, 655), + Trans(15, 77, 13, 655), + Trans(15, 78, 13, 655), + Trans(15, 98, 13, 655), + Trans(15, 102, 13, 655), + Trans(15, 105, 13, 655), + Trans(15, 106, 13, 655), ], k: 3, }, - /* 302 - "InstPortListOpt" */ + /* 312 - "InstPortListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 652), - Trans(0, 42, 2, 653), - Trans(0, 44, 2, 653), + Trans(0, 30, 1, 656), + Trans(0, 42, 2, 657), + Trans(0, 44, 2, 657), ], k: 1, }, - /* 303 - "InstTerm" */ + /* 313 - "InstTerm" */ LookaheadDFA { - prod0: 68, + prod0: 69, transitions: &[], k: 0, }, - /* 304 - "InstToken" */ + /* 314 - "InstToken" */ LookaheadDFA { - prod0: 173, + prod0: 176, transitions: &[], k: 0, }, - /* 305 - "IntegralNumber" */ + /* 315 - "IntegralNumber" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 9, 1, 311), - Trans(0, 10, 3, 313), - Trans(0, 11, 2, 312), + Trans(0, 9, 1, 315), + Trans(0, 10, 3, 317), + Trans(0, 11, 2, 316), ], k: 1, }, - /* 306 - "Interface" */ + /* 316 - "Interface" */ LookaheadDFA { - prod0: 278, + prod0: 282, transitions: &[], k: 0, }, - /* 307 - "InterfaceDeclaration" */ + /* 317 - "InterfaceDeclaration" */ LookaheadDFA { - prod0: 771, + prod0: 775, transitions: &[], k: 0, }, - /* 308 - "InterfaceDeclarationList" */ + /* 318 - "InterfaceDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 772), - Trans(0, 36, 1, 772), - Trans(0, 38, 1, 772), - Trans(0, 42, 2, 773), - Trans(0, 57, 1, 772), - Trans(0, 61, 1, 772), - Trans(0, 62, 1, 772), - Trans(0, 63, 1, 772), - Trans(0, 67, 1, 772), - Trans(0, 68, 1, 772), - Trans(0, 69, 1, 772), - Trans(0, 76, 1, 772), - Trans(0, 77, 1, 772), - Trans(0, 80, 1, 772), - Trans(0, 97, 1, 772), - Trans(0, 101, 1, 772), - Trans(0, 104, 1, 772), - Trans(0, 105, 1, 772), + Trans(0, 29, 1, 776), + Trans(0, 36, 1, 776), + Trans(0, 38, 1, 776), + Trans(0, 42, 2, 777), + Trans(0, 58, 1, 776), + Trans(0, 62, 1, 776), + Trans(0, 63, 1, 776), + Trans(0, 64, 1, 776), + Trans(0, 68, 1, 776), + Trans(0, 69, 1, 776), + Trans(0, 70, 1, 776), + Trans(0, 77, 1, 776), + Trans(0, 78, 1, 776), + Trans(0, 81, 1, 776), + Trans(0, 98, 1, 776), + Trans(0, 102, 1, 776), + Trans(0, 105, 1, 776), + Trans(0, 106, 1, 776), ], k: 1, }, - /* 309 - "InterfaceDeclarationOpt" */ + /* 319 - "InterfaceDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 74, 2, 777), Trans(0, 89, 1, 776)], + transitions: &[Trans(0, 75, 2, 781), Trans(0, 90, 1, 780)], k: 1, }, - /* 310 - "InterfaceDeclarationOpt0" */ + /* 320 - "InterfaceDeclarationOpt0" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 36, 1, 774), Trans(0, 38, 2, 775)], + transitions: &[Trans(0, 36, 1, 778), Trans(0, 38, 2, 779)], k: 1, }, - /* 311 - "InterfaceForDeclaration" */ + /* 321 - "InterfaceForDeclaration" */ LookaheadDFA { - prod0: 783, + prod0: 787, transitions: &[], k: 0, }, - /* 312 - "InterfaceForDeclarationOpt" */ + /* 322 - "InterfaceForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 785), Trans(0, 95, 1, 784)], + transitions: &[Trans(0, 29, 2, 789), Trans(0, 96, 1, 788)], k: 1, }, - /* 313 - "InterfaceGroup" */ + /* 323 - "InterfaceGroup" */ LookaheadDFA { - prod0: 794, + prod0: 798, transitions: &[], k: 0, }, - /* 314 - "InterfaceGroupGroup" */ + /* 324 - "InterfaceGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 798), - Trans(0, 38, 1, 795), - Trans(0, 57, 2, 798), - Trans(0, 61, 2, 798), - Trans(0, 62, 2, 798), - Trans(0, 63, 2, 798), - Trans(0, 67, 2, 798), - Trans(0, 68, 2, 798), - Trans(0, 69, 2, 798), - Trans(0, 76, 2, 798), - Trans(0, 77, 2, 798), - Trans(0, 80, 2, 798), - Trans(0, 97, 2, 798), - Trans(0, 101, 2, 798), - Trans(0, 104, 2, 798), - Trans(0, 105, 2, 798), + Trans(0, 29, 2, 802), + Trans(0, 38, 1, 799), + Trans(0, 58, 2, 802), + Trans(0, 62, 2, 802), + Trans(0, 63, 2, 802), + Trans(0, 64, 2, 802), + Trans(0, 68, 2, 802), + Trans(0, 69, 2, 802), + Trans(0, 70, 2, 802), + Trans(0, 77, 2, 802), + Trans(0, 78, 2, 802), + Trans(0, 81, 2, 802), + Trans(0, 98, 2, 802), + Trans(0, 102, 2, 802), + Trans(0, 105, 2, 802), + Trans(0, 106, 2, 802), ], k: 1, }, - /* 315 - "InterfaceGroupGroupList" */ + /* 325 - "InterfaceGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 796), - Trans(0, 36, 1, 796), - Trans(0, 38, 1, 796), - Trans(0, 42, 2, 797), - Trans(0, 57, 1, 796), - Trans(0, 61, 1, 796), - Trans(0, 62, 1, 796), - Trans(0, 63, 1, 796), - Trans(0, 67, 1, 796), - Trans(0, 68, 1, 796), - Trans(0, 69, 1, 796), - Trans(0, 76, 1, 796), - Trans(0, 77, 1, 796), - Trans(0, 80, 1, 796), - Trans(0, 97, 1, 796), - Trans(0, 101, 1, 796), - Trans(0, 104, 1, 796), - Trans(0, 105, 1, 796), + Trans(0, 29, 1, 800), + Trans(0, 36, 1, 800), + Trans(0, 38, 1, 800), + Trans(0, 42, 2, 801), + Trans(0, 58, 1, 800), + Trans(0, 62, 1, 800), + Trans(0, 63, 1, 800), + Trans(0, 64, 1, 800), + Trans(0, 68, 1, 800), + Trans(0, 69, 1, 800), + Trans(0, 70, 1, 800), + Trans(0, 77, 1, 800), + Trans(0, 78, 1, 800), + Trans(0, 81, 1, 800), + Trans(0, 98, 1, 800), + Trans(0, 102, 1, 800), + Trans(0, 105, 1, 800), + Trans(0, 106, 1, 800), ], k: 1, }, - /* 316 - "InterfaceGroupList" */ + /* 326 - "InterfaceGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 800), - Trans(0, 36, 1, 799), - Trans(0, 38, 2, 800), - Trans(0, 57, 2, 800), - Trans(0, 61, 2, 800), - Trans(0, 62, 2, 800), - Trans(0, 63, 2, 800), - Trans(0, 67, 2, 800), - Trans(0, 68, 2, 800), - Trans(0, 69, 2, 800), - Trans(0, 76, 2, 800), - Trans(0, 77, 2, 800), - Trans(0, 80, 2, 800), - Trans(0, 97, 2, 800), - Trans(0, 101, 2, 800), - Trans(0, 104, 2, 800), - Trans(0, 105, 2, 800), + Trans(0, 29, 2, 804), + Trans(0, 36, 1, 803), + Trans(0, 38, 2, 804), + Trans(0, 58, 2, 804), + Trans(0, 62, 2, 804), + Trans(0, 63, 2, 804), + Trans(0, 64, 2, 804), + Trans(0, 68, 2, 804), + Trans(0, 69, 2, 804), + Trans(0, 70, 2, 804), + Trans(0, 77, 2, 804), + Trans(0, 78, 2, 804), + Trans(0, 81, 2, 804), + Trans(0, 98, 2, 804), + Trans(0, 102, 2, 804), + Trans(0, 105, 2, 804), + Trans(0, 106, 2, 804), ], k: 1, }, - /* 317 - "InterfaceIfDeclaration" */ + /* 327 - "InterfaceIfDeclaration" */ LookaheadDFA { - prod0: 778, + prod0: 782, transitions: &[], k: 0, }, - /* 318 - "InterfaceIfDeclarationList" */ + /* 328 - "InterfaceIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -7074,1113 +7215,1120 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(0, 38, 7, -1), Trans(0, 42, 8, -1), Trans(0, 56, 1, -1), - Trans(0, 57, 9, -1), - Trans(0, 61, 10, -1), - Trans(0, 62, 11, -1), - Trans(0, 63, 12, -1), - Trans(0, 67, 13, -1), - Trans(0, 68, 14, -1), - Trans(0, 69, 10, -1), - Trans(0, 76, 9, -1), + Trans(0, 58, 9, -1), + Trans(0, 62, 10, -1), + Trans(0, 63, 11, -1), + Trans(0, 64, 12, -1), + Trans(0, 68, 13, -1), + Trans(0, 69, 14, -1), + Trans(0, 70, 10, -1), Trans(0, 77, 9, -1), - Trans(0, 80, 5, -1), - Trans(0, 97, 5, -1), - Trans(0, 101, 15, -1), - Trans(0, 104, 5, -1), - Trans(0, 105, 9, -1), - Trans(1, 5, 2, -1), + Trans(0, 78, 9, -1), + Trans(0, 81, 5, -1), + Trans(0, 98, 5, -1), + Trans(0, 102, 15, -1), + Trans(0, 105, 5, -1), + Trans(0, 106, 9, -1), + Trans(1, 5, 4, -1), Trans(1, 29, 18, -1), - Trans(1, 38, 30, -1), - Trans(1, 67, 4, -1), - Trans(2, 29, 16, 780), - Trans(2, 38, 16, 780), - Trans(2, 67, 3, 779), - Trans(4, 5, 3, 779), - Trans(4, 6, 3, 779), - Trans(4, 7, 3, 779), - Trans(4, 8, 3, 779), - Trans(4, 9, 3, 779), - Trans(4, 10, 3, 779), - Trans(4, 11, 3, 779), - Trans(4, 18, 3, 779), - Trans(4, 24, 3, 779), - Trans(4, 25, 3, 779), - Trans(4, 26, 3, 779), - Trans(4, 27, 3, 779), - Trans(4, 31, 3, 779), - Trans(4, 38, 3, 779), - Trans(4, 40, 3, 779), - Trans(4, 54, 3, 779), - Trans(4, 67, 3, 779), - Trans(4, 72, 3, 779), - Trans(4, 79, 3, 779), - Trans(4, 82, 3, 779), - Trans(4, 85, 3, 779), - Trans(4, 106, 3, 779), - Trans(5, 5, 27, -1), - Trans(5, 106, 23, -1), - Trans(6, 5, 28, -1), + Trans(1, 38, 32, -1), + Trans(1, 68, 2, -1), + Trans(2, 5, 3, 783), + Trans(2, 6, 3, 783), + Trans(2, 7, 3, 783), + Trans(2, 8, 3, 783), + Trans(2, 9, 3, 783), + Trans(2, 10, 3, 783), + Trans(2, 11, 3, 783), + Trans(2, 18, 3, 783), + Trans(2, 24, 3, 783), + Trans(2, 25, 3, 783), + Trans(2, 26, 3, 783), + Trans(2, 27, 3, 783), + Trans(2, 31, 3, 783), + Trans(2, 38, 3, 783), + Trans(2, 40, 3, 783), + Trans(2, 54, 3, 783), + Trans(2, 68, 3, 783), + Trans(2, 73, 3, 783), + Trans(2, 80, 3, 783), + Trans(2, 83, 3, 783), + Trans(2, 86, 3, 783), + Trans(2, 107, 3, 783), + Trans(4, 29, 16, 784), + Trans(4, 38, 16, 784), + Trans(4, 68, 3, 783), + Trans(5, 5, 38, -1), + Trans(5, 107, 24, -1), + Trans(6, 5, 37, -1), Trans(6, 39, 18, -1), - Trans(7, 5, 29, -1), + Trans(7, 5, 31, -1), Trans(7, 29, 18, -1), Trans(7, 36, 19, -1), - Trans(7, 38, 30, -1), - Trans(7, 42, 30, -1), - Trans(7, 57, 18, -1), - Trans(7, 61, 23, -1), - Trans(7, 62, 18, -1), + Trans(7, 38, 32, -1), + Trans(7, 42, 32, -1), + Trans(7, 58, 18, -1), + Trans(7, 62, 24, -1), Trans(7, 63, 18, -1), - Trans(7, 67, 24, -1), + Trans(7, 64, 18, -1), Trans(7, 68, 25, -1), - Trans(7, 69, 23, -1), - Trans(7, 76, 18, -1), + Trans(7, 69, 26, -1), + Trans(7, 70, 24, -1), Trans(7, 77, 18, -1), - Trans(7, 80, 18, -1), - Trans(7, 97, 18, -1), - Trans(7, 101, 18, -1), - Trans(7, 104, 18, -1), + Trans(7, 78, 18, -1), + Trans(7, 81, 18, -1), + Trans(7, 98, 18, -1), + Trans(7, 102, 18, -1), Trans(7, 105, 18, -1), - Trans(8, 0, 16, 780), + Trans(7, 106, 18, -1), + Trans(8, 0, 16, 784), Trans(8, 5, 17, -1), Trans(8, 29, 18, -1), Trans(8, 36, 19, -1), Trans(8, 38, 20, -1), Trans(8, 42, 21, -1), Trans(8, 56, 22, -1), - Trans(8, 57, 18, -1), - Trans(8, 61, 23, -1), - Trans(8, 62, 18, -1), + Trans(8, 57, 23, -1), + Trans(8, 58, 18, -1), + Trans(8, 62, 24, -1), Trans(8, 63, 18, -1), - Trans(8, 67, 24, -1), + Trans(8, 64, 18, -1), Trans(8, 68, 25, -1), - Trans(8, 69, 23, -1), - Trans(8, 74, 18, -1), - Trans(8, 76, 18, -1), + Trans(8, 69, 26, -1), + Trans(8, 70, 24, -1), + Trans(8, 75, 18, -1), Trans(8, 77, 18, -1), - Trans(8, 80, 18, -1), + Trans(8, 78, 18, -1), Trans(8, 81, 18, -1), - Trans(8, 86, 18, -1), - Trans(8, 89, 26, -1), - Trans(8, 97, 18, -1), - Trans(8, 101, 18, -1), - Trans(8, 104, 18, -1), + Trans(8, 82, 18, -1), + Trans(8, 87, 18, -1), + Trans(8, 90, 27, -1), + Trans(8, 98, 18, -1), + Trans(8, 102, 18, -1), Trans(8, 105, 18, -1), - Trans(9, 5, 27, -1), - Trans(9, 106, 31, -1), - Trans(10, 5, 32, -1), - Trans(10, 38, 33, -1), - Trans(11, 5, 27, -1), - Trans(11, 106, 34, -1), - Trans(12, 5, 27, -1), - Trans(12, 106, 35, -1), - Trans(13, 5, 36, -1), - Trans(13, 6, 37, -1), - Trans(13, 7, 37, -1), - Trans(13, 8, 37, -1), - Trans(13, 9, 37, -1), - Trans(13, 10, 37, -1), - Trans(13, 11, 37, -1), - Trans(13, 18, 24, -1), - Trans(13, 24, 24, -1), - Trans(13, 25, 24, -1), - Trans(13, 26, 24, -1), - Trans(13, 27, 24, -1), + Trans(8, 106, 18, -1), + Trans(9, 5, 38, -1), + Trans(9, 107, 39, -1), + Trans(10, 5, 35, -1), + Trans(10, 38, 36, -1), + Trans(11, 5, 38, -1), + Trans(11, 107, 40, -1), + Trans(12, 5, 38, -1), + Trans(12, 107, 41, -1), + Trans(13, 5, 28, -1), + Trans(13, 6, 29, -1), + Trans(13, 7, 29, -1), + Trans(13, 8, 29, -1), + Trans(13, 9, 29, -1), + Trans(13, 10, 29, -1), + Trans(13, 11, 29, -1), + Trans(13, 18, 25, -1), + Trans(13, 24, 25, -1), + Trans(13, 25, 25, -1), + Trans(13, 26, 25, -1), + Trans(13, 27, 25, -1), Trans(13, 31, 18, -1), - Trans(13, 38, 24, -1), - Trans(13, 40, 24, -1), - Trans(13, 54, 24, -1), - Trans(13, 67, 24, -1), - Trans(13, 72, 24, -1), - Trans(13, 79, 37, -1), - Trans(13, 82, 37, -1), - Trans(13, 85, 24, -1), - Trans(13, 106, 38, -1), - Trans(14, 5, 39, -1), + Trans(13, 38, 25, -1), + Trans(13, 40, 25, -1), + Trans(13, 54, 25, -1), + Trans(13, 68, 25, -1), + Trans(13, 73, 25, -1), + Trans(13, 80, 29, -1), + Trans(13, 83, 29, -1), + Trans(13, 86, 25, -1), + Trans(13, 107, 30, -1), + Trans(14, 5, 33, -1), Trans(14, 31, 18, -1), - Trans(14, 106, 40, -1), - Trans(15, 5, 27, -1), - Trans(15, 106, 41, -1), - Trans(17, 0, 16, 780), - Trans(17, 29, 16, 780), - Trans(17, 36, 16, 780), - Trans(17, 38, 16, 780), - Trans(17, 42, 16, 780), - Trans(17, 56, 16, 780), - Trans(17, 57, 16, 780), - Trans(17, 61, 16, 780), - Trans(17, 62, 16, 780), - Trans(17, 63, 16, 780), - Trans(17, 67, 16, 780), - Trans(17, 68, 16, 780), - Trans(17, 69, 16, 780), - Trans(17, 74, 16, 780), - Trans(17, 76, 16, 780), - Trans(17, 77, 16, 780), - Trans(17, 80, 16, 780), - Trans(17, 81, 16, 780), - Trans(17, 86, 16, 780), - Trans(17, 89, 16, 780), - Trans(17, 97, 16, 780), - Trans(17, 101, 16, 780), - Trans(17, 104, 16, 780), - Trans(17, 105, 16, 780), - Trans(18, 5, 16, 780), - Trans(18, 106, 16, 780), - Trans(19, 5, 16, 780), - Trans(19, 39, 16, 780), - Trans(20, 5, 16, 780), - Trans(20, 29, 16, 780), - Trans(20, 36, 16, 780), - Trans(20, 38, 16, 780), - Trans(20, 42, 16, 780), - Trans(20, 57, 16, 780), - Trans(20, 61, 16, 780), - Trans(20, 62, 16, 780), - Trans(20, 63, 16, 780), - Trans(20, 67, 16, 780), - Trans(20, 68, 16, 780), - Trans(20, 69, 16, 780), - Trans(20, 74, 16, 780), - Trans(20, 76, 16, 780), - Trans(20, 77, 16, 780), - Trans(20, 80, 16, 780), - Trans(20, 81, 16, 780), - Trans(20, 86, 16, 780), - Trans(20, 89, 16, 780), - Trans(20, 97, 16, 780), - Trans(20, 101, 16, 780), - Trans(20, 104, 16, 780), - Trans(20, 105, 16, 780), - Trans(21, 0, 16, 780), - Trans(21, 5, 16, 780), - Trans(21, 29, 16, 780), - Trans(21, 36, 16, 780), - Trans(21, 38, 16, 780), - Trans(21, 42, 16, 780), - Trans(21, 56, 16, 780), - Trans(21, 57, 16, 780), - Trans(21, 61, 16, 780), - Trans(21, 62, 16, 780), - Trans(21, 63, 16, 780), - Trans(21, 67, 16, 780), - Trans(21, 68, 16, 780), - Trans(21, 69, 16, 780), - Trans(21, 74, 16, 780), - Trans(21, 76, 16, 780), - Trans(21, 77, 16, 780), - Trans(21, 80, 16, 780), - Trans(21, 81, 16, 780), - Trans(21, 86, 16, 780), - Trans(21, 89, 16, 780), - Trans(21, 97, 16, 780), - Trans(21, 101, 16, 780), - Trans(21, 104, 16, 780), - Trans(21, 105, 16, 780), - Trans(22, 5, 16, 780), - Trans(22, 29, 16, 780), - Trans(22, 38, 16, 780), - Trans(22, 67, 16, 780), - Trans(23, 5, 16, 780), - Trans(23, 38, 16, 780), - Trans(24, 5, 16, 780), - Trans(24, 6, 16, 780), - Trans(24, 7, 16, 780), - Trans(24, 8, 16, 780), - Trans(24, 9, 16, 780), - Trans(24, 10, 16, 780), - Trans(24, 11, 16, 780), - Trans(24, 18, 16, 780), - Trans(24, 24, 16, 780), - Trans(24, 25, 16, 780), - Trans(24, 26, 16, 780), - Trans(24, 27, 16, 780), - Trans(24, 31, 16, 780), - Trans(24, 38, 16, 780), - Trans(24, 40, 16, 780), - Trans(24, 54, 16, 780), - Trans(24, 67, 16, 780), - Trans(24, 72, 16, 780), - Trans(24, 79, 16, 780), - Trans(24, 82, 16, 780), - Trans(24, 85, 16, 780), - Trans(24, 106, 16, 780), - Trans(25, 5, 16, 780), - Trans(25, 31, 16, 780), - Trans(25, 106, 16, 780), - Trans(26, 5, 16, 780), - Trans(26, 74, 16, 780), - Trans(26, 81, 16, 780), - Trans(26, 86, 16, 780), - Trans(27, 106, 16, 780), - Trans(28, 39, 16, 780), - Trans(29, 29, 16, 780), - Trans(29, 36, 16, 780), - Trans(29, 38, 16, 780), - Trans(29, 42, 16, 780), - Trans(29, 57, 16, 780), - Trans(29, 61, 16, 780), - Trans(29, 62, 16, 780), - Trans(29, 63, 16, 780), - Trans(29, 67, 16, 780), - Trans(29, 68, 16, 780), - Trans(29, 69, 16, 780), - Trans(29, 76, 16, 780), - Trans(29, 77, 16, 780), - Trans(29, 80, 16, 780), - Trans(29, 97, 16, 780), - Trans(29, 101, 16, 780), - Trans(29, 104, 16, 780), - Trans(29, 105, 16, 780), - Trans(30, 5, 16, 780), - Trans(30, 29, 16, 780), - Trans(30, 36, 16, 780), - Trans(30, 38, 16, 780), - Trans(30, 42, 16, 780), - Trans(30, 57, 16, 780), - Trans(30, 61, 16, 780), - Trans(30, 62, 16, 780), - Trans(30, 63, 16, 780), - Trans(30, 67, 16, 780), - Trans(30, 68, 16, 780), - Trans(30, 69, 16, 780), - Trans(30, 76, 16, 780), - Trans(30, 77, 16, 780), - Trans(30, 80, 16, 780), - Trans(30, 97, 16, 780), - Trans(30, 101, 16, 780), - Trans(30, 104, 16, 780), - Trans(30, 105, 16, 780), - Trans(31, 5, 16, 780), - Trans(31, 29, 16, 780), - Trans(32, 38, 16, 780), - Trans(33, 5, 16, 780), - Trans(33, 31, 16, 780), - Trans(33, 42, 16, 780), - Trans(33, 54, 16, 780), - Trans(33, 62, 16, 780), - Trans(33, 66, 16, 780), - Trans(33, 67, 16, 780), - Trans(33, 76, 16, 780), - Trans(33, 92, 16, 780), - Trans(33, 93, 16, 780), - Trans(33, 106, 16, 780), - Trans(34, 5, 16, 780), - Trans(34, 75, 16, 780), - Trans(35, 5, 16, 780), - Trans(35, 13, 16, 780), - Trans(35, 36, 16, 780), - Trans(35, 38, 16, 780), - Trans(35, 40, 16, 780), - Trans(36, 6, 16, 780), - Trans(36, 7, 16, 780), - Trans(36, 8, 16, 780), - Trans(36, 9, 16, 780), - Trans(36, 10, 16, 780), - Trans(36, 11, 16, 780), - Trans(36, 18, 16, 780), - Trans(36, 24, 16, 780), - Trans(36, 25, 16, 780), - Trans(36, 26, 16, 780), - Trans(36, 27, 16, 780), - Trans(36, 31, 16, 780), - Trans(36, 38, 16, 780), - Trans(36, 40, 16, 780), - Trans(36, 54, 16, 780), - Trans(36, 67, 16, 780), - Trans(36, 72, 16, 780), - Trans(36, 79, 16, 780), - Trans(36, 82, 16, 780), - Trans(36, 85, 16, 780), - Trans(36, 106, 16, 780), - Trans(37, 5, 16, 780), - Trans(37, 16, 16, 780), - Trans(37, 17, 16, 780), - Trans(37, 18, 16, 780), - Trans(37, 19, 16, 780), - Trans(37, 20, 16, 780), - Trans(37, 21, 16, 780), - Trans(37, 22, 16, 780), - Trans(37, 23, 16, 780), - Trans(37, 24, 16, 780), - Trans(37, 25, 16, 780), - Trans(37, 26, 16, 780), - Trans(37, 29, 16, 780), - Trans(37, 46, 16, 780), - Trans(37, 52, 16, 780), - Trans(38, 5, 16, 780), - Trans(38, 16, 16, 780), - Trans(38, 17, 16, 780), - Trans(38, 18, 16, 780), - Trans(38, 19, 16, 780), - Trans(38, 20, 16, 780), - Trans(38, 21, 16, 780), - Trans(38, 22, 16, 780), - Trans(38, 23, 16, 780), - Trans(38, 24, 16, 780), - Trans(38, 25, 16, 780), - Trans(38, 26, 16, 780), - Trans(38, 28, 16, 780), - Trans(38, 29, 16, 780), - Trans(38, 34, 16, 780), - Trans(38, 39, 16, 780), - Trans(38, 40, 16, 780), - Trans(38, 46, 16, 780), - Trans(38, 52, 16, 780), - Trans(39, 31, 16, 780), - Trans(39, 106, 16, 780), - Trans(40, 5, 16, 780), - Trans(40, 28, 16, 780), - Trans(40, 45, 16, 780), - Trans(41, 5, 16, 780), - Trans(41, 35, 16, 780), + Trans(14, 107, 34, -1), + Trans(15, 5, 38, -1), + Trans(15, 107, 42, -1), + Trans(17, 0, 16, 784), + Trans(17, 29, 16, 784), + Trans(17, 36, 16, 784), + Trans(17, 38, 16, 784), + Trans(17, 42, 16, 784), + Trans(17, 56, 16, 784), + Trans(17, 57, 16, 784), + Trans(17, 58, 16, 784), + Trans(17, 62, 16, 784), + Trans(17, 63, 16, 784), + Trans(17, 64, 16, 784), + Trans(17, 68, 16, 784), + Trans(17, 69, 16, 784), + Trans(17, 70, 16, 784), + Trans(17, 75, 16, 784), + Trans(17, 77, 16, 784), + Trans(17, 78, 16, 784), + Trans(17, 81, 16, 784), + Trans(17, 82, 16, 784), + Trans(17, 87, 16, 784), + Trans(17, 90, 16, 784), + Trans(17, 98, 16, 784), + Trans(17, 102, 16, 784), + Trans(17, 105, 16, 784), + Trans(17, 106, 16, 784), + Trans(18, 5, 16, 784), + Trans(18, 107, 16, 784), + Trans(19, 5, 16, 784), + Trans(19, 39, 16, 784), + Trans(20, 5, 16, 784), + Trans(20, 29, 16, 784), + Trans(20, 36, 16, 784), + Trans(20, 38, 16, 784), + Trans(20, 42, 16, 784), + Trans(20, 57, 16, 784), + Trans(20, 58, 16, 784), + Trans(20, 62, 16, 784), + Trans(20, 63, 16, 784), + Trans(20, 64, 16, 784), + Trans(20, 68, 16, 784), + Trans(20, 69, 16, 784), + Trans(20, 70, 16, 784), + Trans(20, 75, 16, 784), + Trans(20, 77, 16, 784), + Trans(20, 78, 16, 784), + Trans(20, 81, 16, 784), + Trans(20, 82, 16, 784), + Trans(20, 87, 16, 784), + Trans(20, 90, 16, 784), + Trans(20, 98, 16, 784), + Trans(20, 102, 16, 784), + Trans(20, 105, 16, 784), + Trans(20, 106, 16, 784), + Trans(21, 0, 16, 784), + Trans(21, 5, 16, 784), + Trans(21, 29, 16, 784), + Trans(21, 36, 16, 784), + Trans(21, 38, 16, 784), + Trans(21, 42, 16, 784), + Trans(21, 56, 16, 784), + Trans(21, 57, 16, 784), + Trans(21, 58, 16, 784), + Trans(21, 62, 16, 784), + Trans(21, 63, 16, 784), + Trans(21, 64, 16, 784), + Trans(21, 68, 16, 784), + Trans(21, 69, 16, 784), + Trans(21, 70, 16, 784), + Trans(21, 75, 16, 784), + Trans(21, 77, 16, 784), + Trans(21, 78, 16, 784), + Trans(21, 81, 16, 784), + Trans(21, 82, 16, 784), + Trans(21, 87, 16, 784), + Trans(21, 90, 16, 784), + Trans(21, 98, 16, 784), + Trans(21, 102, 16, 784), + Trans(21, 105, 16, 784), + Trans(21, 106, 16, 784), + Trans(22, 5, 16, 784), + Trans(22, 29, 16, 784), + Trans(22, 38, 16, 784), + Trans(22, 68, 16, 784), + Trans(23, 5, 16, 784), + Trans(23, 40, 16, 784), + Trans(24, 5, 16, 784), + Trans(24, 38, 16, 784), + Trans(25, 5, 16, 784), + Trans(25, 6, 16, 784), + Trans(25, 7, 16, 784), + Trans(25, 8, 16, 784), + Trans(25, 9, 16, 784), + Trans(25, 10, 16, 784), + Trans(25, 11, 16, 784), + Trans(25, 18, 16, 784), + Trans(25, 24, 16, 784), + Trans(25, 25, 16, 784), + Trans(25, 26, 16, 784), + Trans(25, 27, 16, 784), + Trans(25, 31, 16, 784), + Trans(25, 38, 16, 784), + Trans(25, 40, 16, 784), + Trans(25, 54, 16, 784), + Trans(25, 68, 16, 784), + Trans(25, 73, 16, 784), + Trans(25, 80, 16, 784), + Trans(25, 83, 16, 784), + Trans(25, 86, 16, 784), + Trans(25, 107, 16, 784), + Trans(26, 5, 16, 784), + Trans(26, 31, 16, 784), + Trans(26, 107, 16, 784), + Trans(27, 5, 16, 784), + Trans(27, 75, 16, 784), + Trans(27, 82, 16, 784), + Trans(27, 87, 16, 784), + Trans(28, 6, 16, 784), + Trans(28, 7, 16, 784), + Trans(28, 8, 16, 784), + Trans(28, 9, 16, 784), + Trans(28, 10, 16, 784), + Trans(28, 11, 16, 784), + Trans(28, 18, 16, 784), + Trans(28, 24, 16, 784), + Trans(28, 25, 16, 784), + Trans(28, 26, 16, 784), + Trans(28, 27, 16, 784), + Trans(28, 31, 16, 784), + Trans(28, 38, 16, 784), + Trans(28, 40, 16, 784), + Trans(28, 54, 16, 784), + Trans(28, 68, 16, 784), + Trans(28, 73, 16, 784), + Trans(28, 80, 16, 784), + Trans(28, 83, 16, 784), + Trans(28, 86, 16, 784), + Trans(28, 107, 16, 784), + Trans(29, 5, 16, 784), + Trans(29, 16, 16, 784), + Trans(29, 17, 16, 784), + Trans(29, 18, 16, 784), + Trans(29, 19, 16, 784), + Trans(29, 20, 16, 784), + Trans(29, 21, 16, 784), + Trans(29, 22, 16, 784), + Trans(29, 23, 16, 784), + Trans(29, 24, 16, 784), + Trans(29, 25, 16, 784), + Trans(29, 26, 16, 784), + Trans(29, 29, 16, 784), + Trans(29, 46, 16, 784), + Trans(29, 52, 16, 784), + Trans(30, 5, 16, 784), + Trans(30, 16, 16, 784), + Trans(30, 17, 16, 784), + Trans(30, 18, 16, 784), + Trans(30, 19, 16, 784), + Trans(30, 20, 16, 784), + Trans(30, 21, 16, 784), + Trans(30, 22, 16, 784), + Trans(30, 23, 16, 784), + Trans(30, 24, 16, 784), + Trans(30, 25, 16, 784), + Trans(30, 26, 16, 784), + Trans(30, 28, 16, 784), + Trans(30, 29, 16, 784), + Trans(30, 34, 16, 784), + Trans(30, 39, 16, 784), + Trans(30, 40, 16, 784), + Trans(30, 46, 16, 784), + Trans(30, 52, 16, 784), + Trans(31, 29, 16, 784), + Trans(31, 36, 16, 784), + Trans(31, 38, 16, 784), + Trans(31, 42, 16, 784), + Trans(31, 58, 16, 784), + Trans(31, 62, 16, 784), + Trans(31, 63, 16, 784), + Trans(31, 64, 16, 784), + Trans(31, 68, 16, 784), + Trans(31, 69, 16, 784), + Trans(31, 70, 16, 784), + Trans(31, 77, 16, 784), + Trans(31, 78, 16, 784), + Trans(31, 81, 16, 784), + Trans(31, 98, 16, 784), + Trans(31, 102, 16, 784), + Trans(31, 105, 16, 784), + Trans(31, 106, 16, 784), + Trans(32, 5, 16, 784), + Trans(32, 29, 16, 784), + Trans(32, 36, 16, 784), + Trans(32, 38, 16, 784), + Trans(32, 42, 16, 784), + Trans(32, 58, 16, 784), + Trans(32, 62, 16, 784), + Trans(32, 63, 16, 784), + Trans(32, 64, 16, 784), + Trans(32, 68, 16, 784), + Trans(32, 69, 16, 784), + Trans(32, 70, 16, 784), + Trans(32, 77, 16, 784), + Trans(32, 78, 16, 784), + Trans(32, 81, 16, 784), + Trans(32, 98, 16, 784), + Trans(32, 102, 16, 784), + Trans(32, 105, 16, 784), + Trans(32, 106, 16, 784), + Trans(33, 31, 16, 784), + Trans(33, 107, 16, 784), + Trans(34, 5, 16, 784), + Trans(34, 28, 16, 784), + Trans(34, 45, 16, 784), + Trans(35, 38, 16, 784), + Trans(36, 5, 16, 784), + Trans(36, 31, 16, 784), + Trans(36, 42, 16, 784), + Trans(36, 54, 16, 784), + Trans(36, 63, 16, 784), + Trans(36, 67, 16, 784), + Trans(36, 68, 16, 784), + Trans(36, 77, 16, 784), + Trans(36, 93, 16, 784), + Trans(36, 94, 16, 784), + Trans(36, 107, 16, 784), + Trans(37, 39, 16, 784), + Trans(38, 107, 16, 784), + Trans(39, 5, 16, 784), + Trans(39, 29, 16, 784), + Trans(40, 5, 16, 784), + Trans(40, 76, 16, 784), + Trans(41, 5, 16, 784), + Trans(41, 13, 16, 784), + Trans(41, 36, 16, 784), + Trans(41, 38, 16, 784), + Trans(41, 40, 16, 784), + Trans(42, 5, 16, 784), + Trans(42, 35, 16, 784), ], k: 3, }, - /* 319 - "InterfaceIfDeclarationOpt" */ + /* 329 - "InterfaceIfDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 782), - Trans(0, 36, 2, 782), - Trans(0, 38, 2, 782), - Trans(0, 42, 2, 782), - Trans(0, 56, 1, 781), - Trans(0, 57, 2, 782), - Trans(0, 61, 2, 782), - Trans(0, 62, 2, 782), - Trans(0, 63, 2, 782), - Trans(0, 67, 2, 782), - Trans(0, 68, 2, 782), - Trans(0, 69, 2, 782), - Trans(0, 76, 2, 782), - Trans(0, 77, 2, 782), - Trans(0, 80, 2, 782), - Trans(0, 97, 2, 782), - Trans(0, 101, 2, 782), - Trans(0, 104, 2, 782), - Trans(0, 105, 2, 782), + Trans(0, 29, 2, 786), + Trans(0, 36, 2, 786), + Trans(0, 38, 2, 786), + Trans(0, 42, 2, 786), + Trans(0, 56, 1, 785), + Trans(0, 58, 2, 786), + Trans(0, 62, 2, 786), + Trans(0, 63, 2, 786), + Trans(0, 64, 2, 786), + Trans(0, 68, 2, 786), + Trans(0, 69, 2, 786), + Trans(0, 70, 2, 786), + Trans(0, 77, 2, 786), + Trans(0, 78, 2, 786), + Trans(0, 81, 2, 786), + Trans(0, 98, 2, 786), + Trans(0, 102, 2, 786), + Trans(0, 105, 2, 786), + Trans(0, 106, 2, 786), ], k: 1, }, - /* 320 - "InterfaceItem" */ + /* 330 - "InterfaceItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 10, 810), - Trans(0, 57, 8, 808), - Trans(0, 61, 14, 814), - Trans(0, 62, 6, 806), - Trans(0, 63, 11, 811), - Trans(0, 67, 5, 805), - Trans(0, 68, 12, 812), - Trans(0, 69, 13, 813), - Trans(0, 76, 1, 801), - Trans(0, 77, 3, 803), - Trans(0, 80, 4, 804), - Trans(0, 97, 9, 809), - Trans(0, 101, 7, 807), - Trans(0, 104, 9, 809), - Trans(0, 105, 2, 802), + Trans(0, 29, 10, 814), + Trans(0, 58, 8, 812), + Trans(0, 62, 14, 818), + Trans(0, 63, 6, 810), + Trans(0, 64, 11, 815), + Trans(0, 68, 5, 809), + Trans(0, 69, 12, 816), + Trans(0, 70, 13, 817), + Trans(0, 77, 1, 805), + Trans(0, 78, 3, 807), + Trans(0, 81, 4, 808), + Trans(0, 98, 9, 813), + Trans(0, 102, 7, 811), + Trans(0, 105, 9, 813), + Trans(0, 106, 2, 806), ], k: 1, }, - /* 321 - "InterfaceNamedBlock" */ + /* 331 - "InterfaceNamedBlock" */ LookaheadDFA { - prod0: 786, + prod0: 790, transitions: &[], k: 0, }, - /* 322 - "InterfaceNamedBlockList" */ + /* 332 - "InterfaceNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 787), - Trans(0, 36, 1, 787), - Trans(0, 38, 1, 787), - Trans(0, 42, 2, 788), - Trans(0, 57, 1, 787), - Trans(0, 61, 1, 787), - Trans(0, 62, 1, 787), - Trans(0, 63, 1, 787), - Trans(0, 67, 1, 787), - Trans(0, 68, 1, 787), - Trans(0, 69, 1, 787), - Trans(0, 76, 1, 787), - Trans(0, 77, 1, 787), - Trans(0, 80, 1, 787), - Trans(0, 97, 1, 787), - Trans(0, 101, 1, 787), - Trans(0, 104, 1, 787), - Trans(0, 105, 1, 787), + Trans(0, 29, 1, 791), + Trans(0, 36, 1, 791), + Trans(0, 38, 1, 791), + Trans(0, 42, 2, 792), + Trans(0, 58, 1, 791), + Trans(0, 62, 1, 791), + Trans(0, 63, 1, 791), + Trans(0, 64, 1, 791), + Trans(0, 68, 1, 791), + Trans(0, 69, 1, 791), + Trans(0, 70, 1, 791), + Trans(0, 77, 1, 791), + Trans(0, 78, 1, 791), + Trans(0, 81, 1, 791), + Trans(0, 98, 1, 791), + Trans(0, 102, 1, 791), + Trans(0, 105, 1, 791), + Trans(0, 106, 1, 791), ], k: 1, }, - /* 323 - "InterfaceOptionalNamedBlock" */ + /* 333 - "InterfaceOptionalNamedBlock" */ LookaheadDFA { - prod0: 789, + prod0: 793, transitions: &[], k: 0, }, - /* 324 - "InterfaceOptionalNamedBlockList" */ + /* 334 - "InterfaceOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 790), - Trans(0, 36, 1, 790), - Trans(0, 38, 1, 790), - Trans(0, 42, 2, 791), - Trans(0, 57, 1, 790), - Trans(0, 61, 1, 790), - Trans(0, 62, 1, 790), - Trans(0, 63, 1, 790), - Trans(0, 67, 1, 790), - Trans(0, 68, 1, 790), - Trans(0, 69, 1, 790), - Trans(0, 76, 1, 790), - Trans(0, 77, 1, 790), - Trans(0, 80, 1, 790), - Trans(0, 97, 1, 790), - Trans(0, 101, 1, 790), - Trans(0, 104, 1, 790), - Trans(0, 105, 1, 790), + Trans(0, 29, 1, 794), + Trans(0, 36, 1, 794), + Trans(0, 38, 1, 794), + Trans(0, 42, 2, 795), + Trans(0, 58, 1, 794), + Trans(0, 62, 1, 794), + Trans(0, 63, 1, 794), + Trans(0, 64, 1, 794), + Trans(0, 68, 1, 794), + Trans(0, 69, 1, 794), + Trans(0, 70, 1, 794), + Trans(0, 77, 1, 794), + Trans(0, 78, 1, 794), + Trans(0, 81, 1, 794), + Trans(0, 98, 1, 794), + Trans(0, 102, 1, 794), + Trans(0, 105, 1, 794), + Trans(0, 106, 1, 794), ], k: 1, }, - /* 325 - "InterfaceOptionalNamedBlockOpt" */ + /* 335 - "InterfaceOptionalNamedBlockOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 792), Trans(0, 38, 2, 793)], + transitions: &[Trans(0, 29, 1, 796), Trans(0, 38, 2, 797)], k: 1, }, - /* 326 - "InterfaceTerm" */ + /* 336 - "InterfaceTerm" */ LookaheadDFA { - prod0: 69, + prod0: 70, transitions: &[], k: 0, }, - /* 327 - "InterfaceToken" */ + /* 337 - "InterfaceToken" */ LookaheadDFA { - prod0: 174, + prod0: 177, transitions: &[], k: 0, }, - /* 328 - "LAngle" */ + /* 338 - "LAngle" */ LookaheadDFA { - prod0: 236, + prod0: 239, transitions: &[], k: 0, }, - /* 329 - "LAngleTerm" */ + /* 339 - "LAngleTerm" */ LookaheadDFA { prod0: 32, transitions: &[], k: 0, }, - /* 330 - "LAngleToken" */ + /* 340 - "LAngleToken" */ LookaheadDFA { - prod0: 134, + prod0: 136, transitions: &[], k: 0, }, - /* 331 - "LBrace" */ + /* 341 - "LBrace" */ LookaheadDFA { - prod0: 237, + prod0: 240, transitions: &[], k: 0, }, - /* 332 - "LBraceTerm" */ + /* 342 - "LBraceTerm" */ LookaheadDFA { prod0: 33, transitions: &[], k: 0, }, - /* 333 - "LBraceToken" */ + /* 343 - "LBraceToken" */ LookaheadDFA { - prod0: 135, + prod0: 137, transitions: &[], k: 0, }, - /* 334 - "LBracket" */ + /* 344 - "LBracket" */ LookaheadDFA { - prod0: 238, + prod0: 241, transitions: &[], k: 0, }, - /* 335 - "LBracketTerm" */ + /* 345 - "LBracketTerm" */ LookaheadDFA { prod0: 34, transitions: &[], k: 0, }, - /* 336 - "LBracketToken" */ + /* 346 - "LBracketToken" */ LookaheadDFA { - prod0: 136, + prod0: 138, transitions: &[], k: 0, }, - /* 337 - "LParen" */ + /* 347 - "LParen" */ LookaheadDFA { - prod0: 239, + prod0: 242, transitions: &[], k: 0, }, - /* 338 - "LParenTerm" */ + /* 348 - "LParenTerm" */ LookaheadDFA { prod0: 35, transitions: &[], k: 0, }, - /* 339 - "LParenToken" */ + /* 349 - "LParenToken" */ LookaheadDFA { - prod0: 137, + prod0: 139, transitions: &[], k: 0, }, - /* 340 - "Let" */ + /* 350 - "Let" */ LookaheadDFA { - prod0: 279, + prod0: 283, transitions: &[], k: 0, }, - /* 341 - "LetDeclaration" */ + /* 351 - "LetDeclaration" */ LookaheadDFA { - prod0: 551, + prod0: 555, transitions: &[], k: 0, }, - /* 342 - "LetStatement" */ + /* 352 - "LetStatement" */ LookaheadDFA { - prod0: 493, + prod0: 497, transitions: &[], k: 0, }, - /* 343 - "LetTerm" */ + /* 353 - "LetTerm" */ LookaheadDFA { - prod0: 71, + prod0: 72, transitions: &[], k: 0, }, - /* 344 - "LetToken" */ + /* 354 - "LetToken" */ LookaheadDFA { - prod0: 176, + prod0: 179, transitions: &[], k: 0, }, - /* 345 - "Local" */ + /* 355 - "Local" */ LookaheadDFA { - prod0: 280, + prod0: 284, transitions: &[], k: 0, }, - /* 346 - "LocalDeclaration" */ + /* 356 - "LocalDeclaration" */ LookaheadDFA { - prod0: 553, + prod0: 557, transitions: &[], k: 0, }, - /* 347 - "LocalDeclarationGroup" */ + /* 357 - "LocalDeclarationGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 554), - Trans(0, 53, 1, 554), - Trans(0, 59, 1, 554), - Trans(0, 60, 1, 554), - Trans(0, 64, 1, 554), - Trans(0, 65, 1, 554), - Trans(0, 78, 1, 554), - Trans(0, 94, 1, 554), - Trans(0, 96, 1, 554), - Trans(0, 100, 1, 554), - Trans(0, 101, 2, 555), - Trans(0, 102, 1, 554), - Trans(0, 103, 1, 554), - Trans(0, 106, 1, 554), + Trans(0, 31, 1, 558), + Trans(0, 53, 1, 558), + Trans(0, 60, 1, 558), + Trans(0, 61, 1, 558), + Trans(0, 65, 1, 558), + Trans(0, 66, 1, 558), + Trans(0, 79, 1, 558), + Trans(0, 95, 1, 558), + Trans(0, 97, 1, 558), + Trans(0, 101, 1, 558), + Trans(0, 102, 2, 559), + Trans(0, 103, 1, 558), + Trans(0, 104, 1, 558), + Trans(0, 107, 1, 558), ], k: 1, }, - /* 348 - "LocalTerm" */ + /* 358 - "LocalTerm" */ LookaheadDFA { - prod0: 72, + prod0: 73, transitions: &[], k: 0, }, - /* 349 - "LocalToken" */ + /* 359 - "LocalToken" */ LookaheadDFA { - prod0: 177, + prod0: 180, transitions: &[], k: 0, }, - /* 350 - "Logic" */ + /* 360 - "Logic" */ LookaheadDFA { - prod0: 281, + prod0: 285, transitions: &[], k: 0, }, - /* 351 - "LogicTerm" */ + /* 361 - "LogicTerm" */ LookaheadDFA { - prod0: 73, + prod0: 74, transitions: &[], k: 0, }, - /* 352 - "LogicToken" */ + /* 362 - "LogicToken" */ LookaheadDFA { - prod0: 178, + prod0: 181, transitions: &[], k: 0, }, - /* 353 - "Lsb" */ + /* 363 - "Lsb" */ LookaheadDFA { - prod0: 282, + prod0: 286, transitions: &[], k: 0, }, - /* 354 - "LsbTerm" */ + /* 364 - "LsbTerm" */ LookaheadDFA { - prod0: 74, + prod0: 75, transitions: &[], k: 0, }, - /* 355 - "LsbToken" */ + /* 365 - "LsbToken" */ LookaheadDFA { - prod0: 179, + prod0: 182, transitions: &[], k: 0, }, - /* 356 - "MinusColon" */ + /* 366 - "MinusColon" */ LookaheadDFA { - prod0: 240, + prod0: 243, transitions: &[], k: 0, }, - /* 357 - "MinusColonTerm" */ + /* 367 - "MinusColonTerm" */ LookaheadDFA { prod0: 7, transitions: &[], k: 0, }, - /* 358 - "MinusColonToken" */ + /* 368 - "MinusColonToken" */ LookaheadDFA { - prod0: 138, + prod0: 140, transitions: &[], k: 0, }, - /* 359 - "MinusGT" */ + /* 369 - "MinusGT" */ LookaheadDFA { - prod0: 241, + prod0: 244, transitions: &[], k: 0, }, - /* 360 - "MinusGTTerm" */ + /* 370 - "MinusGTTerm" */ LookaheadDFA { prod0: 8, transitions: &[], k: 0, }, - /* 361 - "MinusGTToken" */ + /* 371 - "MinusGTToken" */ LookaheadDFA { - prod0: 139, + prod0: 141, transitions: &[], k: 0, }, - /* 362 - "Modport" */ + /* 372 - "Modport" */ LookaheadDFA { - prod0: 283, + prod0: 287, transitions: &[], k: 0, }, - /* 363 - "ModportDeclaration" */ + /* 373 - "ModportDeclaration" */ LookaheadDFA { - prod0: 578, + prod0: 582, transitions: &[], k: 0, }, - /* 364 - "ModportGroup" */ + /* 374 - "ModportGroup" */ LookaheadDFA { - prod0: 584, + prod0: 588, transitions: &[], k: 0, }, - /* 365 - "ModportGroupGroup" */ + /* 375 - "ModportGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 585), Trans(0, 106, 2, 586)], + transitions: &[Trans(0, 38, 1, 589), Trans(0, 107, 2, 590)], k: 1, }, - /* 366 - "ModportGroupList" */ + /* 376 - "ModportGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 587), - Trans(0, 38, 2, 588), - Trans(0, 106, 2, 588), + Trans(0, 36, 1, 591), + Trans(0, 38, 2, 592), + Trans(0, 107, 2, 592), ], k: 1, }, - /* 367 - "ModportItem" */ + /* 377 - "ModportItem" */ LookaheadDFA { - prod0: 589, + prod0: 593, transitions: &[], k: 0, }, - /* 368 - "ModportList" */ + /* 378 - "ModportList" */ LookaheadDFA { - prod0: 579, + prod0: 583, transitions: &[], k: 0, }, - /* 369 - "ModportListList" */ + /* 379 - "ModportListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 7, -1), - Trans(1, 5, 2, -1), - Trans(1, 36, 4, -1), - Trans(1, 38, 5, -1), - Trans(1, 42, 8, -1), - Trans(1, 106, 6, -1), - Trans(2, 36, 3, 580), - Trans(2, 38, 3, 580), - Trans(2, 42, 9, 581), - Trans(2, 106, 3, 580), - Trans(4, 5, 3, 580), - Trans(4, 39, 3, 580), - Trans(5, 5, 3, 580), - Trans(5, 36, 3, 580), - Trans(5, 38, 3, 580), - Trans(5, 106, 3, 580), - Trans(6, 5, 3, 580), - Trans(6, 29, 3, 580), - Trans(7, 5, 10, -1), - Trans(7, 29, 11, -1), - Trans(7, 30, 12, -1), - Trans(7, 36, 13, -1), - Trans(7, 38, 14, -1), - Trans(7, 42, 15, -1), - Trans(7, 57, 11, -1), - Trans(7, 61, 16, -1), - Trans(7, 62, 11, -1), - Trans(7, 63, 11, -1), - Trans(7, 67, 17, -1), - Trans(7, 68, 18, -1), + Trans(1, 5, 6, -1), + Trans(1, 36, 2, -1), + Trans(1, 38, 4, -1), + Trans(1, 42, 18, -1), + Trans(1, 107, 5, -1), + Trans(2, 5, 3, 584), + Trans(2, 39, 3, 584), + Trans(4, 5, 3, 584), + Trans(4, 36, 3, 584), + Trans(4, 38, 3, 584), + Trans(4, 107, 3, 584), + Trans(5, 5, 3, 584), + Trans(5, 29, 3, 584), + Trans(6, 36, 3, 584), + Trans(6, 38, 3, 584), + Trans(6, 42, 17, 585), + Trans(6, 107, 3, 584), + Trans(7, 5, 8, -1), + Trans(7, 29, 9, -1), + Trans(7, 30, 10, -1), + Trans(7, 36, 11, -1), + Trans(7, 38, 12, -1), + Trans(7, 42, 13, -1), + Trans(7, 58, 9, -1), + Trans(7, 62, 14, -1), + Trans(7, 63, 9, -1), + Trans(7, 64, 9, -1), + Trans(7, 68, 15, -1), Trans(7, 69, 16, -1), - Trans(7, 76, 11, -1), - Trans(7, 77, 11, -1), - Trans(7, 80, 11, -1), - Trans(7, 97, 11, -1), - Trans(7, 101, 11, -1), - Trans(7, 104, 11, -1), - Trans(7, 105, 11, -1), - Trans(8, 5, 9, 581), - Trans(8, 29, 9, 581), - Trans(8, 30, 9, 581), - Trans(8, 36, 9, 581), - Trans(8, 38, 9, 581), - Trans(8, 42, 9, 581), - Trans(8, 57, 9, 581), - Trans(8, 61, 9, 581), - Trans(8, 62, 9, 581), - Trans(8, 63, 9, 581), - Trans(8, 67, 9, 581), - Trans(8, 68, 9, 581), - Trans(8, 69, 9, 581), - Trans(8, 76, 9, 581), - Trans(8, 77, 9, 581), - Trans(8, 80, 9, 581), - Trans(8, 97, 9, 581), - Trans(8, 101, 9, 581), - Trans(8, 104, 9, 581), - Trans(8, 105, 9, 581), - Trans(10, 29, 9, 581), - Trans(10, 30, 9, 581), - Trans(10, 36, 9, 581), - Trans(10, 38, 9, 581), - Trans(10, 42, 9, 581), - Trans(10, 57, 9, 581), - Trans(10, 61, 9, 581), - Trans(10, 62, 9, 581), - Trans(10, 63, 9, 581), - Trans(10, 67, 9, 581), - Trans(10, 68, 9, 581), - Trans(10, 69, 9, 581), - Trans(10, 76, 9, 581), - Trans(10, 77, 9, 581), - Trans(10, 80, 9, 581), - Trans(10, 97, 9, 581), - Trans(10, 101, 9, 581), - Trans(10, 104, 9, 581), - Trans(10, 105, 9, 581), - Trans(11, 5, 9, 581), - Trans(11, 106, 9, 581), - Trans(12, 5, 9, 581), - Trans(12, 36, 9, 581), - Trans(12, 38, 9, 581), - Trans(12, 42, 9, 581), - Trans(12, 106, 9, 581), - Trans(13, 5, 9, 581), - Trans(13, 39, 9, 581), - Trans(14, 5, 9, 581), - Trans(14, 29, 9, 581), - Trans(14, 36, 9, 581), - Trans(14, 38, 9, 581), - Trans(14, 42, 9, 581), - Trans(14, 57, 9, 581), - Trans(14, 61, 9, 581), - Trans(14, 62, 9, 581), - Trans(14, 63, 9, 581), - Trans(14, 67, 9, 581), - Trans(14, 68, 9, 581), - Trans(14, 69, 9, 581), - Trans(14, 76, 9, 581), - Trans(14, 77, 9, 581), - Trans(14, 80, 9, 581), - Trans(14, 97, 9, 581), - Trans(14, 101, 9, 581), - Trans(14, 104, 9, 581), - Trans(14, 105, 9, 581), - Trans(15, 0, 9, 581), - Trans(15, 5, 9, 581), - Trans(15, 29, 9, 581), - Trans(15, 30, 9, 581), - Trans(15, 36, 9, 581), - Trans(15, 38, 9, 581), - Trans(15, 42, 9, 581), - Trans(15, 56, 9, 581), - Trans(15, 57, 9, 581), - Trans(15, 61, 9, 581), - Trans(15, 62, 9, 581), - Trans(15, 63, 9, 581), - Trans(15, 67, 9, 581), - Trans(15, 68, 9, 581), - Trans(15, 69, 9, 581), - Trans(15, 74, 9, 581), - Trans(15, 76, 9, 581), - Trans(15, 77, 9, 581), - Trans(15, 80, 9, 581), - Trans(15, 81, 9, 581), - Trans(15, 86, 9, 581), - Trans(15, 89, 9, 581), - Trans(15, 97, 9, 581), - Trans(15, 101, 9, 581), - Trans(15, 104, 9, 581), - Trans(15, 105, 9, 581), - Trans(16, 5, 9, 581), - Trans(16, 38, 9, 581), - Trans(17, 5, 9, 581), - Trans(17, 6, 9, 581), - Trans(17, 7, 9, 581), - Trans(17, 8, 9, 581), - Trans(17, 9, 9, 581), - Trans(17, 10, 9, 581), - Trans(17, 11, 9, 581), - Trans(17, 18, 9, 581), - Trans(17, 24, 9, 581), - Trans(17, 25, 9, 581), - Trans(17, 26, 9, 581), - Trans(17, 27, 9, 581), - Trans(17, 31, 9, 581), - Trans(17, 38, 9, 581), - Trans(17, 40, 9, 581), - Trans(17, 54, 9, 581), - Trans(17, 67, 9, 581), - Trans(17, 72, 9, 581), - Trans(17, 79, 9, 581), - Trans(17, 82, 9, 581), - Trans(17, 85, 9, 581), - Trans(17, 106, 9, 581), - Trans(18, 5, 9, 581), - Trans(18, 31, 9, 581), - Trans(18, 106, 9, 581), + Trans(7, 70, 14, -1), + Trans(7, 77, 9, -1), + Trans(7, 78, 9, -1), + Trans(7, 81, 9, -1), + Trans(7, 98, 9, -1), + Trans(7, 102, 9, -1), + Trans(7, 105, 9, -1), + Trans(7, 106, 9, -1), + Trans(8, 29, 17, 585), + Trans(8, 30, 17, 585), + Trans(8, 36, 17, 585), + Trans(8, 38, 17, 585), + Trans(8, 42, 17, 585), + Trans(8, 58, 17, 585), + Trans(8, 62, 17, 585), + Trans(8, 63, 17, 585), + Trans(8, 64, 17, 585), + Trans(8, 68, 17, 585), + Trans(8, 69, 17, 585), + Trans(8, 70, 17, 585), + Trans(8, 77, 17, 585), + Trans(8, 78, 17, 585), + Trans(8, 81, 17, 585), + Trans(8, 98, 17, 585), + Trans(8, 102, 17, 585), + Trans(8, 105, 17, 585), + Trans(8, 106, 17, 585), + Trans(9, 5, 17, 585), + Trans(9, 107, 17, 585), + Trans(10, 5, 17, 585), + Trans(10, 36, 17, 585), + Trans(10, 38, 17, 585), + Trans(10, 42, 17, 585), + Trans(10, 107, 17, 585), + Trans(11, 5, 17, 585), + Trans(11, 39, 17, 585), + Trans(12, 5, 17, 585), + Trans(12, 29, 17, 585), + Trans(12, 36, 17, 585), + Trans(12, 38, 17, 585), + Trans(12, 42, 17, 585), + Trans(12, 58, 17, 585), + Trans(12, 62, 17, 585), + Trans(12, 63, 17, 585), + Trans(12, 64, 17, 585), + Trans(12, 68, 17, 585), + Trans(12, 69, 17, 585), + Trans(12, 70, 17, 585), + Trans(12, 77, 17, 585), + Trans(12, 78, 17, 585), + Trans(12, 81, 17, 585), + Trans(12, 98, 17, 585), + Trans(12, 102, 17, 585), + Trans(12, 105, 17, 585), + Trans(12, 106, 17, 585), + Trans(13, 0, 17, 585), + Trans(13, 5, 17, 585), + Trans(13, 29, 17, 585), + Trans(13, 30, 17, 585), + Trans(13, 36, 17, 585), + Trans(13, 38, 17, 585), + Trans(13, 42, 17, 585), + Trans(13, 56, 17, 585), + Trans(13, 57, 17, 585), + Trans(13, 58, 17, 585), + Trans(13, 62, 17, 585), + Trans(13, 63, 17, 585), + Trans(13, 64, 17, 585), + Trans(13, 68, 17, 585), + Trans(13, 69, 17, 585), + Trans(13, 70, 17, 585), + Trans(13, 75, 17, 585), + Trans(13, 77, 17, 585), + Trans(13, 78, 17, 585), + Trans(13, 81, 17, 585), + Trans(13, 82, 17, 585), + Trans(13, 87, 17, 585), + Trans(13, 90, 17, 585), + Trans(13, 98, 17, 585), + Trans(13, 102, 17, 585), + Trans(13, 105, 17, 585), + Trans(13, 106, 17, 585), + Trans(14, 5, 17, 585), + Trans(14, 38, 17, 585), + Trans(15, 5, 17, 585), + Trans(15, 6, 17, 585), + Trans(15, 7, 17, 585), + Trans(15, 8, 17, 585), + Trans(15, 9, 17, 585), + Trans(15, 10, 17, 585), + Trans(15, 11, 17, 585), + Trans(15, 18, 17, 585), + Trans(15, 24, 17, 585), + Trans(15, 25, 17, 585), + Trans(15, 26, 17, 585), + Trans(15, 27, 17, 585), + Trans(15, 31, 17, 585), + Trans(15, 38, 17, 585), + Trans(15, 40, 17, 585), + Trans(15, 54, 17, 585), + Trans(15, 68, 17, 585), + Trans(15, 73, 17, 585), + Trans(15, 80, 17, 585), + Trans(15, 83, 17, 585), + Trans(15, 86, 17, 585), + Trans(15, 107, 17, 585), + Trans(16, 5, 17, 585), + Trans(16, 31, 17, 585), + Trans(16, 107, 17, 585), + Trans(18, 5, 17, 585), + Trans(18, 29, 17, 585), + Trans(18, 30, 17, 585), + Trans(18, 36, 17, 585), + Trans(18, 38, 17, 585), + Trans(18, 42, 17, 585), + Trans(18, 58, 17, 585), + Trans(18, 62, 17, 585), + Trans(18, 63, 17, 585), + Trans(18, 64, 17, 585), + Trans(18, 68, 17, 585), + Trans(18, 69, 17, 585), + Trans(18, 70, 17, 585), + Trans(18, 77, 17, 585), + Trans(18, 78, 17, 585), + Trans(18, 81, 17, 585), + Trans(18, 98, 17, 585), + Trans(18, 102, 17, 585), + Trans(18, 105, 17, 585), + Trans(18, 106, 17, 585), ], k: 3, }, - /* 370 - "ModportListOpt" */ + /* 380 - "ModportListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 582), Trans(0, 42, 2, 583)], + transitions: &[Trans(0, 30, 1, 586), Trans(0, 42, 2, 587)], k: 1, }, - /* 371 - "ModportTerm" */ + /* 381 - "ModportTerm" */ LookaheadDFA { - prod0: 75, + prod0: 76, transitions: &[], k: 0, }, - /* 372 - "ModportToken" */ + /* 382 - "ModportToken" */ LookaheadDFA { - prod0: 180, + prod0: 183, transitions: &[], k: 0, }, - /* 373 - "Module" */ + /* 383 - "Module" */ LookaheadDFA { - prod0: 284, + prod0: 288, transitions: &[], k: 0, }, - /* 374 - "ModuleDeclaration" */ + /* 384 - "ModuleDeclaration" */ LookaheadDFA { - prod0: 722, + prod0: 726, transitions: &[], k: 0, }, - /* 375 - "ModuleDeclarationList" */ + /* 385 - "ModuleDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 723), - Trans(0, 36, 1, 723), - Trans(0, 38, 1, 723), - Trans(0, 42, 2, 724), - Trans(0, 47, 1, 723), - Trans(0, 48, 1, 723), - Trans(0, 49, 1, 723), - Trans(0, 57, 1, 723), - Trans(0, 61, 1, 723), - Trans(0, 62, 1, 723), - Trans(0, 63, 1, 723), - Trans(0, 67, 1, 723), - Trans(0, 68, 1, 723), - Trans(0, 69, 1, 723), - Trans(0, 73, 1, 723), - Trans(0, 76, 1, 723), - Trans(0, 77, 1, 723), - Trans(0, 97, 1, 723), - Trans(0, 101, 1, 723), - Trans(0, 104, 1, 723), - Trans(0, 105, 1, 723), + Trans(0, 29, 1, 727), + Trans(0, 36, 1, 727), + Trans(0, 38, 1, 727), + Trans(0, 42, 2, 728), + Trans(0, 47, 1, 727), + Trans(0, 48, 1, 727), + Trans(0, 49, 1, 727), + Trans(0, 58, 1, 727), + Trans(0, 62, 1, 727), + Trans(0, 63, 1, 727), + Trans(0, 64, 1, 727), + Trans(0, 68, 1, 727), + Trans(0, 69, 1, 727), + Trans(0, 70, 1, 727), + Trans(0, 74, 1, 727), + Trans(0, 77, 1, 727), + Trans(0, 78, 1, 727), + Trans(0, 98, 1, 727), + Trans(0, 102, 1, 727), + Trans(0, 105, 1, 727), + Trans(0, 106, 1, 727), ], k: 1, }, - /* 376 - "ModuleDeclarationOpt" */ + /* 386 - "ModuleDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 81, 2, 730), Trans(0, 89, 1, 729)], + transitions: &[Trans(0, 82, 2, 734), Trans(0, 90, 1, 733)], k: 1, }, - /* 377 - "ModuleDeclarationOpt0" */ + /* 387 - "ModuleDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 727), - Trans(0, 38, 2, 728), - Trans(0, 40, 2, 728), + Trans(0, 36, 1, 731), + Trans(0, 38, 2, 732), + Trans(0, 40, 2, 732), ], k: 1, }, - /* 378 - "ModuleDeclarationOpt1" */ + /* 388 - "ModuleDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 2, 726), Trans(0, 40, 1, 725)], + transitions: &[Trans(0, 38, 2, 730), Trans(0, 40, 1, 729)], k: 1, }, - /* 379 - "ModuleForDeclaration" */ + /* 389 - "ModuleForDeclaration" */ LookaheadDFA { - prod0: 736, + prod0: 740, transitions: &[], k: 0, }, - /* 380 - "ModuleForDeclarationOpt" */ + /* 390 - "ModuleForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 2, 738), Trans(0, 95, 1, 737)], + transitions: &[Trans(0, 29, 2, 742), Trans(0, 96, 1, 741)], k: 1, }, - /* 381 - "ModuleGroup" */ + /* 391 - "ModuleGroup" */ LookaheadDFA { - prod0: 747, + prod0: 751, transitions: &[], k: 0, }, - /* 382 - "ModuleGroupGroup" */ + /* 392 - "ModuleGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 751), - Trans(0, 38, 1, 748), - Trans(0, 47, 2, 751), - Trans(0, 48, 2, 751), - Trans(0, 49, 2, 751), - Trans(0, 57, 2, 751), - Trans(0, 61, 2, 751), - Trans(0, 62, 2, 751), - Trans(0, 63, 2, 751), - Trans(0, 67, 2, 751), - Trans(0, 68, 2, 751), - Trans(0, 69, 2, 751), - Trans(0, 73, 2, 751), - Trans(0, 76, 2, 751), - Trans(0, 77, 2, 751), - Trans(0, 97, 2, 751), - Trans(0, 101, 2, 751), - Trans(0, 104, 2, 751), - Trans(0, 105, 2, 751), + Trans(0, 29, 2, 755), + Trans(0, 38, 1, 752), + Trans(0, 47, 2, 755), + Trans(0, 48, 2, 755), + Trans(0, 49, 2, 755), + Trans(0, 58, 2, 755), + Trans(0, 62, 2, 755), + Trans(0, 63, 2, 755), + Trans(0, 64, 2, 755), + Trans(0, 68, 2, 755), + Trans(0, 69, 2, 755), + Trans(0, 70, 2, 755), + Trans(0, 74, 2, 755), + Trans(0, 77, 2, 755), + Trans(0, 78, 2, 755), + Trans(0, 98, 2, 755), + Trans(0, 102, 2, 755), + Trans(0, 105, 2, 755), + Trans(0, 106, 2, 755), ], k: 1, }, - /* 383 - "ModuleGroupGroupList" */ + /* 393 - "ModuleGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 749), - Trans(0, 36, 1, 749), - Trans(0, 38, 1, 749), - Trans(0, 42, 2, 750), - Trans(0, 47, 1, 749), - Trans(0, 48, 1, 749), - Trans(0, 49, 1, 749), - Trans(0, 57, 1, 749), - Trans(0, 61, 1, 749), - Trans(0, 62, 1, 749), - Trans(0, 63, 1, 749), - Trans(0, 67, 1, 749), - Trans(0, 68, 1, 749), - Trans(0, 69, 1, 749), - Trans(0, 73, 1, 749), - Trans(0, 76, 1, 749), - Trans(0, 77, 1, 749), - Trans(0, 97, 1, 749), - Trans(0, 101, 1, 749), - Trans(0, 104, 1, 749), - Trans(0, 105, 1, 749), + Trans(0, 29, 1, 753), + Trans(0, 36, 1, 753), + Trans(0, 38, 1, 753), + Trans(0, 42, 2, 754), + Trans(0, 47, 1, 753), + Trans(0, 48, 1, 753), + Trans(0, 49, 1, 753), + Trans(0, 58, 1, 753), + Trans(0, 62, 1, 753), + Trans(0, 63, 1, 753), + Trans(0, 64, 1, 753), + Trans(0, 68, 1, 753), + Trans(0, 69, 1, 753), + Trans(0, 70, 1, 753), + Trans(0, 74, 1, 753), + Trans(0, 77, 1, 753), + Trans(0, 78, 1, 753), + Trans(0, 98, 1, 753), + Trans(0, 102, 1, 753), + Trans(0, 105, 1, 753), + Trans(0, 106, 1, 753), ], k: 1, }, - /* 384 - "ModuleGroupList" */ + /* 394 - "ModuleGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 753), - Trans(0, 36, 1, 752), - Trans(0, 38, 2, 753), - Trans(0, 47, 2, 753), - Trans(0, 48, 2, 753), - Trans(0, 49, 2, 753), - Trans(0, 57, 2, 753), - Trans(0, 61, 2, 753), - Trans(0, 62, 2, 753), - Trans(0, 63, 2, 753), - Trans(0, 67, 2, 753), - Trans(0, 68, 2, 753), - Trans(0, 69, 2, 753), - Trans(0, 73, 2, 753), - Trans(0, 76, 2, 753), - Trans(0, 77, 2, 753), - Trans(0, 97, 2, 753), - Trans(0, 101, 2, 753), - Trans(0, 104, 2, 753), - Trans(0, 105, 2, 753), + Trans(0, 29, 2, 757), + Trans(0, 36, 1, 756), + Trans(0, 38, 2, 757), + Trans(0, 47, 2, 757), + Trans(0, 48, 2, 757), + Trans(0, 49, 2, 757), + Trans(0, 58, 2, 757), + Trans(0, 62, 2, 757), + Trans(0, 63, 2, 757), + Trans(0, 64, 2, 757), + Trans(0, 68, 2, 757), + Trans(0, 69, 2, 757), + Trans(0, 70, 2, 757), + Trans(0, 74, 2, 757), + Trans(0, 77, 2, 757), + Trans(0, 78, 2, 757), + Trans(0, 98, 2, 757), + Trans(0, 102, 2, 757), + Trans(0, 105, 2, 757), + Trans(0, 106, 2, 757), ], k: 1, }, - /* 385 - "ModuleIfDeclaration" */ + /* 395 - "ModuleIfDeclaration" */ LookaheadDFA { - prod0: 731, + prod0: 735, transitions: &[], k: 0, }, - /* 386 - "ModuleIfDeclarationList" */ + /* 396 - "ModuleIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8192,76 +8340,76 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(0, 48, 10, -1), Trans(0, 49, 11, -1), Trans(0, 56, 1, -1), - Trans(0, 57, 12, -1), - Trans(0, 61, 9, -1), - Trans(0, 62, 13, -1), - Trans(0, 63, 14, -1), - Trans(0, 67, 15, -1), - Trans(0, 68, 16, -1), - Trans(0, 69, 9, -1), - Trans(0, 73, 12, -1), - Trans(0, 76, 12, -1), + Trans(0, 58, 12, -1), + Trans(0, 62, 9, -1), + Trans(0, 63, 13, -1), + Trans(0, 64, 14, -1), + Trans(0, 68, 15, -1), + Trans(0, 69, 16, -1), + Trans(0, 70, 9, -1), + Trans(0, 74, 12, -1), Trans(0, 77, 12, -1), - Trans(0, 97, 5, -1), - Trans(0, 101, 17, -1), - Trans(0, 104, 5, -1), - Trans(0, 105, 12, -1), - Trans(1, 5, 2, -1), + Trans(0, 78, 12, -1), + Trans(0, 98, 5, -1), + Trans(0, 102, 17, -1), + Trans(0, 105, 5, -1), + Trans(0, 106, 12, -1), + Trans(1, 5, 4, -1), Trans(1, 29, 20, -1), - Trans(1, 38, 33, -1), - Trans(1, 67, 4, -1), - Trans(2, 29, 18, 733), - Trans(2, 38, 18, 733), - Trans(2, 67, 3, 732), - Trans(4, 5, 3, 732), - Trans(4, 6, 3, 732), - Trans(4, 7, 3, 732), - Trans(4, 8, 3, 732), - Trans(4, 9, 3, 732), - Trans(4, 10, 3, 732), - Trans(4, 11, 3, 732), - Trans(4, 18, 3, 732), - Trans(4, 24, 3, 732), - Trans(4, 25, 3, 732), - Trans(4, 26, 3, 732), - Trans(4, 27, 3, 732), - Trans(4, 31, 3, 732), - Trans(4, 38, 3, 732), - Trans(4, 40, 3, 732), - Trans(4, 54, 3, 732), - Trans(4, 67, 3, 732), - Trans(4, 72, 3, 732), - Trans(4, 79, 3, 732), - Trans(4, 82, 3, 732), - Trans(4, 85, 3, 732), - Trans(4, 106, 3, 732), - Trans(5, 5, 30, -1), - Trans(5, 106, 24, -1), - Trans(6, 5, 31, -1), + Trans(1, 38, 34, -1), + Trans(1, 68, 2, -1), + Trans(2, 5, 3, 736), + Trans(2, 6, 3, 736), + Trans(2, 7, 3, 736), + Trans(2, 8, 3, 736), + Trans(2, 9, 3, 736), + Trans(2, 10, 3, 736), + Trans(2, 11, 3, 736), + Trans(2, 18, 3, 736), + Trans(2, 24, 3, 736), + Trans(2, 25, 3, 736), + Trans(2, 26, 3, 736), + Trans(2, 27, 3, 736), + Trans(2, 31, 3, 736), + Trans(2, 38, 3, 736), + Trans(2, 40, 3, 736), + Trans(2, 54, 3, 736), + Trans(2, 68, 3, 736), + Trans(2, 73, 3, 736), + Trans(2, 80, 3, 736), + Trans(2, 83, 3, 736), + Trans(2, 86, 3, 736), + Trans(2, 107, 3, 736), + Trans(4, 29, 18, 737), + Trans(4, 38, 18, 737), + Trans(4, 68, 3, 736), + Trans(5, 5, 42, -1), + Trans(5, 107, 24, -1), + Trans(6, 5, 39, -1), Trans(6, 39, 20, -1), - Trans(7, 5, 32, -1), + Trans(7, 5, 33, -1), Trans(7, 29, 20, -1), Trans(7, 36, 21, -1), - Trans(7, 38, 33, -1), - Trans(7, 42, 33, -1), + Trans(7, 38, 34, -1), + Trans(7, 42, 34, -1), Trans(7, 47, 24, -1), Trans(7, 48, 25, -1), Trans(7, 49, 20, -1), - Trans(7, 57, 20, -1), - Trans(7, 61, 24, -1), - Trans(7, 62, 20, -1), + Trans(7, 58, 20, -1), + Trans(7, 62, 24, -1), Trans(7, 63, 20, -1), - Trans(7, 67, 27, -1), - Trans(7, 68, 28, -1), - Trans(7, 69, 24, -1), - Trans(7, 73, 20, -1), - Trans(7, 76, 20, -1), + Trans(7, 64, 20, -1), + Trans(7, 68, 27, -1), + Trans(7, 69, 28, -1), + Trans(7, 70, 24, -1), + Trans(7, 74, 20, -1), Trans(7, 77, 20, -1), - Trans(7, 97, 20, -1), - Trans(7, 101, 20, -1), - Trans(7, 104, 20, -1), + Trans(7, 78, 20, -1), + Trans(7, 98, 20, -1), + Trans(7, 102, 20, -1), Trans(7, 105, 20, -1), - Trans(8, 0, 18, 733), + Trans(7, 106, 20, -1), + Trans(8, 0, 18, 737), Trans(8, 5, 19, -1), Trans(8, 29, 20, -1), Trans(8, 36, 21, -1), @@ -8271,43 +8419,44 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(8, 48, 25, -1), Trans(8, 49, 20, -1), Trans(8, 56, 26, -1), - Trans(8, 57, 20, -1), - Trans(8, 61, 24, -1), - Trans(8, 62, 20, -1), + Trans(8, 57, 25, -1), + Trans(8, 58, 20, -1), + Trans(8, 62, 24, -1), Trans(8, 63, 20, -1), - Trans(8, 67, 27, -1), - Trans(8, 68, 28, -1), - Trans(8, 69, 24, -1), - Trans(8, 73, 20, -1), + Trans(8, 64, 20, -1), + Trans(8, 68, 27, -1), + Trans(8, 69, 28, -1), + Trans(8, 70, 24, -1), Trans(8, 74, 20, -1), - Trans(8, 76, 20, -1), + Trans(8, 75, 20, -1), Trans(8, 77, 20, -1), - Trans(8, 81, 20, -1), - Trans(8, 86, 20, -1), - Trans(8, 89, 29, -1), - Trans(8, 97, 20, -1), - Trans(8, 101, 20, -1), - Trans(8, 104, 20, -1), + Trans(8, 78, 20, -1), + Trans(8, 82, 20, -1), + Trans(8, 87, 20, -1), + Trans(8, 90, 29, -1), + Trans(8, 98, 20, -1), + Trans(8, 102, 20, -1), Trans(8, 105, 20, -1), - Trans(9, 5, 34, -1), - Trans(9, 38, 35, -1), - Trans(10, 5, 36, -1), - Trans(10, 40, 37, -1), - Trans(11, 5, 30, -1), - Trans(11, 106, 38, -1), - Trans(12, 5, 30, -1), - Trans(12, 106, 39, -1), - Trans(13, 5, 30, -1), - Trans(13, 106, 40, -1), - Trans(14, 5, 30, -1), - Trans(14, 106, 41, -1), - Trans(15, 5, 42, -1), - Trans(15, 6, 43, -1), - Trans(15, 7, 43, -1), - Trans(15, 8, 43, -1), - Trans(15, 9, 43, -1), - Trans(15, 10, 43, -1), - Trans(15, 11, 43, -1), + Trans(8, 106, 20, -1), + Trans(9, 5, 37, -1), + Trans(9, 38, 38, -1), + Trans(10, 5, 40, -1), + Trans(10, 40, 41, -1), + Trans(11, 5, 42, -1), + Trans(11, 107, 43, -1), + Trans(12, 5, 42, -1), + Trans(12, 107, 44, -1), + Trans(13, 5, 42, -1), + Trans(13, 107, 45, -1), + Trans(14, 5, 42, -1), + Trans(14, 107, 46, -1), + Trans(15, 5, 30, -1), + Trans(15, 6, 31, -1), + Trans(15, 7, 31, -1), + Trans(15, 8, 31, -1), + Trans(15, 9, 31, -1), + Trans(15, 10, 31, -1), + Trans(15, 11, 31, -1), Trans(15, 18, 27, -1), Trans(15, 24, 27, -1), Trans(15, 25, 27, -1), @@ -8317,1847 +8466,1850 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(15, 38, 27, -1), Trans(15, 40, 27, -1), Trans(15, 54, 27, -1), - Trans(15, 67, 27, -1), - Trans(15, 72, 27, -1), - Trans(15, 79, 43, -1), - Trans(15, 82, 43, -1), - Trans(15, 85, 27, -1), - Trans(15, 106, 44, -1), - Trans(16, 5, 45, -1), + Trans(15, 68, 27, -1), + Trans(15, 73, 27, -1), + Trans(15, 80, 31, -1), + Trans(15, 83, 31, -1), + Trans(15, 86, 27, -1), + Trans(15, 107, 32, -1), + Trans(16, 5, 35, -1), Trans(16, 31, 20, -1), - Trans(16, 106, 46, -1), - Trans(17, 5, 30, -1), - Trans(17, 106, 47, -1), - Trans(19, 0, 18, 733), - Trans(19, 29, 18, 733), - Trans(19, 36, 18, 733), - Trans(19, 38, 18, 733), - Trans(19, 42, 18, 733), - Trans(19, 47, 18, 733), - Trans(19, 48, 18, 733), - Trans(19, 49, 18, 733), - Trans(19, 56, 18, 733), - Trans(19, 57, 18, 733), - Trans(19, 61, 18, 733), - Trans(19, 62, 18, 733), - Trans(19, 63, 18, 733), - Trans(19, 67, 18, 733), - Trans(19, 68, 18, 733), - Trans(19, 69, 18, 733), - Trans(19, 73, 18, 733), - Trans(19, 74, 18, 733), - Trans(19, 76, 18, 733), - Trans(19, 77, 18, 733), - Trans(19, 81, 18, 733), - Trans(19, 86, 18, 733), - Trans(19, 89, 18, 733), - Trans(19, 97, 18, 733), - Trans(19, 101, 18, 733), - Trans(19, 104, 18, 733), - Trans(19, 105, 18, 733), - Trans(20, 5, 18, 733), - Trans(20, 106, 18, 733), - Trans(21, 5, 18, 733), - Trans(21, 39, 18, 733), - Trans(22, 5, 18, 733), - Trans(22, 29, 18, 733), - Trans(22, 36, 18, 733), - Trans(22, 38, 18, 733), - Trans(22, 42, 18, 733), - Trans(22, 47, 18, 733), - Trans(22, 48, 18, 733), - Trans(22, 49, 18, 733), - Trans(22, 57, 18, 733), - Trans(22, 61, 18, 733), - Trans(22, 62, 18, 733), - Trans(22, 63, 18, 733), - Trans(22, 67, 18, 733), - Trans(22, 68, 18, 733), - Trans(22, 69, 18, 733), - Trans(22, 73, 18, 733), - Trans(22, 74, 18, 733), - Trans(22, 76, 18, 733), - Trans(22, 77, 18, 733), - Trans(22, 81, 18, 733), - Trans(22, 86, 18, 733), - Trans(22, 89, 18, 733), - Trans(22, 97, 18, 733), - Trans(22, 101, 18, 733), - Trans(22, 104, 18, 733), - Trans(22, 105, 18, 733), - Trans(23, 0, 18, 733), - Trans(23, 5, 18, 733), - Trans(23, 29, 18, 733), - Trans(23, 36, 18, 733), - Trans(23, 38, 18, 733), - Trans(23, 42, 18, 733), - Trans(23, 47, 18, 733), - Trans(23, 48, 18, 733), - Trans(23, 49, 18, 733), - Trans(23, 56, 18, 733), - Trans(23, 57, 18, 733), - Trans(23, 61, 18, 733), - Trans(23, 62, 18, 733), - Trans(23, 63, 18, 733), - Trans(23, 67, 18, 733), - Trans(23, 68, 18, 733), - Trans(23, 69, 18, 733), - Trans(23, 73, 18, 733), - Trans(23, 74, 18, 733), - Trans(23, 76, 18, 733), - Trans(23, 77, 18, 733), - Trans(23, 81, 18, 733), - Trans(23, 86, 18, 733), - Trans(23, 89, 18, 733), - Trans(23, 97, 18, 733), - Trans(23, 101, 18, 733), - Trans(23, 104, 18, 733), - Trans(23, 105, 18, 733), - Trans(24, 5, 18, 733), - Trans(24, 38, 18, 733), - Trans(25, 5, 18, 733), - Trans(25, 40, 18, 733), - Trans(26, 5, 18, 733), - Trans(26, 29, 18, 733), - Trans(26, 38, 18, 733), - Trans(26, 67, 18, 733), - Trans(27, 5, 18, 733), - Trans(27, 6, 18, 733), - Trans(27, 7, 18, 733), - Trans(27, 8, 18, 733), - Trans(27, 9, 18, 733), - Trans(27, 10, 18, 733), - Trans(27, 11, 18, 733), - Trans(27, 18, 18, 733), - Trans(27, 24, 18, 733), - Trans(27, 25, 18, 733), - Trans(27, 26, 18, 733), - Trans(27, 27, 18, 733), - Trans(27, 31, 18, 733), - Trans(27, 38, 18, 733), - Trans(27, 40, 18, 733), - Trans(27, 54, 18, 733), - Trans(27, 67, 18, 733), - Trans(27, 72, 18, 733), - Trans(27, 79, 18, 733), - Trans(27, 82, 18, 733), - Trans(27, 85, 18, 733), - Trans(27, 106, 18, 733), - Trans(28, 5, 18, 733), - Trans(28, 31, 18, 733), - Trans(28, 106, 18, 733), - Trans(29, 5, 18, 733), - Trans(29, 74, 18, 733), - Trans(29, 81, 18, 733), - Trans(29, 86, 18, 733), - Trans(30, 106, 18, 733), - Trans(31, 39, 18, 733), - Trans(32, 29, 18, 733), - Trans(32, 36, 18, 733), - Trans(32, 38, 18, 733), - Trans(32, 42, 18, 733), - Trans(32, 47, 18, 733), - Trans(32, 48, 18, 733), - Trans(32, 49, 18, 733), - Trans(32, 57, 18, 733), - Trans(32, 61, 18, 733), - Trans(32, 62, 18, 733), - Trans(32, 63, 18, 733), - Trans(32, 67, 18, 733), - Trans(32, 68, 18, 733), - Trans(32, 69, 18, 733), - Trans(32, 73, 18, 733), - Trans(32, 76, 18, 733), - Trans(32, 77, 18, 733), - Trans(32, 97, 18, 733), - Trans(32, 101, 18, 733), - Trans(32, 104, 18, 733), - Trans(32, 105, 18, 733), - Trans(33, 5, 18, 733), - Trans(33, 29, 18, 733), - Trans(33, 36, 18, 733), - Trans(33, 38, 18, 733), - Trans(33, 42, 18, 733), - Trans(33, 47, 18, 733), - Trans(33, 48, 18, 733), - Trans(33, 49, 18, 733), - Trans(33, 57, 18, 733), - Trans(33, 61, 18, 733), - Trans(33, 62, 18, 733), - Trans(33, 63, 18, 733), - Trans(33, 67, 18, 733), - Trans(33, 68, 18, 733), - Trans(33, 69, 18, 733), - Trans(33, 73, 18, 733), - Trans(33, 76, 18, 733), - Trans(33, 77, 18, 733), - Trans(33, 97, 18, 733), - Trans(33, 101, 18, 733), - Trans(33, 104, 18, 733), - Trans(33, 105, 18, 733), - Trans(34, 38, 18, 733), - Trans(35, 5, 18, 733), - Trans(35, 31, 18, 733), - Trans(35, 42, 18, 733), - Trans(35, 54, 18, 733), - Trans(35, 62, 18, 733), - Trans(35, 66, 18, 733), - Trans(35, 67, 18, 733), - Trans(35, 76, 18, 733), - Trans(35, 92, 18, 733), - Trans(35, 93, 18, 733), - Trans(35, 106, 18, 733), - Trans(36, 40, 18, 733), - Trans(37, 5, 18, 733), - Trans(37, 83, 18, 733), - Trans(37, 88, 18, 733), - Trans(37, 106, 18, 733), - Trans(38, 5, 18, 733), - Trans(38, 34, 18, 733), - Trans(38, 35, 18, 733), - Trans(38, 39, 18, 733), - Trans(39, 5, 18, 733), - Trans(39, 29, 18, 733), - Trans(40, 5, 18, 733), - Trans(40, 75, 18, 733), - Trans(41, 5, 18, 733), - Trans(41, 13, 18, 733), - Trans(41, 36, 18, 733), - Trans(41, 38, 18, 733), - Trans(41, 40, 18, 733), - Trans(42, 6, 18, 733), - Trans(42, 7, 18, 733), - Trans(42, 8, 18, 733), - Trans(42, 9, 18, 733), - Trans(42, 10, 18, 733), - Trans(42, 11, 18, 733), - Trans(42, 18, 18, 733), - Trans(42, 24, 18, 733), - Trans(42, 25, 18, 733), - Trans(42, 26, 18, 733), - Trans(42, 27, 18, 733), - Trans(42, 31, 18, 733), - Trans(42, 38, 18, 733), - Trans(42, 40, 18, 733), - Trans(42, 54, 18, 733), - Trans(42, 67, 18, 733), - Trans(42, 72, 18, 733), - Trans(42, 79, 18, 733), - Trans(42, 82, 18, 733), - Trans(42, 85, 18, 733), - Trans(42, 106, 18, 733), - Trans(43, 5, 18, 733), - Trans(43, 16, 18, 733), - Trans(43, 17, 18, 733), - Trans(43, 18, 18, 733), - Trans(43, 19, 18, 733), - Trans(43, 20, 18, 733), - Trans(43, 21, 18, 733), - Trans(43, 22, 18, 733), - Trans(43, 23, 18, 733), - Trans(43, 24, 18, 733), - Trans(43, 25, 18, 733), - Trans(43, 26, 18, 733), - Trans(43, 29, 18, 733), - Trans(43, 46, 18, 733), - Trans(43, 52, 18, 733), - Trans(44, 5, 18, 733), - Trans(44, 16, 18, 733), - Trans(44, 17, 18, 733), - Trans(44, 18, 18, 733), - Trans(44, 19, 18, 733), - Trans(44, 20, 18, 733), - Trans(44, 21, 18, 733), - Trans(44, 22, 18, 733), - Trans(44, 23, 18, 733), - Trans(44, 24, 18, 733), - Trans(44, 25, 18, 733), - Trans(44, 26, 18, 733), - Trans(44, 28, 18, 733), - Trans(44, 29, 18, 733), - Trans(44, 34, 18, 733), - Trans(44, 39, 18, 733), - Trans(44, 40, 18, 733), - Trans(44, 46, 18, 733), - Trans(44, 52, 18, 733), - Trans(45, 31, 18, 733), - Trans(45, 106, 18, 733), - Trans(46, 5, 18, 733), - Trans(46, 28, 18, 733), - Trans(46, 45, 18, 733), - Trans(47, 5, 18, 733), - Trans(47, 35, 18, 733), + Trans(16, 107, 36, -1), + Trans(17, 5, 42, -1), + Trans(17, 107, 47, -1), + Trans(19, 0, 18, 737), + Trans(19, 29, 18, 737), + Trans(19, 36, 18, 737), + Trans(19, 38, 18, 737), + Trans(19, 42, 18, 737), + Trans(19, 47, 18, 737), + Trans(19, 48, 18, 737), + Trans(19, 49, 18, 737), + Trans(19, 56, 18, 737), + Trans(19, 57, 18, 737), + Trans(19, 58, 18, 737), + Trans(19, 62, 18, 737), + Trans(19, 63, 18, 737), + Trans(19, 64, 18, 737), + Trans(19, 68, 18, 737), + Trans(19, 69, 18, 737), + Trans(19, 70, 18, 737), + Trans(19, 74, 18, 737), + Trans(19, 75, 18, 737), + Trans(19, 77, 18, 737), + Trans(19, 78, 18, 737), + Trans(19, 82, 18, 737), + Trans(19, 87, 18, 737), + Trans(19, 90, 18, 737), + Trans(19, 98, 18, 737), + Trans(19, 102, 18, 737), + Trans(19, 105, 18, 737), + Trans(19, 106, 18, 737), + Trans(20, 5, 18, 737), + Trans(20, 107, 18, 737), + Trans(21, 5, 18, 737), + Trans(21, 39, 18, 737), + Trans(22, 5, 18, 737), + Trans(22, 29, 18, 737), + Trans(22, 36, 18, 737), + Trans(22, 38, 18, 737), + Trans(22, 42, 18, 737), + Trans(22, 47, 18, 737), + Trans(22, 48, 18, 737), + Trans(22, 49, 18, 737), + Trans(22, 57, 18, 737), + Trans(22, 58, 18, 737), + Trans(22, 62, 18, 737), + Trans(22, 63, 18, 737), + Trans(22, 64, 18, 737), + Trans(22, 68, 18, 737), + Trans(22, 69, 18, 737), + Trans(22, 70, 18, 737), + Trans(22, 74, 18, 737), + Trans(22, 75, 18, 737), + Trans(22, 77, 18, 737), + Trans(22, 78, 18, 737), + Trans(22, 82, 18, 737), + Trans(22, 87, 18, 737), + Trans(22, 90, 18, 737), + Trans(22, 98, 18, 737), + Trans(22, 102, 18, 737), + Trans(22, 105, 18, 737), + Trans(22, 106, 18, 737), + Trans(23, 0, 18, 737), + Trans(23, 5, 18, 737), + Trans(23, 29, 18, 737), + Trans(23, 36, 18, 737), + Trans(23, 38, 18, 737), + Trans(23, 42, 18, 737), + Trans(23, 47, 18, 737), + Trans(23, 48, 18, 737), + Trans(23, 49, 18, 737), + Trans(23, 56, 18, 737), + Trans(23, 57, 18, 737), + Trans(23, 58, 18, 737), + Trans(23, 62, 18, 737), + Trans(23, 63, 18, 737), + Trans(23, 64, 18, 737), + Trans(23, 68, 18, 737), + Trans(23, 69, 18, 737), + Trans(23, 70, 18, 737), + Trans(23, 74, 18, 737), + Trans(23, 75, 18, 737), + Trans(23, 77, 18, 737), + Trans(23, 78, 18, 737), + Trans(23, 82, 18, 737), + Trans(23, 87, 18, 737), + Trans(23, 90, 18, 737), + Trans(23, 98, 18, 737), + Trans(23, 102, 18, 737), + Trans(23, 105, 18, 737), + Trans(23, 106, 18, 737), + Trans(24, 5, 18, 737), + Trans(24, 38, 18, 737), + Trans(25, 5, 18, 737), + Trans(25, 40, 18, 737), + Trans(26, 5, 18, 737), + Trans(26, 29, 18, 737), + Trans(26, 38, 18, 737), + Trans(26, 68, 18, 737), + Trans(27, 5, 18, 737), + Trans(27, 6, 18, 737), + Trans(27, 7, 18, 737), + Trans(27, 8, 18, 737), + Trans(27, 9, 18, 737), + Trans(27, 10, 18, 737), + Trans(27, 11, 18, 737), + Trans(27, 18, 18, 737), + Trans(27, 24, 18, 737), + Trans(27, 25, 18, 737), + Trans(27, 26, 18, 737), + Trans(27, 27, 18, 737), + Trans(27, 31, 18, 737), + Trans(27, 38, 18, 737), + Trans(27, 40, 18, 737), + Trans(27, 54, 18, 737), + Trans(27, 68, 18, 737), + Trans(27, 73, 18, 737), + Trans(27, 80, 18, 737), + Trans(27, 83, 18, 737), + Trans(27, 86, 18, 737), + Trans(27, 107, 18, 737), + Trans(28, 5, 18, 737), + Trans(28, 31, 18, 737), + Trans(28, 107, 18, 737), + Trans(29, 5, 18, 737), + Trans(29, 75, 18, 737), + Trans(29, 82, 18, 737), + Trans(29, 87, 18, 737), + Trans(30, 6, 18, 737), + Trans(30, 7, 18, 737), + Trans(30, 8, 18, 737), + Trans(30, 9, 18, 737), + Trans(30, 10, 18, 737), + Trans(30, 11, 18, 737), + Trans(30, 18, 18, 737), + Trans(30, 24, 18, 737), + Trans(30, 25, 18, 737), + Trans(30, 26, 18, 737), + Trans(30, 27, 18, 737), + Trans(30, 31, 18, 737), + Trans(30, 38, 18, 737), + Trans(30, 40, 18, 737), + Trans(30, 54, 18, 737), + Trans(30, 68, 18, 737), + Trans(30, 73, 18, 737), + Trans(30, 80, 18, 737), + Trans(30, 83, 18, 737), + Trans(30, 86, 18, 737), + Trans(30, 107, 18, 737), + Trans(31, 5, 18, 737), + Trans(31, 16, 18, 737), + Trans(31, 17, 18, 737), + Trans(31, 18, 18, 737), + Trans(31, 19, 18, 737), + Trans(31, 20, 18, 737), + Trans(31, 21, 18, 737), + Trans(31, 22, 18, 737), + Trans(31, 23, 18, 737), + Trans(31, 24, 18, 737), + Trans(31, 25, 18, 737), + Trans(31, 26, 18, 737), + Trans(31, 29, 18, 737), + Trans(31, 46, 18, 737), + Trans(31, 52, 18, 737), + Trans(32, 5, 18, 737), + Trans(32, 16, 18, 737), + Trans(32, 17, 18, 737), + Trans(32, 18, 18, 737), + Trans(32, 19, 18, 737), + Trans(32, 20, 18, 737), + Trans(32, 21, 18, 737), + Trans(32, 22, 18, 737), + Trans(32, 23, 18, 737), + Trans(32, 24, 18, 737), + Trans(32, 25, 18, 737), + Trans(32, 26, 18, 737), + Trans(32, 28, 18, 737), + Trans(32, 29, 18, 737), + Trans(32, 34, 18, 737), + Trans(32, 39, 18, 737), + Trans(32, 40, 18, 737), + Trans(32, 46, 18, 737), + Trans(32, 52, 18, 737), + Trans(33, 29, 18, 737), + Trans(33, 36, 18, 737), + Trans(33, 38, 18, 737), + Trans(33, 42, 18, 737), + Trans(33, 47, 18, 737), + Trans(33, 48, 18, 737), + Trans(33, 49, 18, 737), + Trans(33, 58, 18, 737), + Trans(33, 62, 18, 737), + Trans(33, 63, 18, 737), + Trans(33, 64, 18, 737), + Trans(33, 68, 18, 737), + Trans(33, 69, 18, 737), + Trans(33, 70, 18, 737), + Trans(33, 74, 18, 737), + Trans(33, 77, 18, 737), + Trans(33, 78, 18, 737), + Trans(33, 98, 18, 737), + Trans(33, 102, 18, 737), + Trans(33, 105, 18, 737), + Trans(33, 106, 18, 737), + Trans(34, 5, 18, 737), + Trans(34, 29, 18, 737), + Trans(34, 36, 18, 737), + Trans(34, 38, 18, 737), + Trans(34, 42, 18, 737), + Trans(34, 47, 18, 737), + Trans(34, 48, 18, 737), + Trans(34, 49, 18, 737), + Trans(34, 58, 18, 737), + Trans(34, 62, 18, 737), + Trans(34, 63, 18, 737), + Trans(34, 64, 18, 737), + Trans(34, 68, 18, 737), + Trans(34, 69, 18, 737), + Trans(34, 70, 18, 737), + Trans(34, 74, 18, 737), + Trans(34, 77, 18, 737), + Trans(34, 78, 18, 737), + Trans(34, 98, 18, 737), + Trans(34, 102, 18, 737), + Trans(34, 105, 18, 737), + Trans(34, 106, 18, 737), + Trans(35, 31, 18, 737), + Trans(35, 107, 18, 737), + Trans(36, 5, 18, 737), + Trans(36, 28, 18, 737), + Trans(36, 45, 18, 737), + Trans(37, 38, 18, 737), + Trans(38, 5, 18, 737), + Trans(38, 31, 18, 737), + Trans(38, 42, 18, 737), + Trans(38, 54, 18, 737), + Trans(38, 63, 18, 737), + Trans(38, 67, 18, 737), + Trans(38, 68, 18, 737), + Trans(38, 77, 18, 737), + Trans(38, 93, 18, 737), + Trans(38, 94, 18, 737), + Trans(38, 107, 18, 737), + Trans(39, 39, 18, 737), + Trans(40, 40, 18, 737), + Trans(41, 5, 18, 737), + Trans(41, 84, 18, 737), + Trans(41, 89, 18, 737), + Trans(41, 107, 18, 737), + Trans(42, 107, 18, 737), + Trans(43, 5, 18, 737), + Trans(43, 34, 18, 737), + Trans(43, 35, 18, 737), + Trans(43, 39, 18, 737), + Trans(44, 5, 18, 737), + Trans(44, 29, 18, 737), + Trans(45, 5, 18, 737), + Trans(45, 76, 18, 737), + Trans(46, 5, 18, 737), + Trans(46, 13, 18, 737), + Trans(46, 36, 18, 737), + Trans(46, 38, 18, 737), + Trans(46, 40, 18, 737), + Trans(47, 5, 18, 737), + Trans(47, 35, 18, 737), ], k: 3, }, - /* 387 - "ModuleIfDeclarationOpt" */ + /* 397 - "ModuleIfDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 735), - Trans(0, 36, 2, 735), - Trans(0, 38, 2, 735), - Trans(0, 42, 2, 735), - Trans(0, 47, 2, 735), - Trans(0, 48, 2, 735), - Trans(0, 49, 2, 735), - Trans(0, 56, 1, 734), - Trans(0, 57, 2, 735), - Trans(0, 61, 2, 735), - Trans(0, 62, 2, 735), - Trans(0, 63, 2, 735), - Trans(0, 67, 2, 735), - Trans(0, 68, 2, 735), - Trans(0, 69, 2, 735), - Trans(0, 73, 2, 735), - Trans(0, 76, 2, 735), - Trans(0, 77, 2, 735), - Trans(0, 97, 2, 735), - Trans(0, 101, 2, 735), - Trans(0, 104, 2, 735), - Trans(0, 105, 2, 735), + Trans(0, 29, 2, 739), + Trans(0, 36, 2, 739), + Trans(0, 38, 2, 739), + Trans(0, 42, 2, 739), + Trans(0, 47, 2, 739), + Trans(0, 48, 2, 739), + Trans(0, 49, 2, 739), + Trans(0, 56, 1, 738), + Trans(0, 58, 2, 739), + Trans(0, 62, 2, 739), + Trans(0, 63, 2, 739), + Trans(0, 64, 2, 739), + Trans(0, 68, 2, 739), + Trans(0, 69, 2, 739), + Trans(0, 70, 2, 739), + Trans(0, 74, 2, 739), + Trans(0, 77, 2, 739), + Trans(0, 78, 2, 739), + Trans(0, 98, 2, 739), + Trans(0, 102, 2, 739), + Trans(0, 105, 2, 739), + Trans(0, 106, 2, 739), ], k: 1, }, - /* 388 - "ModuleItem" */ + /* 398 - "ModuleItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 14, 767), - Trans(0, 47, 7, 760), - Trans(0, 48, 6, 759), - Trans(0, 49, 8, 761), - Trans(0, 57, 12, 765), - Trans(0, 61, 17, 770), - Trans(0, 62, 11, 764), - Trans(0, 63, 9, 762), - Trans(0, 67, 10, 763), - Trans(0, 68, 15, 768), - Trans(0, 69, 16, 769), - Trans(0, 73, 3, 756), - Trans(0, 76, 1, 754), - Trans(0, 77, 5, 758), - Trans(0, 97, 13, 766), - Trans(0, 101, 4, 757), - Trans(0, 104, 13, 766), - Trans(0, 105, 2, 755), + Trans(0, 29, 14, 771), + Trans(0, 47, 7, 764), + Trans(0, 48, 6, 763), + Trans(0, 49, 8, 765), + Trans(0, 58, 12, 769), + Trans(0, 62, 17, 774), + Trans(0, 63, 11, 768), + Trans(0, 64, 9, 766), + Trans(0, 68, 10, 767), + Trans(0, 69, 15, 772), + Trans(0, 70, 16, 773), + Trans(0, 74, 3, 760), + Trans(0, 77, 1, 758), + Trans(0, 78, 5, 762), + Trans(0, 98, 13, 770), + Trans(0, 102, 4, 761), + Trans(0, 105, 13, 770), + Trans(0, 106, 2, 759), ], k: 1, }, - /* 389 - "ModuleNamedBlock" */ + /* 399 - "ModuleNamedBlock" */ LookaheadDFA { - prod0: 739, + prod0: 743, transitions: &[], k: 0, }, - /* 390 - "ModuleNamedBlockList" */ + /* 400 - "ModuleNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 740), - Trans(0, 36, 1, 740), - Trans(0, 38, 1, 740), - Trans(0, 42, 2, 741), - Trans(0, 47, 1, 740), - Trans(0, 48, 1, 740), - Trans(0, 49, 1, 740), - Trans(0, 57, 1, 740), - Trans(0, 61, 1, 740), - Trans(0, 62, 1, 740), - Trans(0, 63, 1, 740), - Trans(0, 67, 1, 740), - Trans(0, 68, 1, 740), - Trans(0, 69, 1, 740), - Trans(0, 73, 1, 740), - Trans(0, 76, 1, 740), - Trans(0, 77, 1, 740), - Trans(0, 97, 1, 740), - Trans(0, 101, 1, 740), - Trans(0, 104, 1, 740), - Trans(0, 105, 1, 740), + Trans(0, 29, 1, 744), + Trans(0, 36, 1, 744), + Trans(0, 38, 1, 744), + Trans(0, 42, 2, 745), + Trans(0, 47, 1, 744), + Trans(0, 48, 1, 744), + Trans(0, 49, 1, 744), + Trans(0, 58, 1, 744), + Trans(0, 62, 1, 744), + Trans(0, 63, 1, 744), + Trans(0, 64, 1, 744), + Trans(0, 68, 1, 744), + Trans(0, 69, 1, 744), + Trans(0, 70, 1, 744), + Trans(0, 74, 1, 744), + Trans(0, 77, 1, 744), + Trans(0, 78, 1, 744), + Trans(0, 98, 1, 744), + Trans(0, 102, 1, 744), + Trans(0, 105, 1, 744), + Trans(0, 106, 1, 744), ], k: 1, }, - /* 391 - "ModuleOptionalNamedBlock" */ + /* 401 - "ModuleOptionalNamedBlock" */ LookaheadDFA { - prod0: 742, + prod0: 746, transitions: &[], k: 0, }, - /* 392 - "ModuleOptionalNamedBlockList" */ + /* 402 - "ModuleOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 1, 743), - Trans(0, 36, 1, 743), - Trans(0, 38, 1, 743), - Trans(0, 42, 2, 744), - Trans(0, 47, 1, 743), - Trans(0, 48, 1, 743), - Trans(0, 49, 1, 743), - Trans(0, 57, 1, 743), - Trans(0, 61, 1, 743), - Trans(0, 62, 1, 743), - Trans(0, 63, 1, 743), - Trans(0, 67, 1, 743), - Trans(0, 68, 1, 743), - Trans(0, 69, 1, 743), - Trans(0, 73, 1, 743), - Trans(0, 76, 1, 743), - Trans(0, 77, 1, 743), - Trans(0, 97, 1, 743), - Trans(0, 101, 1, 743), - Trans(0, 104, 1, 743), - Trans(0, 105, 1, 743), + Trans(0, 29, 1, 747), + Trans(0, 36, 1, 747), + Trans(0, 38, 1, 747), + Trans(0, 42, 2, 748), + Trans(0, 47, 1, 747), + Trans(0, 48, 1, 747), + Trans(0, 49, 1, 747), + Trans(0, 58, 1, 747), + Trans(0, 62, 1, 747), + Trans(0, 63, 1, 747), + Trans(0, 64, 1, 747), + Trans(0, 68, 1, 747), + Trans(0, 69, 1, 747), + Trans(0, 70, 1, 747), + Trans(0, 74, 1, 747), + Trans(0, 77, 1, 747), + Trans(0, 78, 1, 747), + Trans(0, 98, 1, 747), + Trans(0, 102, 1, 747), + Trans(0, 105, 1, 747), + Trans(0, 106, 1, 747), ], k: 1, }, - /* 393 - "ModuleOptionalNamedBlockOpt" */ + /* 403 - "ModuleOptionalNamedBlockOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 745), Trans(0, 38, 2, 746)], + transitions: &[Trans(0, 29, 1, 749), Trans(0, 38, 2, 750)], k: 1, }, - /* 394 - "ModuleTerm" */ + /* 404 - "ModuleTerm" */ LookaheadDFA { - prod0: 76, + prod0: 77, transitions: &[], k: 0, }, - /* 395 - "ModuleToken" */ + /* 405 - "ModuleToken" */ LookaheadDFA { - prod0: 181, + prod0: 184, transitions: &[], k: 0, }, - /* 396 - "Msb" */ + /* 406 - "Msb" */ LookaheadDFA { - prod0: 285, + prod0: 289, transitions: &[], k: 0, }, - /* 397 - "MsbTerm" */ + /* 407 - "MsbTerm" */ LookaheadDFA { - prod0: 77, + prod0: 78, transitions: &[], k: 0, }, - /* 398 - "MsbToken" */ + /* 408 - "MsbToken" */ LookaheadDFA { - prod0: 182, + prod0: 185, transitions: &[], k: 0, }, - /* 399 - "Negedge" */ + /* 409 - "Negedge" */ LookaheadDFA { - prod0: 286, + prod0: 290, transitions: &[], k: 0, }, - /* 400 - "NegedgeTerm" */ + /* 410 - "NegedgeTerm" */ LookaheadDFA { - prod0: 78, + prod0: 79, transitions: &[], k: 0, }, - /* 401 - "NegedgeToken" */ + /* 411 - "NegedgeToken" */ LookaheadDFA { - prod0: 183, + prod0: 186, transitions: &[], k: 0, }, - /* 402 - "Number" */ + /* 412 - "Number" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 7, 2, 310), - Trans(0, 8, 2, 310), - Trans(0, 9, 1, 309), - Trans(0, 10, 1, 309), - Trans(0, 11, 1, 309), + Trans(0, 7, 2, 314), + Trans(0, 8, 2, 314), + Trans(0, 9, 1, 313), + Trans(0, 10, 1, 313), + Trans(0, 11, 1, 313), ], k: 1, }, - /* 403 - "Operator01" */ + /* 413 - "Operator01" */ LookaheadDFA { - prod0: 215, + prod0: 218, transitions: &[], k: 0, }, - /* 404 - "Operator01Term" */ + /* 414 - "Operator01Term" */ LookaheadDFA { prod0: 18, transitions: &[], k: 0, }, - /* 405 - "Operator01Token" */ + /* 415 - "Operator01Token" */ LookaheadDFA { - prod0: 113, + prod0: 115, transitions: &[], k: 0, }, - /* 406 - "Operator02" */ + /* 416 - "Operator02" */ LookaheadDFA { - prod0: 216, + prod0: 219, transitions: &[], k: 0, }, - /* 407 - "Operator02Term" */ + /* 417 - "Operator02Term" */ LookaheadDFA { prod0: 17, transitions: &[], k: 0, }, - /* 408 - "Operator02Token" */ + /* 418 - "Operator02Token" */ LookaheadDFA { - prod0: 114, + prod0: 116, transitions: &[], k: 0, }, - /* 409 - "Operator03" */ + /* 419 - "Operator03" */ LookaheadDFA { - prod0: 217, + prod0: 220, transitions: &[], k: 0, }, - /* 410 - "Operator03Term" */ + /* 420 - "Operator03Term" */ LookaheadDFA { prod0: 21, transitions: &[], k: 0, }, - /* 411 - "Operator03Token" */ + /* 421 - "Operator03Token" */ LookaheadDFA { - prod0: 115, + prod0: 117, transitions: &[], k: 0, }, - /* 412 - "Operator04" */ + /* 422 - "Operator04" */ LookaheadDFA { - prod0: 218, + prod0: 221, transitions: &[], k: 0, }, - /* 413 - "Operator04Term" */ + /* 423 - "Operator04Term" */ LookaheadDFA { prod0: 20, transitions: &[], k: 0, }, - /* 414 - "Operator04Token" */ + /* 424 - "Operator04Token" */ LookaheadDFA { - prod0: 116, + prod0: 118, transitions: &[], k: 0, }, - /* 415 - "Operator05" */ + /* 425 - "Operator05" */ LookaheadDFA { - prod0: 219, + prod0: 222, transitions: &[], k: 0, }, - /* 416 - "Operator05Term" */ + /* 426 - "Operator05Term" */ LookaheadDFA { prod0: 19, transitions: &[], k: 0, }, - /* 417 - "Operator05Token" */ + /* 427 - "Operator05Token" */ LookaheadDFA { - prod0: 117, + prod0: 119, transitions: &[], k: 0, }, - /* 418 - "Operator06" */ + /* 428 - "Operator06" */ LookaheadDFA { - prod0: 220, + prod0: 223, transitions: &[], k: 0, }, - /* 419 - "Operator06Term" */ + /* 429 - "Operator06Term" */ LookaheadDFA { prod0: 16, transitions: &[], k: 0, }, - /* 420 - "Operator06Token" */ + /* 430 - "Operator06Token" */ LookaheadDFA { - prod0: 118, + prod0: 120, transitions: &[], k: 0, }, - /* 421 - "Operator07" */ + /* 431 - "Operator07" */ LookaheadDFA { - prod0: 221, + prod0: 224, transitions: &[], k: 0, }, - /* 422 - "Operator07Term" */ + /* 432 - "Operator07Term" */ LookaheadDFA { prod0: 15, transitions: &[], k: 0, }, - /* 423 - "Operator07Token" */ + /* 433 - "Operator07Token" */ LookaheadDFA { - prod0: 119, + prod0: 121, transitions: &[], k: 0, }, - /* 424 - "Operator08" */ + /* 434 - "Operator08" */ LookaheadDFA { - prod0: 222, + prod0: 225, transitions: &[], k: 0, }, - /* 425 - "Operator08Term" */ + /* 435 - "Operator08Term" */ LookaheadDFA { prod0: 14, transitions: &[], k: 0, }, - /* 426 - "Operator08Token" */ + /* 436 - "Operator08Token" */ LookaheadDFA { - prod0: 120, + prod0: 122, transitions: &[], k: 0, }, - /* 427 - "Operator09" */ + /* 437 - "Operator09" */ LookaheadDFA { - prod0: 223, + prod0: 226, transitions: &[], k: 0, }, - /* 428 - "Operator09Term" */ + /* 438 - "Operator09Term" */ LookaheadDFA { prod0: 13, transitions: &[], k: 0, }, - /* 429 - "Operator09Token" */ + /* 439 - "Operator09Token" */ LookaheadDFA { - prod0: 121, + prod0: 123, transitions: &[], k: 0, }, - /* 430 - "Operator10" */ + /* 440 - "Operator10" */ LookaheadDFA { - prod0: 224, + prod0: 227, transitions: &[], k: 0, }, - /* 431 - "Operator10Term" */ + /* 441 - "Operator10Term" */ LookaheadDFA { prod0: 12, transitions: &[], k: 0, }, - /* 432 - "Operator10Token" */ + /* 442 - "Operator10Token" */ LookaheadDFA { - prod0: 122, + prod0: 124, transitions: &[], k: 0, }, - /* 433 - "Operator11" */ + /* 443 - "Operator11" */ LookaheadDFA { - prod0: 225, + prod0: 228, transitions: &[], k: 0, }, - /* 434 - "Operator11Term" */ + /* 444 - "Operator11Term" */ LookaheadDFA { prod0: 11, transitions: &[], k: 0, }, - /* 435 - "Operator11Token" */ + /* 445 - "Operator11Token" */ LookaheadDFA { - prod0: 123, + prod0: 125, transitions: &[], k: 0, }, - /* 436 - "Output" */ + /* 446 - "Output" */ LookaheadDFA { - prod0: 287, + prod0: 291, transitions: &[], k: 0, }, - /* 437 - "OutputTerm" */ + /* 447 - "OutputTerm" */ LookaheadDFA { - prod0: 79, + prod0: 80, transitions: &[], k: 0, }, - /* 438 - "OutputToken" */ + /* 448 - "OutputToken" */ LookaheadDFA { - prod0: 184, + prod0: 187, transitions: &[], k: 0, }, - /* 439 - "Outside" */ + /* 449 - "Outside" */ LookaheadDFA { - prod0: 288, + prod0: 292, transitions: &[], k: 0, }, - /* 440 - "OutsideExpression" */ + /* 450 - "OutsideExpression" */ LookaheadDFA { - prod0: 437, + prod0: 441, transitions: &[], k: 0, }, - /* 441 - "OutsideTerm" */ + /* 451 - "OutsideTerm" */ LookaheadDFA { - prod0: 80, + prod0: 81, transitions: &[], k: 0, }, - /* 442 - "OutsideToken" */ + /* 452 - "OutsideToken" */ LookaheadDFA { - prod0: 185, + prod0: 188, transitions: &[], k: 0, }, - /* 443 - "Package" */ + /* 453 - "Package" */ LookaheadDFA { - prod0: 289, + prod0: 293, transitions: &[], k: 0, }, - /* 444 - "PackageDeclaration" */ + /* 454 - "PackageDeclaration" */ LookaheadDFA { - prod0: 815, + prod0: 819, transitions: &[], k: 0, }, - /* 445 - "PackageDeclarationList" */ + /* 455 - "PackageDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 816), - Trans(0, 38, 1, 816), - Trans(0, 42, 2, 817), - Trans(0, 57, 1, 816), - Trans(0, 58, 1, 816), - Trans(0, 61, 1, 816), - Trans(0, 63, 1, 816), - Trans(0, 68, 1, 816), - Trans(0, 69, 1, 816), - Trans(0, 77, 1, 816), - Trans(0, 97, 1, 816), - Trans(0, 101, 1, 816), - Trans(0, 104, 1, 816), - Trans(0, 105, 1, 816), + Trans(0, 36, 1, 820), + Trans(0, 38, 1, 820), + Trans(0, 42, 2, 821), + Trans(0, 58, 1, 820), + Trans(0, 59, 1, 820), + Trans(0, 62, 1, 820), + Trans(0, 64, 1, 820), + Trans(0, 69, 1, 820), + Trans(0, 70, 1, 820), + Trans(0, 78, 1, 820), + Trans(0, 98, 1, 820), + Trans(0, 102, 1, 820), + Trans(0, 105, 1, 820), + Trans(0, 106, 1, 820), ], k: 1, }, - /* 446 - "PackageDeclarationOpt" */ + /* 456 - "PackageDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 86, 2, 819), Trans(0, 89, 1, 818)], + transitions: &[Trans(0, 87, 2, 823), Trans(0, 90, 1, 822)], k: 1, }, - /* 447 - "PackageGroup" */ + /* 457 - "PackageGroup" */ LookaheadDFA { - prod0: 820, + prod0: 824, transitions: &[], k: 0, }, - /* 448 - "PackageGroupGroup" */ + /* 458 - "PackageGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 821), - Trans(0, 57, 2, 824), - Trans(0, 58, 2, 824), - Trans(0, 61, 2, 824), - Trans(0, 63, 2, 824), - Trans(0, 68, 2, 824), - Trans(0, 69, 2, 824), - Trans(0, 77, 2, 824), - Trans(0, 97, 2, 824), - Trans(0, 101, 2, 824), - Trans(0, 104, 2, 824), - Trans(0, 105, 2, 824), + Trans(0, 38, 1, 825), + Trans(0, 58, 2, 828), + Trans(0, 59, 2, 828), + Trans(0, 62, 2, 828), + Trans(0, 64, 2, 828), + Trans(0, 69, 2, 828), + Trans(0, 70, 2, 828), + Trans(0, 78, 2, 828), + Trans(0, 98, 2, 828), + Trans(0, 102, 2, 828), + Trans(0, 105, 2, 828), + Trans(0, 106, 2, 828), ], k: 1, }, - /* 449 - "PackageGroupGroupList" */ + /* 459 - "PackageGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 822), - Trans(0, 38, 1, 822), - Trans(0, 42, 2, 823), - Trans(0, 57, 1, 822), - Trans(0, 58, 1, 822), - Trans(0, 61, 1, 822), - Trans(0, 63, 1, 822), - Trans(0, 68, 1, 822), - Trans(0, 69, 1, 822), - Trans(0, 77, 1, 822), - Trans(0, 97, 1, 822), - Trans(0, 101, 1, 822), - Trans(0, 104, 1, 822), - Trans(0, 105, 1, 822), + Trans(0, 36, 1, 826), + Trans(0, 38, 1, 826), + Trans(0, 42, 2, 827), + Trans(0, 58, 1, 826), + Trans(0, 59, 1, 826), + Trans(0, 62, 1, 826), + Trans(0, 64, 1, 826), + Trans(0, 69, 1, 826), + Trans(0, 70, 1, 826), + Trans(0, 78, 1, 826), + Trans(0, 98, 1, 826), + Trans(0, 102, 1, 826), + Trans(0, 105, 1, 826), + Trans(0, 106, 1, 826), ], k: 1, }, - /* 450 - "PackageGroupList" */ + /* 460 - "PackageGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 825), - Trans(0, 38, 2, 826), - Trans(0, 57, 2, 826), - Trans(0, 58, 2, 826), - Trans(0, 61, 2, 826), - Trans(0, 63, 2, 826), - Trans(0, 68, 2, 826), - Trans(0, 69, 2, 826), - Trans(0, 77, 2, 826), - Trans(0, 97, 2, 826), - Trans(0, 101, 2, 826), - Trans(0, 104, 2, 826), - Trans(0, 105, 2, 826), + Trans(0, 36, 1, 829), + Trans(0, 38, 2, 830), + Trans(0, 58, 2, 830), + Trans(0, 59, 2, 830), + Trans(0, 62, 2, 830), + Trans(0, 64, 2, 830), + Trans(0, 69, 2, 830), + Trans(0, 70, 2, 830), + Trans(0, 78, 2, 830), + Trans(0, 98, 2, 830), + Trans(0, 102, 2, 830), + Trans(0, 105, 2, 830), + Trans(0, 106, 2, 830), ], k: 1, }, - /* 451 - "PackageItem" */ + /* 461 - "PackageItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 57, 4, 830), - Trans(0, 58, 8, 834), - Trans(0, 61, 10, 836), - Trans(0, 63, 6, 832), - Trans(0, 68, 7, 833), - Trans(0, 69, 9, 835), - Trans(0, 77, 2, 828), - Trans(0, 97, 5, 831), - Trans(0, 101, 3, 829), - Trans(0, 104, 5, 831), - Trans(0, 105, 1, 827), + Trans(0, 58, 4, 834), + Trans(0, 59, 8, 838), + Trans(0, 62, 10, 840), + Trans(0, 64, 6, 836), + Trans(0, 69, 7, 837), + Trans(0, 70, 9, 839), + Trans(0, 78, 2, 832), + Trans(0, 98, 5, 835), + Trans(0, 102, 3, 833), + Trans(0, 105, 5, 835), + Trans(0, 106, 1, 831), ], k: 1, }, - /* 452 - "PackageTerm" */ + /* 462 - "PackageTerm" */ LookaheadDFA { - prod0: 81, + prod0: 82, transitions: &[], k: 0, }, - /* 453 - "PackageToken" */ + /* 463 - "PackageToken" */ LookaheadDFA { - prod0: 186, + prod0: 189, transitions: &[], k: 0, }, - /* 454 - "Param" */ + /* 464 - "Param" */ LookaheadDFA { - prod0: 290, + prod0: 294, transitions: &[], k: 0, }, - /* 455 - "ParamTerm" */ + /* 465 - "ParamTerm" */ LookaheadDFA { - prod0: 82, + prod0: 83, transitions: &[], k: 0, }, - /* 456 - "ParamToken" */ + /* 466 - "ParamToken" */ LookaheadDFA { - prod0: 187, + prod0: 190, transitions: &[], k: 0, }, - /* 457 - "PlusColon" */ + /* 467 - "PlusColon" */ LookaheadDFA { - prod0: 242, + prod0: 245, transitions: &[], k: 0, }, - /* 458 - "PlusColonTerm" */ + /* 468 - "PlusColonTerm" */ LookaheadDFA { prod0: 9, transitions: &[], k: 0, }, - /* 459 - "PlusColonToken" */ + /* 469 - "PlusColonToken" */ LookaheadDFA { - prod0: 140, + prod0: 142, transitions: &[], k: 0, }, - /* 460 - "PortDeclaration" */ + /* 470 - "PortDeclaration" */ LookaheadDFA { - prod0: 680, + prod0: 684, transitions: &[], k: 0, }, - /* 461 - "PortDeclarationGroup" */ + /* 471 - "PortDeclarationGroup" */ LookaheadDFA { - prod0: 688, + prod0: 692, transitions: &[], k: 0, }, - /* 462 - "PortDeclarationGroupGroup" */ + /* 472 - "PortDeclarationGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 689), Trans(0, 106, 2, 690)], + transitions: &[Trans(0, 38, 1, 693), Trans(0, 107, 2, 694)], k: 1, }, - /* 463 - "PortDeclarationGroupList" */ + /* 473 - "PortDeclarationGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 691), - Trans(0, 38, 2, 692), - Trans(0, 106, 2, 692), + Trans(0, 36, 1, 695), + Trans(0, 38, 2, 696), + Trans(0, 107, 2, 696), ], k: 1, }, - /* 464 - "PortDeclarationItem" */ + /* 474 - "PortDeclarationItem" */ LookaheadDFA { - prod0: 693, + prod0: 697, transitions: &[], k: 0, }, - /* 465 - "PortDeclarationItemGroup" */ + /* 475 - "PortDeclarationItemGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 70, 1, 694), - Trans(0, 71, 1, 694), - Trans(0, 74, 2, 695), - Trans(0, 80, 1, 694), - Trans(0, 84, 1, 694), - Trans(0, 90, 1, 694), + Trans(0, 71, 1, 698), + Trans(0, 72, 1, 698), + Trans(0, 75, 2, 699), + Trans(0, 81, 1, 698), + Trans(0, 85, 1, 698), + Trans(0, 91, 1, 698), ], k: 1, }, - /* 466 - "PortDeclarationItemOpt" */ + /* 476 - "PortDeclarationItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 697), - Trans(0, 39, 1, 696), - Trans(0, 42, 2, 697), - Trans(0, 44, 2, 697), + Trans(0, 30, 2, 701), + Trans(0, 39, 1, 700), + Trans(0, 42, 2, 701), + Trans(0, 44, 2, 701), ], k: 1, }, - /* 467 - "PortDeclarationList" */ + /* 477 - "PortDeclarationList" */ LookaheadDFA { - prod0: 683, + prod0: 687, transitions: &[], k: 0, }, - /* 468 - "PortDeclarationListList" */ + /* 478 - "PortDeclarationListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 7, -1), Trans(0, 44, 8, -1), - Trans(1, 5, 2, -1), - Trans(1, 36, 4, -1), - Trans(1, 38, 5, -1), - Trans(1, 42, 9, -1), - Trans(1, 44, 10, -1), - Trans(1, 106, 6, -1), - Trans(2, 36, 3, 684), - Trans(2, 38, 3, 684), - Trans(2, 42, 11, 685), - Trans(2, 44, 11, 685), - Trans(2, 106, 3, 684), - Trans(4, 5, 3, 684), - Trans(4, 39, 3, 684), - Trans(5, 5, 3, 684), - Trans(5, 36, 3, 684), - Trans(5, 38, 3, 684), - Trans(5, 106, 3, 684), - Trans(6, 5, 3, 684), - Trans(6, 29, 3, 684), - Trans(7, 5, 12, -1), - Trans(7, 30, 13, -1), - Trans(7, 42, 9, -1), - Trans(7, 44, 10, -1), - Trans(8, 5, 14, -1), - Trans(8, 13, 15, -1), - Trans(8, 38, 16, -1), - Trans(9, 5, 11, 685), - Trans(9, 30, 11, 685), - Trans(9, 42, 11, 685), - Trans(9, 44, 11, 685), - Trans(10, 5, 11, 685), - Trans(10, 13, 11, 685), - Trans(10, 38, 11, 685), - Trans(12, 30, 11, 685), - Trans(12, 42, 11, 685), - Trans(12, 44, 11, 685), - Trans(13, 5, 11, 685), - Trans(13, 36, 11, 685), - Trans(13, 38, 11, 685), - Trans(13, 42, 11, 685), - Trans(13, 44, 11, 685), - Trans(13, 106, 11, 685), - Trans(14, 13, 11, 685), - Trans(14, 38, 11, 685), - Trans(15, 5, 11, 685), - Trans(15, 31, 11, 685), - Trans(15, 53, 11, 685), - Trans(15, 59, 11, 685), - Trans(15, 60, 11, 685), - Trans(15, 64, 11, 685), - Trans(15, 65, 11, 685), - Trans(15, 78, 11, 685), - Trans(15, 94, 11, 685), - Trans(15, 96, 11, 685), - Trans(15, 100, 11, 685), - Trans(15, 102, 11, 685), - Trans(15, 103, 11, 685), - Trans(15, 106, 11, 685), - Trans(16, 5, 11, 685), - Trans(16, 29, 11, 685), - Trans(16, 31, 11, 685), - Trans(16, 36, 11, 685), - Trans(16, 38, 11, 685), - Trans(16, 42, 11, 685), - Trans(16, 47, 11, 685), - Trans(16, 48, 11, 685), - Trans(16, 49, 11, 685), - Trans(16, 54, 11, 685), - Trans(16, 57, 11, 685), - Trans(16, 61, 11, 685), - Trans(16, 62, 11, 685), - Trans(16, 63, 11, 685), - Trans(16, 66, 11, 685), - Trans(16, 67, 11, 685), - Trans(16, 68, 11, 685), - Trans(16, 69, 11, 685), - Trans(16, 73, 11, 685), - Trans(16, 76, 11, 685), - Trans(16, 77, 11, 685), - Trans(16, 92, 11, 685), - Trans(16, 93, 11, 685), - Trans(16, 97, 11, 685), - Trans(16, 101, 11, 685), - Trans(16, 104, 11, 685), - Trans(16, 105, 11, 685), - Trans(16, 106, 11, 685), + Trans(1, 5, 6, -1), + Trans(1, 36, 2, -1), + Trans(1, 38, 4, -1), + Trans(1, 42, 15, -1), + Trans(1, 44, 16, -1), + Trans(1, 107, 5, -1), + Trans(2, 5, 3, 688), + Trans(2, 39, 3, 688), + Trans(4, 5, 3, 688), + Trans(4, 36, 3, 688), + Trans(4, 38, 3, 688), + Trans(4, 107, 3, 688), + Trans(5, 5, 3, 688), + Trans(5, 29, 3, 688), + Trans(6, 36, 3, 688), + Trans(6, 38, 3, 688), + Trans(6, 42, 12, 689), + Trans(6, 44, 12, 689), + Trans(6, 107, 3, 688), + Trans(7, 5, 13, -1), + Trans(7, 30, 14, -1), + Trans(7, 42, 15, -1), + Trans(7, 44, 16, -1), + Trans(8, 5, 9, -1), + Trans(8, 13, 10, -1), + Trans(8, 38, 11, -1), + Trans(9, 13, 12, 689), + Trans(9, 38, 12, 689), + Trans(10, 5, 12, 689), + Trans(10, 31, 12, 689), + Trans(10, 53, 12, 689), + Trans(10, 60, 12, 689), + Trans(10, 61, 12, 689), + Trans(10, 65, 12, 689), + Trans(10, 66, 12, 689), + Trans(10, 79, 12, 689), + Trans(10, 95, 12, 689), + Trans(10, 97, 12, 689), + Trans(10, 101, 12, 689), + Trans(10, 103, 12, 689), + Trans(10, 104, 12, 689), + Trans(10, 107, 12, 689), + Trans(11, 5, 12, 689), + Trans(11, 29, 12, 689), + Trans(11, 31, 12, 689), + Trans(11, 36, 12, 689), + Trans(11, 38, 12, 689), + Trans(11, 42, 12, 689), + Trans(11, 47, 12, 689), + Trans(11, 48, 12, 689), + Trans(11, 49, 12, 689), + Trans(11, 54, 12, 689), + Trans(11, 58, 12, 689), + Trans(11, 62, 12, 689), + Trans(11, 63, 12, 689), + Trans(11, 64, 12, 689), + Trans(11, 67, 12, 689), + Trans(11, 68, 12, 689), + Trans(11, 69, 12, 689), + Trans(11, 70, 12, 689), + Trans(11, 74, 12, 689), + Trans(11, 77, 12, 689), + Trans(11, 78, 12, 689), + Trans(11, 93, 12, 689), + Trans(11, 94, 12, 689), + Trans(11, 98, 12, 689), + Trans(11, 102, 12, 689), + Trans(11, 105, 12, 689), + Trans(11, 106, 12, 689), + Trans(11, 107, 12, 689), + Trans(13, 30, 12, 689), + Trans(13, 42, 12, 689), + Trans(13, 44, 12, 689), + Trans(14, 5, 12, 689), + Trans(14, 36, 12, 689), + Trans(14, 38, 12, 689), + Trans(14, 42, 12, 689), + Trans(14, 44, 12, 689), + Trans(14, 107, 12, 689), + Trans(15, 5, 12, 689), + Trans(15, 30, 12, 689), + Trans(15, 42, 12, 689), + Trans(15, 44, 12, 689), + Trans(16, 5, 12, 689), + Trans(16, 13, 12, 689), + Trans(16, 38, 12, 689), ], k: 3, }, - /* 469 - "PortDeclarationListOpt" */ + /* 479 - "PortDeclarationListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 686), - Trans(0, 42, 2, 687), - Trans(0, 44, 2, 687), + Trans(0, 30, 1, 690), + Trans(0, 42, 2, 691), + Trans(0, 44, 2, 691), ], k: 1, }, - /* 470 - "PortDeclarationOpt" */ + /* 480 - "PortDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 681), - Trans(0, 38, 1, 681), - Trans(0, 44, 2, 682), - Trans(0, 106, 1, 681), + Trans(0, 36, 1, 685), + Trans(0, 38, 1, 685), + Trans(0, 44, 2, 686), + Trans(0, 107, 1, 685), ], k: 1, }, - /* 471 - "Posedge" */ + /* 481 - "Posedge" */ LookaheadDFA { - prod0: 291, + prod0: 295, transitions: &[], k: 0, }, - /* 472 - "PosedgeTerm" */ + /* 482 - "PosedgeTerm" */ LookaheadDFA { - prod0: 83, + prod0: 84, transitions: &[], k: 0, }, - /* 473 - "PosedgeToken" */ + /* 483 - "PosedgeToken" */ LookaheadDFA { - prod0: 188, + prod0: 191, transitions: &[], k: 0, }, - /* 474 - "Pub" */ + /* 484 - "Pub" */ LookaheadDFA { - prod0: 292, + prod0: 296, transitions: &[], k: 0, }, - /* 475 - "PubTerm" */ + /* 485 - "PubTerm" */ LookaheadDFA { - prod0: 84, + prod0: 85, transitions: &[], k: 0, }, - /* 476 - "PubToken" */ + /* 486 - "PubToken" */ LookaheadDFA { - prod0: 189, + prod0: 192, transitions: &[], k: 0, }, - /* 477 - "RAngle" */ + /* 487 - "RAngle" */ LookaheadDFA { - prod0: 243, + prod0: 246, transitions: &[], k: 0, }, - /* 478 - "RAngleTerm" */ + /* 488 - "RAngleTerm" */ LookaheadDFA { prod0: 36, transitions: &[], k: 0, }, - /* 479 - "RAngleToken" */ + /* 489 - "RAngleToken" */ LookaheadDFA { - prod0: 141, + prod0: 143, transitions: &[], k: 0, }, - /* 480 - "RBrace" */ + /* 490 - "RBrace" */ LookaheadDFA { - prod0: 244, + prod0: 247, transitions: &[], k: 0, }, - /* 481 - "RBraceTerm" */ + /* 491 - "RBraceTerm" */ LookaheadDFA { prod0: 37, transitions: &[], k: 0, }, - /* 482 - "RBraceToken" */ + /* 492 - "RBraceToken" */ LookaheadDFA { - prod0: 142, + prod0: 144, transitions: &[], k: 0, }, - /* 483 - "RBracket" */ + /* 493 - "RBracket" */ LookaheadDFA { - prod0: 245, + prod0: 248, transitions: &[], k: 0, }, - /* 484 - "RBracketTerm" */ + /* 494 - "RBracketTerm" */ LookaheadDFA { prod0: 38, transitions: &[], k: 0, }, - /* 485 - "RBracketToken" */ + /* 495 - "RBracketToken" */ LookaheadDFA { - prod0: 143, + prod0: 145, transitions: &[], k: 0, }, - /* 486 - "RParen" */ + /* 496 - "RParen" */ LookaheadDFA { - prod0: 246, + prod0: 249, transitions: &[], k: 0, }, - /* 487 - "RParenTerm" */ + /* 497 - "RParenTerm" */ LookaheadDFA { prod0: 39, transitions: &[], k: 0, }, - /* 488 - "RParenToken" */ + /* 498 - "RParenToken" */ LookaheadDFA { - prod0: 144, + prod0: 146, transitions: &[], k: 0, }, - /* 489 - "Range" */ + /* 499 - "Range" */ LookaheadDFA { - prod0: 457, + prod0: 461, transitions: &[], k: 0, }, - /* 490 - "RangeItem" */ + /* 500 - "RangeItem" */ LookaheadDFA { - prod0: 443, + prod0: 447, transitions: &[], k: 0, }, - /* 491 - "RangeList" */ + /* 501 - "RangeList" */ LookaheadDFA { - prod0: 438, + prod0: 442, transitions: &[], k: 0, }, - /* 492 - "RangeListList" */ + /* 502 - "RangeListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 8, -1), - Trans(1, 5, 2, -1), - Trans(1, 6, 4, -1), - Trans(1, 7, 4, -1), - Trans(1, 8, 4, -1), - Trans(1, 9, 4, -1), - Trans(1, 10, 4, -1), - Trans(1, 11, 4, -1), - Trans(1, 18, 5, -1), - Trans(1, 24, 5, -1), - Trans(1, 25, 5, -1), - Trans(1, 26, 5, -1), - Trans(1, 27, 5, -1), - Trans(1, 31, 6, -1), - Trans(1, 38, 5, -1), - Trans(1, 40, 5, -1), - Trans(1, 42, 9, -1), - Trans(1, 54, 5, -1), - Trans(1, 67, 5, -1), - Trans(1, 72, 5, -1), - Trans(1, 79, 4, -1), - Trans(1, 82, 4, -1), - Trans(1, 85, 5, -1), - Trans(1, 106, 7, -1), - Trans(2, 6, 3, 439), - Trans(2, 7, 3, 439), - Trans(2, 8, 3, 439), - Trans(2, 9, 3, 439), - Trans(2, 10, 3, 439), - Trans(2, 11, 3, 439), - Trans(2, 18, 3, 439), - Trans(2, 24, 3, 439), - Trans(2, 25, 3, 439), - Trans(2, 26, 3, 439), - Trans(2, 27, 3, 439), - Trans(2, 31, 3, 439), - Trans(2, 38, 3, 439), - Trans(2, 40, 3, 439), - Trans(2, 42, 10, 440), - Trans(2, 54, 3, 439), - Trans(2, 67, 3, 439), - Trans(2, 72, 3, 439), - Trans(2, 79, 3, 439), - Trans(2, 82, 3, 439), - Trans(2, 85, 3, 439), - Trans(2, 106, 3, 439), - Trans(4, 5, 3, 439), - Trans(4, 16, 3, 439), - Trans(4, 17, 3, 439), - Trans(4, 18, 3, 439), - Trans(4, 19, 3, 439), - Trans(4, 20, 3, 439), - Trans(4, 21, 3, 439), - Trans(4, 22, 3, 439), - Trans(4, 23, 3, 439), - Trans(4, 24, 3, 439), - Trans(4, 25, 3, 439), - Trans(4, 26, 3, 439), - Trans(4, 30, 3, 439), - Trans(4, 32, 3, 439), - Trans(4, 33, 3, 439), - Trans(4, 42, 3, 439), - Trans(4, 46, 3, 439), - Trans(4, 52, 3, 439), - Trans(5, 5, 3, 439), - Trans(5, 6, 3, 439), - Trans(5, 7, 3, 439), - Trans(5, 8, 3, 439), - Trans(5, 9, 3, 439), - Trans(5, 10, 3, 439), - Trans(5, 11, 3, 439), - Trans(5, 18, 3, 439), - Trans(5, 24, 3, 439), - Trans(5, 25, 3, 439), - Trans(5, 26, 3, 439), - Trans(5, 27, 3, 439), - Trans(5, 31, 3, 439), - Trans(5, 38, 3, 439), - Trans(5, 40, 3, 439), - Trans(5, 54, 3, 439), - Trans(5, 67, 3, 439), - Trans(5, 72, 3, 439), - Trans(5, 79, 3, 439), - Trans(5, 82, 3, 439), - Trans(5, 85, 3, 439), - Trans(5, 106, 3, 439), - Trans(6, 5, 3, 439), - Trans(6, 106, 3, 439), - Trans(7, 5, 3, 439), - Trans(7, 16, 3, 439), - Trans(7, 17, 3, 439), - Trans(7, 18, 3, 439), - Trans(7, 19, 3, 439), - Trans(7, 20, 3, 439), - Trans(7, 21, 3, 439), - Trans(7, 22, 3, 439), - Trans(7, 23, 3, 439), - Trans(7, 24, 3, 439), - Trans(7, 25, 3, 439), - Trans(7, 26, 3, 439), - Trans(7, 28, 3, 439), - Trans(7, 30, 3, 439), - Trans(7, 32, 3, 439), - Trans(7, 33, 3, 439), - Trans(7, 34, 3, 439), - Trans(7, 39, 3, 439), - Trans(7, 40, 3, 439), - Trans(7, 42, 3, 439), - Trans(7, 46, 3, 439), - Trans(7, 52, 3, 439), - Trans(8, 5, 11, -1), - Trans(8, 12, 12, -1), - Trans(8, 14, 12, -1), - Trans(8, 16, 12, -1), - Trans(8, 17, 12, -1), - Trans(8, 18, 12, -1), - Trans(8, 19, 12, -1), - Trans(8, 20, 12, -1), - Trans(8, 21, 12, -1), - Trans(8, 22, 12, -1), - Trans(8, 23, 12, -1), - Trans(8, 24, 12, -1), - Trans(8, 25, 12, -1), - Trans(8, 26, 12, -1), - Trans(8, 29, 13, -1), - Trans(8, 30, 14, -1), - Trans(8, 32, 12, -1), - Trans(8, 33, 12, -1), - Trans(8, 38, 15, -1), - Trans(8, 41, 16, -1), - Trans(8, 42, 17, -1), - Trans(8, 43, 18, -1), - Trans(8, 44, 19, -1), - Trans(8, 45, 20, -1), - Trans(8, 46, 12, -1), - Trans(8, 52, 21, -1), - Trans(8, 91, 12, -1), - Trans(8, 95, 22, -1), - Trans(9, 5, 10, 440), - Trans(9, 12, 10, 440), - Trans(9, 14, 10, 440), - Trans(9, 16, 10, 440), - Trans(9, 17, 10, 440), - Trans(9, 18, 10, 440), - Trans(9, 19, 10, 440), - Trans(9, 20, 10, 440), - Trans(9, 21, 10, 440), - Trans(9, 22, 10, 440), - Trans(9, 23, 10, 440), - Trans(9, 24, 10, 440), - Trans(9, 25, 10, 440), - Trans(9, 26, 10, 440), - Trans(9, 29, 10, 440), - Trans(9, 30, 10, 440), - Trans(9, 32, 10, 440), - Trans(9, 33, 10, 440), - Trans(9, 38, 10, 440), - Trans(9, 41, 10, 440), - Trans(9, 42, 10, 440), - Trans(9, 43, 10, 440), - Trans(9, 44, 10, 440), - Trans(9, 45, 10, 440), - Trans(9, 46, 10, 440), - Trans(9, 52, 10, 440), - Trans(9, 91, 10, 440), - Trans(9, 95, 10, 440), - Trans(11, 12, 10, 440), - Trans(11, 14, 10, 440), - Trans(11, 16, 10, 440), - Trans(11, 17, 10, 440), - Trans(11, 18, 10, 440), - Trans(11, 19, 10, 440), - Trans(11, 20, 10, 440), - Trans(11, 21, 10, 440), - Trans(11, 22, 10, 440), - Trans(11, 23, 10, 440), - Trans(11, 24, 10, 440), - Trans(11, 25, 10, 440), - Trans(11, 26, 10, 440), - Trans(11, 29, 10, 440), - Trans(11, 30, 10, 440), - Trans(11, 32, 10, 440), - Trans(11, 33, 10, 440), - Trans(11, 38, 10, 440), - Trans(11, 41, 10, 440), - Trans(11, 42, 10, 440), - Trans(11, 43, 10, 440), - Trans(11, 44, 10, 440), - Trans(11, 45, 10, 440), - Trans(11, 46, 10, 440), - Trans(11, 52, 10, 440), - Trans(11, 91, 10, 440), - Trans(11, 95, 10, 440), - Trans(12, 5, 10, 440), - Trans(12, 6, 10, 440), - Trans(12, 7, 10, 440), - Trans(12, 8, 10, 440), - Trans(12, 9, 10, 440), - Trans(12, 10, 10, 440), - Trans(12, 11, 10, 440), - Trans(12, 18, 10, 440), - Trans(12, 24, 10, 440), - Trans(12, 25, 10, 440), - Trans(12, 26, 10, 440), - Trans(12, 27, 10, 440), - Trans(12, 31, 10, 440), - Trans(12, 38, 10, 440), - Trans(12, 40, 10, 440), - Trans(12, 54, 10, 440), - Trans(12, 67, 10, 440), - Trans(12, 72, 10, 440), - Trans(12, 79, 10, 440), - Trans(12, 82, 10, 440), - Trans(12, 85, 10, 440), - Trans(12, 106, 10, 440), - Trans(13, 5, 10, 440), - Trans(13, 6, 10, 440), - Trans(13, 7, 10, 440), - Trans(13, 8, 10, 440), - Trans(13, 9, 10, 440), - Trans(13, 10, 10, 440), - Trans(13, 11, 10, 440), - Trans(13, 18, 10, 440), - Trans(13, 24, 10, 440), - Trans(13, 25, 10, 440), - Trans(13, 26, 10, 440), - Trans(13, 27, 10, 440), - Trans(13, 31, 10, 440), - Trans(13, 38, 10, 440), - Trans(13, 40, 10, 440), - Trans(13, 54, 10, 440), - Trans(13, 62, 10, 440), - Trans(13, 66, 10, 440), - Trans(13, 67, 10, 440), - Trans(13, 72, 10, 440), - Trans(13, 76, 10, 440), - Trans(13, 79, 10, 440), - Trans(13, 82, 10, 440), - Trans(13, 85, 10, 440), - Trans(13, 92, 10, 440), - Trans(13, 93, 10, 440), - Trans(13, 106, 10, 440), - Trans(14, 5, 10, 440), - Trans(14, 6, 10, 440), - Trans(14, 7, 10, 440), - Trans(14, 8, 10, 440), - Trans(14, 9, 10, 440), - Trans(14, 10, 10, 440), - Trans(14, 11, 10, 440), - Trans(14, 18, 10, 440), - Trans(14, 24, 10, 440), - Trans(14, 25, 10, 440), - Trans(14, 26, 10, 440), - Trans(14, 27, 10, 440), - Trans(14, 31, 10, 440), - Trans(14, 36, 10, 440), - Trans(14, 38, 10, 440), - Trans(14, 40, 10, 440), - Trans(14, 42, 10, 440), - Trans(14, 44, 10, 440), - Trans(14, 54, 10, 440), - Trans(14, 55, 10, 440), - Trans(14, 67, 10, 440), - Trans(14, 72, 10, 440), - Trans(14, 77, 10, 440), - Trans(14, 79, 10, 440), - Trans(14, 82, 10, 440), - Trans(14, 85, 10, 440), - Trans(14, 87, 10, 440), - Trans(14, 106, 10, 440), - Trans(15, 5, 10, 440), - Trans(15, 6, 10, 440), - Trans(15, 7, 10, 440), - Trans(15, 8, 10, 440), - Trans(15, 9, 10, 440), - Trans(15, 10, 10, 440), - Trans(15, 11, 10, 440), - Trans(15, 18, 10, 440), - Trans(15, 24, 10, 440), - Trans(15, 25, 10, 440), - Trans(15, 26, 10, 440), - Trans(15, 27, 10, 440), - Trans(15, 29, 10, 440), - Trans(15, 31, 10, 440), - Trans(15, 36, 10, 440), - Trans(15, 38, 10, 440), - Trans(15, 40, 10, 440), - Trans(15, 42, 10, 440), - Trans(15, 47, 10, 440), - Trans(15, 48, 10, 440), - Trans(15, 49, 10, 440), - Trans(15, 54, 10, 440), - Trans(15, 55, 10, 440), - Trans(15, 57, 10, 440), - Trans(15, 61, 10, 440), - Trans(15, 62, 10, 440), - Trans(15, 63, 10, 440), - Trans(15, 66, 10, 440), - Trans(15, 67, 10, 440), - Trans(15, 68, 10, 440), - Trans(15, 69, 10, 440), - Trans(15, 72, 10, 440), - Trans(15, 73, 10, 440), - Trans(15, 76, 10, 440), - Trans(15, 77, 10, 440), - Trans(15, 79, 10, 440), - Trans(15, 80, 10, 440), - Trans(15, 82, 10, 440), - Trans(15, 85, 10, 440), - Trans(15, 92, 10, 440), - Trans(15, 93, 10, 440), - Trans(15, 97, 10, 440), - Trans(15, 101, 10, 440), - Trans(15, 104, 10, 440), - Trans(15, 105, 10, 440), - Trans(15, 106, 10, 440), - Trans(16, 5, 10, 440), - Trans(16, 30, 10, 440), - Trans(16, 35, 10, 440), - Trans(16, 38, 10, 440), - Trans(16, 39, 10, 440), - Trans(16, 42, 10, 440), - Trans(16, 44, 10, 440), - Trans(16, 45, 10, 440), - Trans(16, 75, 10, 440), - Trans(17, 5, 10, 440), - Trans(17, 12, 10, 440), - Trans(17, 14, 10, 440), - Trans(17, 16, 10, 440), - Trans(17, 17, 10, 440), - Trans(17, 18, 10, 440), - Trans(17, 19, 10, 440), - Trans(17, 20, 10, 440), - Trans(17, 21, 10, 440), - Trans(17, 22, 10, 440), - Trans(17, 23, 10, 440), - Trans(17, 24, 10, 440), - Trans(17, 25, 10, 440), - Trans(17, 26, 10, 440), - Trans(17, 29, 10, 440), - Trans(17, 30, 10, 440), - Trans(17, 32, 10, 440), - Trans(17, 33, 10, 440), - Trans(17, 36, 10, 440), - Trans(17, 38, 10, 440), - Trans(17, 41, 10, 440), - Trans(17, 42, 10, 440), - Trans(17, 43, 10, 440), - Trans(17, 44, 10, 440), - Trans(17, 45, 10, 440), - Trans(17, 46, 10, 440), - Trans(17, 47, 10, 440), - Trans(17, 48, 10, 440), - Trans(17, 49, 10, 440), - Trans(17, 52, 10, 440), - Trans(17, 56, 10, 440), - Trans(17, 57, 10, 440), - Trans(17, 58, 10, 440), - Trans(17, 61, 10, 440), - Trans(17, 62, 10, 440), - Trans(17, 63, 10, 440), - Trans(17, 67, 10, 440), - Trans(17, 68, 10, 440), - Trans(17, 69, 10, 440), - Trans(17, 73, 10, 440), - Trans(17, 76, 10, 440), - Trans(17, 77, 10, 440), - Trans(17, 80, 10, 440), - Trans(17, 91, 10, 440), - Trans(17, 95, 10, 440), - Trans(17, 97, 10, 440), - Trans(17, 101, 10, 440), - Trans(17, 104, 10, 440), - Trans(17, 105, 10, 440), - Trans(18, 5, 10, 440), - Trans(18, 12, 10, 440), - Trans(18, 14, 10, 440), - Trans(18, 15, 10, 440), - Trans(18, 16, 10, 440), - Trans(18, 17, 10, 440), - Trans(18, 18, 10, 440), - Trans(18, 19, 10, 440), - Trans(18, 20, 10, 440), - Trans(18, 21, 10, 440), - Trans(18, 22, 10, 440), - Trans(18, 23, 10, 440), - Trans(18, 24, 10, 440), - Trans(18, 25, 10, 440), - Trans(18, 26, 10, 440), - Trans(18, 29, 10, 440), - Trans(18, 30, 10, 440), - Trans(18, 32, 10, 440), - Trans(18, 33, 10, 440), - Trans(18, 34, 10, 440), - Trans(18, 35, 10, 440), - Trans(18, 36, 10, 440), - Trans(18, 38, 10, 440), - Trans(18, 39, 10, 440), - Trans(18, 40, 10, 440), - Trans(18, 41, 10, 440), - Trans(18, 42, 10, 440), - Trans(18, 43, 10, 440), - Trans(18, 44, 10, 440), - Trans(18, 45, 10, 440), - Trans(18, 46, 10, 440), - Trans(18, 52, 10, 440), - Trans(18, 91, 10, 440), - Trans(18, 95, 10, 440), - Trans(19, 5, 10, 440), - Trans(19, 12, 10, 440), - Trans(19, 13, 10, 440), - Trans(19, 14, 10, 440), - Trans(19, 16, 10, 440), - Trans(19, 17, 10, 440), - Trans(19, 18, 10, 440), - Trans(19, 19, 10, 440), - Trans(19, 20, 10, 440), - Trans(19, 21, 10, 440), - Trans(19, 22, 10, 440), - Trans(19, 23, 10, 440), - Trans(19, 24, 10, 440), - Trans(19, 25, 10, 440), - Trans(19, 26, 10, 440), - Trans(19, 29, 10, 440), - Trans(19, 30, 10, 440), - Trans(19, 32, 10, 440), - Trans(19, 33, 10, 440), - Trans(19, 38, 10, 440), - Trans(19, 40, 10, 440), - Trans(19, 41, 10, 440), - Trans(19, 42, 10, 440), - Trans(19, 43, 10, 440), - Trans(19, 44, 10, 440), - Trans(19, 45, 10, 440), - Trans(19, 46, 10, 440), - Trans(19, 52, 10, 440), - Trans(19, 91, 10, 440), - Trans(19, 95, 10, 440), - Trans(20, 5, 10, 440), - Trans(20, 6, 10, 440), - Trans(20, 7, 10, 440), - Trans(20, 8, 10, 440), - Trans(20, 9, 10, 440), - Trans(20, 10, 10, 440), - Trans(20, 11, 10, 440), - Trans(20, 18, 10, 440), - Trans(20, 24, 10, 440), - Trans(20, 25, 10, 440), - Trans(20, 26, 10, 440), - Trans(20, 27, 10, 440), - Trans(20, 29, 10, 440), - Trans(20, 31, 10, 440), - Trans(20, 36, 10, 440), - Trans(20, 38, 10, 440), - Trans(20, 40, 10, 440), - Trans(20, 42, 10, 440), - Trans(20, 47, 10, 440), - Trans(20, 48, 10, 440), - Trans(20, 49, 10, 440), - Trans(20, 54, 10, 440), - Trans(20, 55, 10, 440), - Trans(20, 57, 10, 440), - Trans(20, 58, 10, 440), - Trans(20, 61, 10, 440), - Trans(20, 62, 10, 440), - Trans(20, 63, 10, 440), - Trans(20, 66, 10, 440), - Trans(20, 67, 10, 440), - Trans(20, 68, 10, 440), - Trans(20, 69, 10, 440), - Trans(20, 72, 10, 440), - Trans(20, 73, 10, 440), - Trans(20, 76, 10, 440), - Trans(20, 77, 10, 440), - Trans(20, 79, 10, 440), - Trans(20, 80, 10, 440), - Trans(20, 82, 10, 440), - Trans(20, 85, 10, 440), - Trans(20, 92, 10, 440), - Trans(20, 93, 10, 440), - Trans(20, 97, 10, 440), - Trans(20, 101, 10, 440), - Trans(20, 104, 10, 440), - Trans(20, 105, 10, 440), - Trans(20, 106, 10, 440), - Trans(21, 5, 10, 440), - Trans(21, 31, 10, 440), - Trans(21, 106, 10, 440), - Trans(22, 5, 10, 440), - Trans(22, 6, 10, 440), - Trans(22, 7, 10, 440), - Trans(22, 8, 10, 440), - Trans(22, 9, 10, 440), - Trans(22, 10, 10, 440), - Trans(22, 11, 10, 440), - Trans(22, 15, 10, 440), - Trans(22, 18, 10, 440), - Trans(22, 24, 10, 440), - Trans(22, 25, 10, 440), - Trans(22, 26, 10, 440), - Trans(22, 27, 10, 440), - Trans(22, 31, 10, 440), - Trans(22, 38, 10, 440), - Trans(22, 40, 10, 440), - Trans(22, 54, 10, 440), - Trans(22, 67, 10, 440), - Trans(22, 72, 10, 440), - Trans(22, 79, 10, 440), - Trans(22, 82, 10, 440), - Trans(22, 85, 10, 440), - Trans(22, 106, 10, 440), + Trans(1, 5, 7, -1), + Trans(1, 6, 2, -1), + Trans(1, 7, 2, -1), + Trans(1, 8, 2, -1), + Trans(1, 9, 2, -1), + Trans(1, 10, 2, -1), + Trans(1, 11, 2, -1), + Trans(1, 18, 4, -1), + Trans(1, 24, 4, -1), + Trans(1, 25, 4, -1), + Trans(1, 26, 4, -1), + Trans(1, 27, 4, -1), + Trans(1, 31, 5, -1), + Trans(1, 38, 4, -1), + Trans(1, 40, 4, -1), + Trans(1, 42, 22, -1), + Trans(1, 54, 4, -1), + Trans(1, 68, 4, -1), + Trans(1, 73, 4, -1), + Trans(1, 80, 2, -1), + Trans(1, 83, 2, -1), + Trans(1, 86, 4, -1), + Trans(1, 107, 6, -1), + Trans(2, 5, 3, 443), + Trans(2, 16, 3, 443), + Trans(2, 17, 3, 443), + Trans(2, 18, 3, 443), + Trans(2, 19, 3, 443), + Trans(2, 20, 3, 443), + Trans(2, 21, 3, 443), + Trans(2, 22, 3, 443), + Trans(2, 23, 3, 443), + Trans(2, 24, 3, 443), + Trans(2, 25, 3, 443), + Trans(2, 26, 3, 443), + Trans(2, 30, 3, 443), + Trans(2, 32, 3, 443), + Trans(2, 33, 3, 443), + Trans(2, 42, 3, 443), + Trans(2, 46, 3, 443), + Trans(2, 52, 3, 443), + Trans(4, 5, 3, 443), + Trans(4, 6, 3, 443), + Trans(4, 7, 3, 443), + Trans(4, 8, 3, 443), + Trans(4, 9, 3, 443), + Trans(4, 10, 3, 443), + Trans(4, 11, 3, 443), + Trans(4, 18, 3, 443), + Trans(4, 24, 3, 443), + Trans(4, 25, 3, 443), + Trans(4, 26, 3, 443), + Trans(4, 27, 3, 443), + Trans(4, 31, 3, 443), + Trans(4, 38, 3, 443), + Trans(4, 40, 3, 443), + Trans(4, 54, 3, 443), + Trans(4, 68, 3, 443), + Trans(4, 73, 3, 443), + Trans(4, 80, 3, 443), + Trans(4, 83, 3, 443), + Trans(4, 86, 3, 443), + Trans(4, 107, 3, 443), + Trans(5, 5, 3, 443), + Trans(5, 107, 3, 443), + Trans(6, 5, 3, 443), + Trans(6, 16, 3, 443), + Trans(6, 17, 3, 443), + Trans(6, 18, 3, 443), + Trans(6, 19, 3, 443), + Trans(6, 20, 3, 443), + Trans(6, 21, 3, 443), + Trans(6, 22, 3, 443), + Trans(6, 23, 3, 443), + Trans(6, 24, 3, 443), + Trans(6, 25, 3, 443), + Trans(6, 26, 3, 443), + Trans(6, 28, 3, 443), + Trans(6, 30, 3, 443), + Trans(6, 32, 3, 443), + Trans(6, 33, 3, 443), + Trans(6, 34, 3, 443), + Trans(6, 39, 3, 443), + Trans(6, 40, 3, 443), + Trans(6, 42, 3, 443), + Trans(6, 46, 3, 443), + Trans(6, 52, 3, 443), + Trans(7, 6, 3, 443), + Trans(7, 7, 3, 443), + Trans(7, 8, 3, 443), + Trans(7, 9, 3, 443), + Trans(7, 10, 3, 443), + Trans(7, 11, 3, 443), + Trans(7, 18, 3, 443), + Trans(7, 24, 3, 443), + Trans(7, 25, 3, 443), + Trans(7, 26, 3, 443), + Trans(7, 27, 3, 443), + Trans(7, 31, 3, 443), + Trans(7, 38, 3, 443), + Trans(7, 40, 3, 443), + Trans(7, 42, 21, 444), + Trans(7, 54, 3, 443), + Trans(7, 68, 3, 443), + Trans(7, 73, 3, 443), + Trans(7, 80, 3, 443), + Trans(7, 83, 3, 443), + Trans(7, 86, 3, 443), + Trans(7, 107, 3, 443), + Trans(8, 5, 9, -1), + Trans(8, 12, 10, -1), + Trans(8, 14, 10, -1), + Trans(8, 16, 10, -1), + Trans(8, 17, 10, -1), + Trans(8, 18, 10, -1), + Trans(8, 19, 10, -1), + Trans(8, 20, 10, -1), + Trans(8, 21, 10, -1), + Trans(8, 22, 10, -1), + Trans(8, 23, 10, -1), + Trans(8, 24, 10, -1), + Trans(8, 25, 10, -1), + Trans(8, 26, 10, -1), + Trans(8, 29, 11, -1), + Trans(8, 30, 12, -1), + Trans(8, 32, 10, -1), + Trans(8, 33, 10, -1), + Trans(8, 38, 13, -1), + Trans(8, 41, 14, -1), + Trans(8, 42, 15, -1), + Trans(8, 43, 16, -1), + Trans(8, 44, 17, -1), + Trans(8, 45, 18, -1), + Trans(8, 46, 10, -1), + Trans(8, 52, 19, -1), + Trans(8, 92, 10, -1), + Trans(8, 96, 20, -1), + Trans(9, 12, 21, 444), + Trans(9, 14, 21, 444), + Trans(9, 16, 21, 444), + Trans(9, 17, 21, 444), + Trans(9, 18, 21, 444), + Trans(9, 19, 21, 444), + Trans(9, 20, 21, 444), + Trans(9, 21, 21, 444), + Trans(9, 22, 21, 444), + Trans(9, 23, 21, 444), + Trans(9, 24, 21, 444), + Trans(9, 25, 21, 444), + Trans(9, 26, 21, 444), + Trans(9, 29, 21, 444), + Trans(9, 30, 21, 444), + Trans(9, 32, 21, 444), + Trans(9, 33, 21, 444), + Trans(9, 38, 21, 444), + Trans(9, 41, 21, 444), + Trans(9, 42, 21, 444), + Trans(9, 43, 21, 444), + Trans(9, 44, 21, 444), + Trans(9, 45, 21, 444), + Trans(9, 46, 21, 444), + Trans(9, 52, 21, 444), + Trans(9, 92, 21, 444), + Trans(9, 96, 21, 444), + Trans(10, 5, 21, 444), + Trans(10, 6, 21, 444), + Trans(10, 7, 21, 444), + Trans(10, 8, 21, 444), + Trans(10, 9, 21, 444), + Trans(10, 10, 21, 444), + Trans(10, 11, 21, 444), + Trans(10, 18, 21, 444), + Trans(10, 24, 21, 444), + Trans(10, 25, 21, 444), + Trans(10, 26, 21, 444), + Trans(10, 27, 21, 444), + Trans(10, 31, 21, 444), + Trans(10, 38, 21, 444), + Trans(10, 40, 21, 444), + Trans(10, 54, 21, 444), + Trans(10, 68, 21, 444), + Trans(10, 73, 21, 444), + Trans(10, 80, 21, 444), + Trans(10, 83, 21, 444), + Trans(10, 86, 21, 444), + Trans(10, 107, 21, 444), + Trans(11, 5, 21, 444), + Trans(11, 6, 21, 444), + Trans(11, 7, 21, 444), + Trans(11, 8, 21, 444), + Trans(11, 9, 21, 444), + Trans(11, 10, 21, 444), + Trans(11, 11, 21, 444), + Trans(11, 18, 21, 444), + Trans(11, 24, 21, 444), + Trans(11, 25, 21, 444), + Trans(11, 26, 21, 444), + Trans(11, 27, 21, 444), + Trans(11, 31, 21, 444), + Trans(11, 38, 21, 444), + Trans(11, 40, 21, 444), + Trans(11, 54, 21, 444), + Trans(11, 63, 21, 444), + Trans(11, 67, 21, 444), + Trans(11, 68, 21, 444), + Trans(11, 73, 21, 444), + Trans(11, 77, 21, 444), + Trans(11, 80, 21, 444), + Trans(11, 83, 21, 444), + Trans(11, 86, 21, 444), + Trans(11, 93, 21, 444), + Trans(11, 94, 21, 444), + Trans(11, 107, 21, 444), + Trans(12, 5, 21, 444), + Trans(12, 6, 21, 444), + Trans(12, 7, 21, 444), + Trans(12, 8, 21, 444), + Trans(12, 9, 21, 444), + Trans(12, 10, 21, 444), + Trans(12, 11, 21, 444), + Trans(12, 18, 21, 444), + Trans(12, 24, 21, 444), + Trans(12, 25, 21, 444), + Trans(12, 26, 21, 444), + Trans(12, 27, 21, 444), + Trans(12, 31, 21, 444), + Trans(12, 36, 21, 444), + Trans(12, 38, 21, 444), + Trans(12, 40, 21, 444), + Trans(12, 42, 21, 444), + Trans(12, 44, 21, 444), + Trans(12, 54, 21, 444), + Trans(12, 55, 21, 444), + Trans(12, 68, 21, 444), + Trans(12, 73, 21, 444), + Trans(12, 78, 21, 444), + Trans(12, 80, 21, 444), + Trans(12, 83, 21, 444), + Trans(12, 86, 21, 444), + Trans(12, 88, 21, 444), + Trans(12, 107, 21, 444), + Trans(13, 5, 21, 444), + Trans(13, 6, 21, 444), + Trans(13, 7, 21, 444), + Trans(13, 8, 21, 444), + Trans(13, 9, 21, 444), + Trans(13, 10, 21, 444), + Trans(13, 11, 21, 444), + Trans(13, 18, 21, 444), + Trans(13, 24, 21, 444), + Trans(13, 25, 21, 444), + Trans(13, 26, 21, 444), + Trans(13, 27, 21, 444), + Trans(13, 29, 21, 444), + Trans(13, 31, 21, 444), + Trans(13, 36, 21, 444), + Trans(13, 38, 21, 444), + Trans(13, 40, 21, 444), + Trans(13, 42, 21, 444), + Trans(13, 47, 21, 444), + Trans(13, 48, 21, 444), + Trans(13, 49, 21, 444), + Trans(13, 54, 21, 444), + Trans(13, 55, 21, 444), + Trans(13, 58, 21, 444), + Trans(13, 62, 21, 444), + Trans(13, 63, 21, 444), + Trans(13, 64, 21, 444), + Trans(13, 67, 21, 444), + Trans(13, 68, 21, 444), + Trans(13, 69, 21, 444), + Trans(13, 70, 21, 444), + Trans(13, 73, 21, 444), + Trans(13, 74, 21, 444), + Trans(13, 77, 21, 444), + Trans(13, 78, 21, 444), + Trans(13, 80, 21, 444), + Trans(13, 81, 21, 444), + Trans(13, 83, 21, 444), + Trans(13, 86, 21, 444), + Trans(13, 93, 21, 444), + Trans(13, 94, 21, 444), + Trans(13, 98, 21, 444), + Trans(13, 102, 21, 444), + Trans(13, 105, 21, 444), + Trans(13, 106, 21, 444), + Trans(13, 107, 21, 444), + Trans(14, 5, 21, 444), + Trans(14, 30, 21, 444), + Trans(14, 35, 21, 444), + Trans(14, 38, 21, 444), + Trans(14, 39, 21, 444), + Trans(14, 42, 21, 444), + Trans(14, 44, 21, 444), + Trans(14, 45, 21, 444), + Trans(14, 76, 21, 444), + Trans(15, 5, 21, 444), + Trans(15, 12, 21, 444), + Trans(15, 14, 21, 444), + Trans(15, 16, 21, 444), + Trans(15, 17, 21, 444), + Trans(15, 18, 21, 444), + Trans(15, 19, 21, 444), + Trans(15, 20, 21, 444), + Trans(15, 21, 21, 444), + Trans(15, 22, 21, 444), + Trans(15, 23, 21, 444), + Trans(15, 24, 21, 444), + Trans(15, 25, 21, 444), + Trans(15, 26, 21, 444), + Trans(15, 29, 21, 444), + Trans(15, 30, 21, 444), + Trans(15, 32, 21, 444), + Trans(15, 33, 21, 444), + Trans(15, 36, 21, 444), + Trans(15, 38, 21, 444), + Trans(15, 41, 21, 444), + Trans(15, 42, 21, 444), + Trans(15, 43, 21, 444), + Trans(15, 44, 21, 444), + Trans(15, 45, 21, 444), + Trans(15, 46, 21, 444), + Trans(15, 47, 21, 444), + Trans(15, 48, 21, 444), + Trans(15, 49, 21, 444), + Trans(15, 52, 21, 444), + Trans(15, 56, 21, 444), + Trans(15, 58, 21, 444), + Trans(15, 59, 21, 444), + Trans(15, 62, 21, 444), + Trans(15, 63, 21, 444), + Trans(15, 64, 21, 444), + Trans(15, 68, 21, 444), + Trans(15, 69, 21, 444), + Trans(15, 70, 21, 444), + Trans(15, 74, 21, 444), + Trans(15, 77, 21, 444), + Trans(15, 78, 21, 444), + Trans(15, 81, 21, 444), + Trans(15, 92, 21, 444), + Trans(15, 96, 21, 444), + Trans(15, 98, 21, 444), + Trans(15, 102, 21, 444), + Trans(15, 105, 21, 444), + Trans(15, 106, 21, 444), + Trans(16, 5, 21, 444), + Trans(16, 12, 21, 444), + Trans(16, 14, 21, 444), + Trans(16, 15, 21, 444), + Trans(16, 16, 21, 444), + Trans(16, 17, 21, 444), + Trans(16, 18, 21, 444), + Trans(16, 19, 21, 444), + Trans(16, 20, 21, 444), + Trans(16, 21, 21, 444), + Trans(16, 22, 21, 444), + Trans(16, 23, 21, 444), + Trans(16, 24, 21, 444), + Trans(16, 25, 21, 444), + Trans(16, 26, 21, 444), + Trans(16, 29, 21, 444), + Trans(16, 30, 21, 444), + Trans(16, 32, 21, 444), + Trans(16, 33, 21, 444), + Trans(16, 34, 21, 444), + Trans(16, 35, 21, 444), + Trans(16, 36, 21, 444), + Trans(16, 38, 21, 444), + Trans(16, 39, 21, 444), + Trans(16, 40, 21, 444), + Trans(16, 41, 21, 444), + Trans(16, 42, 21, 444), + Trans(16, 43, 21, 444), + Trans(16, 44, 21, 444), + Trans(16, 45, 21, 444), + Trans(16, 46, 21, 444), + Trans(16, 52, 21, 444), + Trans(16, 92, 21, 444), + Trans(16, 96, 21, 444), + Trans(17, 5, 21, 444), + Trans(17, 12, 21, 444), + Trans(17, 13, 21, 444), + Trans(17, 14, 21, 444), + Trans(17, 16, 21, 444), + Trans(17, 17, 21, 444), + Trans(17, 18, 21, 444), + Trans(17, 19, 21, 444), + Trans(17, 20, 21, 444), + Trans(17, 21, 21, 444), + Trans(17, 22, 21, 444), + Trans(17, 23, 21, 444), + Trans(17, 24, 21, 444), + Trans(17, 25, 21, 444), + Trans(17, 26, 21, 444), + Trans(17, 29, 21, 444), + Trans(17, 30, 21, 444), + Trans(17, 32, 21, 444), + Trans(17, 33, 21, 444), + Trans(17, 38, 21, 444), + Trans(17, 40, 21, 444), + Trans(17, 41, 21, 444), + Trans(17, 42, 21, 444), + Trans(17, 43, 21, 444), + Trans(17, 44, 21, 444), + Trans(17, 45, 21, 444), + Trans(17, 46, 21, 444), + Trans(17, 52, 21, 444), + Trans(17, 92, 21, 444), + Trans(17, 96, 21, 444), + Trans(18, 5, 21, 444), + Trans(18, 6, 21, 444), + Trans(18, 7, 21, 444), + Trans(18, 8, 21, 444), + Trans(18, 9, 21, 444), + Trans(18, 10, 21, 444), + Trans(18, 11, 21, 444), + Trans(18, 18, 21, 444), + Trans(18, 24, 21, 444), + Trans(18, 25, 21, 444), + Trans(18, 26, 21, 444), + Trans(18, 27, 21, 444), + Trans(18, 29, 21, 444), + Trans(18, 31, 21, 444), + Trans(18, 36, 21, 444), + Trans(18, 38, 21, 444), + Trans(18, 40, 21, 444), + Trans(18, 42, 21, 444), + Trans(18, 47, 21, 444), + Trans(18, 48, 21, 444), + Trans(18, 49, 21, 444), + Trans(18, 54, 21, 444), + Trans(18, 55, 21, 444), + Trans(18, 58, 21, 444), + Trans(18, 59, 21, 444), + Trans(18, 62, 21, 444), + Trans(18, 63, 21, 444), + Trans(18, 64, 21, 444), + Trans(18, 67, 21, 444), + Trans(18, 68, 21, 444), + Trans(18, 69, 21, 444), + Trans(18, 70, 21, 444), + Trans(18, 73, 21, 444), + Trans(18, 74, 21, 444), + Trans(18, 77, 21, 444), + Trans(18, 78, 21, 444), + Trans(18, 80, 21, 444), + Trans(18, 81, 21, 444), + Trans(18, 83, 21, 444), + Trans(18, 86, 21, 444), + Trans(18, 93, 21, 444), + Trans(18, 94, 21, 444), + Trans(18, 98, 21, 444), + Trans(18, 102, 21, 444), + Trans(18, 105, 21, 444), + Trans(18, 106, 21, 444), + Trans(18, 107, 21, 444), + Trans(19, 5, 21, 444), + Trans(19, 31, 21, 444), + Trans(19, 107, 21, 444), + Trans(20, 5, 21, 444), + Trans(20, 6, 21, 444), + Trans(20, 7, 21, 444), + Trans(20, 8, 21, 444), + Trans(20, 9, 21, 444), + Trans(20, 10, 21, 444), + Trans(20, 11, 21, 444), + Trans(20, 15, 21, 444), + Trans(20, 18, 21, 444), + Trans(20, 24, 21, 444), + Trans(20, 25, 21, 444), + Trans(20, 26, 21, 444), + Trans(20, 27, 21, 444), + Trans(20, 31, 21, 444), + Trans(20, 38, 21, 444), + Trans(20, 40, 21, 444), + Trans(20, 54, 21, 444), + Trans(20, 68, 21, 444), + Trans(20, 73, 21, 444), + Trans(20, 80, 21, 444), + Trans(20, 83, 21, 444), + Trans(20, 86, 21, 444), + Trans(20, 107, 21, 444), + Trans(22, 5, 21, 444), + Trans(22, 12, 21, 444), + Trans(22, 14, 21, 444), + Trans(22, 16, 21, 444), + Trans(22, 17, 21, 444), + Trans(22, 18, 21, 444), + Trans(22, 19, 21, 444), + Trans(22, 20, 21, 444), + Trans(22, 21, 21, 444), + Trans(22, 22, 21, 444), + Trans(22, 23, 21, 444), + Trans(22, 24, 21, 444), + Trans(22, 25, 21, 444), + Trans(22, 26, 21, 444), + Trans(22, 29, 21, 444), + Trans(22, 30, 21, 444), + Trans(22, 32, 21, 444), + Trans(22, 33, 21, 444), + Trans(22, 38, 21, 444), + Trans(22, 41, 21, 444), + Trans(22, 42, 21, 444), + Trans(22, 43, 21, 444), + Trans(22, 44, 21, 444), + Trans(22, 45, 21, 444), + Trans(22, 46, 21, 444), + Trans(22, 52, 21, 444), + Trans(22, 92, 21, 444), + Trans(22, 96, 21, 444), ], k: 3, }, - /* 493 - "RangeListOpt" */ + /* 503 - "RangeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 441), Trans(0, 42, 2, 442)], + transitions: &[Trans(0, 30, 1, 445), Trans(0, 42, 2, 446)], k: 1, }, - /* 494 - "RangeOperator" */ + /* 504 - "RangeOperator" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 32, 2, 461), Trans(0, 33, 1, 460)], + transitions: &[Trans(0, 32, 2, 465), Trans(0, 33, 1, 464)], k: 1, }, - /* 495 - "RangeOpt" */ + /* 505 - "RangeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 29, 2, 459), - Trans(0, 30, 2, 459), - Trans(0, 32, 1, 458), - Trans(0, 33, 1, 458), - Trans(0, 38, 2, 459), - Trans(0, 42, 2, 459), - Trans(0, 95, 2, 459), + Trans(0, 29, 2, 463), + Trans(0, 30, 2, 463), + Trans(0, 32, 1, 462), + Trans(0, 33, 1, 462), + Trans(0, 38, 2, 463), + Trans(0, 42, 2, 463), + Trans(0, 96, 2, 463), ], k: 1, }, - /* 496 - "RealNumber" */ + /* 506 - "RealNumber" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 7, 2, 315), Trans(0, 8, 1, 314)], + transitions: &[Trans(0, 7, 2, 319), Trans(0, 8, 1, 318)], k: 1, }, - /* 497 - "Ref" */ + /* 507 - "Ref" */ LookaheadDFA { - prod0: 293, + prod0: 297, transitions: &[], k: 0, }, - /* 498 - "RefTerm" */ + /* 508 - "RefTerm" */ LookaheadDFA { - prod0: 85, + prod0: 86, transitions: &[], k: 0, }, - /* 499 - "RefToken" */ + /* 509 - "RefToken" */ LookaheadDFA { - prod0: 190, + prod0: 193, transitions: &[], k: 0, }, - /* 500 - "Repeat" */ + /* 510 - "Repeat" */ LookaheadDFA { - prod0: 294, + prod0: 298, transitions: &[], k: 0, }, - /* 501 - "RepeatTerm" */ + /* 511 - "RepeatTerm" */ LookaheadDFA { - prod0: 86, + prod0: 87, transitions: &[], k: 0, }, - /* 502 - "RepeatToken" */ + /* 512 - "RepeatToken" */ LookaheadDFA { - prod0: 191, + prod0: 194, transitions: &[], k: 0, }, - /* 503 - "Return" */ + /* 513 - "Return" */ LookaheadDFA { - prod0: 295, + prod0: 299, transitions: &[], k: 0, }, - /* 504 - "ReturnStatement" */ + /* 514 - "ReturnStatement" */ LookaheadDFA { - prod0: 522, + prod0: 526, transitions: &[], k: 0, }, - /* 505 - "ReturnTerm" */ + /* 515 - "ReturnTerm" */ LookaheadDFA { - prod0: 87, + prod0: 88, transitions: &[], k: 0, }, - /* 506 - "ReturnToken" */ + /* 516 - "ReturnToken" */ LookaheadDFA { - prod0: 192, + prod0: 195, transitions: &[], k: 0, }, - /* 507 - "ScalarType" */ + /* 517 - "ScalarType" */ LookaheadDFA { - prod0: 477, + prod0: 481, transitions: &[], k: 0, }, - /* 508 - "ScalarTypeGroup" */ + /* 518 - "ScalarTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 478), - Trans(0, 53, 1, 478), - Trans(0, 59, 2, 479), - Trans(0, 60, 2, 479), - Trans(0, 64, 2, 479), - Trans(0, 65, 2, 479), - Trans(0, 78, 1, 478), - Trans(0, 96, 2, 479), - Trans(0, 102, 2, 479), - Trans(0, 103, 2, 479), - Trans(0, 106, 1, 478), + Trans(0, 31, 1, 482), + Trans(0, 53, 1, 482), + Trans(0, 60, 2, 483), + Trans(0, 61, 2, 483), + Trans(0, 65, 2, 483), + Trans(0, 66, 2, 483), + Trans(0, 79, 1, 482), + Trans(0, 97, 2, 483), + Trans(0, 103, 2, 483), + Trans(0, 104, 2, 483), + Trans(0, 107, 1, 482), ], k: 1, }, - /* 509 - "ScalarTypeList" */ + /* 519 - "ScalarTypeList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 481), - Trans(0, 53, 2, 481), - Trans(0, 59, 2, 481), - Trans(0, 60, 2, 481), - Trans(0, 64, 2, 481), - Trans(0, 65, 2, 481), - Trans(0, 78, 2, 481), - Trans(0, 94, 1, 480), - Trans(0, 96, 2, 481), - Trans(0, 100, 1, 480), - Trans(0, 102, 2, 481), - Trans(0, 103, 2, 481), - Trans(0, 106, 2, 481), + Trans(0, 31, 2, 485), + Trans(0, 53, 2, 485), + Trans(0, 60, 2, 485), + Trans(0, 61, 2, 485), + Trans(0, 65, 2, 485), + Trans(0, 66, 2, 485), + Trans(0, 79, 2, 485), + Trans(0, 95, 1, 484), + Trans(0, 97, 2, 485), + Trans(0, 101, 1, 484), + Trans(0, 103, 2, 485), + Trans(0, 104, 2, 485), + Trans(0, 107, 2, 485), ], k: 1, }, - /* 510 - "ScopedIdentifier" */ + /* 520 - "ScopedIdentifier" */ LookaheadDFA { - prod0: 323, + prod0: 327, transitions: &[], k: 0, }, - /* 511 - "ScopedIdentifierList" */ + /* 521 - "ScopedIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -10192,56 +10344,56 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(0, 45, 20, -1), Trans(0, 46, 6, -1), Trans(0, 52, 21, -1), - Trans(0, 75, 22, -1), - Trans(0, 91, 23, -1), - Trans(0, 95, 24, -1), - Trans(1, 5, 2, -1), + Trans(0, 76, 22, -1), + Trans(0, 92, 23, -1), + Trans(0, 96, 24, -1), + Trans(1, 5, 4, -1), Trans(1, 46, 39, -1), - Trans(1, 106, 4, -1), - Trans(2, 46, 25, 325), - Trans(2, 106, 3, 324), - Trans(4, 5, 3, 324), - Trans(4, 12, 3, 324), - Trans(4, 14, 3, 324), - Trans(4, 16, 3, 324), - Trans(4, 17, 3, 324), - Trans(4, 18, 3, 324), - Trans(4, 19, 3, 324), - Trans(4, 20, 3, 324), - Trans(4, 21, 3, 324), - Trans(4, 22, 3, 324), - Trans(4, 23, 3, 324), - Trans(4, 24, 3, 324), - Trans(4, 25, 3, 324), - Trans(4, 26, 3, 324), - Trans(4, 28, 3, 324), - Trans(4, 29, 3, 324), - Trans(4, 30, 3, 324), - Trans(4, 32, 3, 324), - Trans(4, 33, 3, 324), - Trans(4, 35, 3, 324), - Trans(4, 36, 3, 324), - Trans(4, 37, 3, 324), - Trans(4, 38, 3, 324), - Trans(4, 39, 3, 324), - Trans(4, 40, 3, 324), - Trans(4, 41, 3, 324), - Trans(4, 42, 3, 324), - Trans(4, 43, 3, 324), - Trans(4, 44, 3, 324), - Trans(4, 45, 3, 324), - Trans(4, 46, 3, 324), - Trans(4, 52, 3, 324), - Trans(4, 75, 3, 324), - Trans(4, 91, 3, 324), - Trans(4, 95, 3, 324), - Trans(5, 5, 41, -1), - Trans(5, 6, 42, -1), - Trans(5, 7, 42, -1), - Trans(5, 8, 42, -1), - Trans(5, 9, 42, -1), - Trans(5, 10, 42, -1), - Trans(5, 11, 42, -1), + Trans(1, 107, 2, -1), + Trans(2, 5, 3, 328), + Trans(2, 12, 3, 328), + Trans(2, 14, 3, 328), + Trans(2, 16, 3, 328), + Trans(2, 17, 3, 328), + Trans(2, 18, 3, 328), + Trans(2, 19, 3, 328), + Trans(2, 20, 3, 328), + Trans(2, 21, 3, 328), + Trans(2, 22, 3, 328), + Trans(2, 23, 3, 328), + Trans(2, 24, 3, 328), + Trans(2, 25, 3, 328), + Trans(2, 26, 3, 328), + Trans(2, 28, 3, 328), + Trans(2, 29, 3, 328), + Trans(2, 30, 3, 328), + Trans(2, 32, 3, 328), + Trans(2, 33, 3, 328), + Trans(2, 35, 3, 328), + Trans(2, 36, 3, 328), + Trans(2, 37, 3, 328), + Trans(2, 38, 3, 328), + Trans(2, 39, 3, 328), + Trans(2, 40, 3, 328), + Trans(2, 41, 3, 328), + Trans(2, 42, 3, 328), + Trans(2, 43, 3, 328), + Trans(2, 44, 3, 328), + Trans(2, 45, 3, 328), + Trans(2, 46, 3, 328), + Trans(2, 52, 3, 328), + Trans(2, 76, 3, 328), + Trans(2, 92, 3, 328), + Trans(2, 96, 3, 328), + Trans(4, 46, 25, 329), + Trans(4, 107, 3, 328), + Trans(5, 5, 51, -1), + Trans(5, 6, 52, -1), + Trans(5, 7, 52, -1), + Trans(5, 8, 52, -1), + Trans(5, 9, 52, -1), + Trans(5, 10, 52, -1), + Trans(5, 11, 52, -1), Trans(5, 18, 28, -1), Trans(5, 24, 28, -1), Trans(5, 25, 28, -1), @@ -10251,19 +10403,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(5, 38, 28, -1), Trans(5, 40, 28, -1), Trans(5, 54, 28, -1), - Trans(5, 67, 28, -1), - Trans(5, 72, 28, -1), - Trans(5, 79, 42, -1), - Trans(5, 82, 42, -1), - Trans(5, 85, 28, -1), - Trans(5, 106, 43, -1), - Trans(6, 5, 41, -1), - Trans(6, 6, 44, -1), - Trans(6, 7, 44, -1), - Trans(6, 8, 44, -1), - Trans(6, 9, 44, -1), - Trans(6, 10, 44, -1), - Trans(6, 11, 44, -1), + Trans(5, 68, 28, -1), + Trans(5, 73, 28, -1), + Trans(5, 80, 52, -1), + Trans(5, 83, 52, -1), + Trans(5, 86, 28, -1), + Trans(5, 107, 53, -1), + Trans(6, 5, 51, -1), + Trans(6, 6, 54, -1), + Trans(6, 7, 54, -1), + Trans(6, 8, 54, -1), + Trans(6, 9, 54, -1), + Trans(6, 10, 54, -1), + Trans(6, 11, 54, -1), Trans(6, 18, 28, -1), Trans(6, 24, 28, -1), Trans(6, 25, 28, -1), @@ -10273,46 +10425,46 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(6, 38, 28, -1), Trans(6, 40, 28, -1), Trans(6, 54, 28, -1), - Trans(6, 67, 28, -1), - Trans(6, 72, 28, -1), - Trans(6, 79, 44, -1), - Trans(6, 82, 44, -1), - Trans(6, 85, 28, -1), - Trans(6, 106, 45, -1), - Trans(7, 5, 46, -1), - Trans(7, 6, 47, -1), - Trans(7, 7, 47, -1), - Trans(7, 8, 47, -1), - Trans(7, 9, 47, -1), - Trans(7, 10, 47, -1), - Trans(7, 11, 47, -1), + Trans(6, 68, 28, -1), + Trans(6, 73, 28, -1), + Trans(6, 80, 54, -1), + Trans(6, 83, 54, -1), + Trans(6, 86, 28, -1), + Trans(6, 107, 55, -1), + Trans(7, 5, 56, -1), + Trans(7, 6, 57, -1), + Trans(7, 7, 57, -1), + Trans(7, 8, 57, -1), + Trans(7, 9, 57, -1), + Trans(7, 10, 57, -1), + Trans(7, 11, 57, -1), Trans(7, 18, 28, -1), Trans(7, 24, 28, -1), Trans(7, 25, 28, -1), Trans(7, 26, 28, -1), Trans(7, 27, 28, -1), Trans(7, 31, 29, -1), - Trans(7, 38, 48, -1), + Trans(7, 38, 58, -1), Trans(7, 40, 28, -1), Trans(7, 54, 28, -1), - Trans(7, 62, 29, -1), - Trans(7, 66, 33, -1), - Trans(7, 67, 28, -1), - Trans(7, 72, 28, -1), - Trans(7, 76, 29, -1), - Trans(7, 79, 47, -1), - Trans(7, 82, 47, -1), - Trans(7, 85, 28, -1), - Trans(7, 92, 28, -1), - Trans(7, 93, 39, -1), - Trans(7, 106, 49, -1), - Trans(8, 5, 50, -1), - Trans(8, 6, 51, -1), - Trans(8, 7, 51, -1), - Trans(8, 8, 51, -1), - Trans(8, 9, 51, -1), - Trans(8, 10, 51, -1), - Trans(8, 11, 51, -1), + Trans(7, 63, 29, -1), + Trans(7, 67, 33, -1), + Trans(7, 68, 28, -1), + Trans(7, 73, 28, -1), + Trans(7, 77, 29, -1), + Trans(7, 80, 57, -1), + Trans(7, 83, 57, -1), + Trans(7, 86, 28, -1), + Trans(7, 93, 28, -1), + Trans(7, 94, 39, -1), + Trans(7, 107, 59, -1), + Trans(8, 5, 60, -1), + Trans(8, 6, 61, -1), + Trans(8, 7, 61, -1), + Trans(8, 8, 61, -1), + Trans(8, 9, 61, -1), + Trans(8, 10, 61, -1), + Trans(8, 11, 61, -1), Trans(8, 18, 28, -1), Trans(8, 24, 28, -1), Trans(8, 25, 28, -1), @@ -10320,27 +10472,27 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(8, 27, 28, -1), Trans(8, 31, 29, -1), Trans(8, 36, 30, -1), - Trans(8, 38, 52, -1), + Trans(8, 38, 62, -1), Trans(8, 40, 28, -1), - Trans(8, 42, 53, -1), - Trans(8, 44, 54, -1), + Trans(8, 42, 63, -1), + Trans(8, 44, 48, -1), Trans(8, 54, 28, -1), Trans(8, 55, 35, -1), - Trans(8, 67, 28, -1), - Trans(8, 72, 28, -1), - Trans(8, 77, 29, -1), - Trans(8, 79, 51, -1), - Trans(8, 82, 51, -1), - Trans(8, 85, 28, -1), - Trans(8, 87, 29, -1), - Trans(8, 106, 55, -1), - Trans(9, 5, 41, -1), - Trans(9, 6, 56, -1), - Trans(9, 7, 56, -1), - Trans(9, 8, 56, -1), - Trans(9, 9, 56, -1), - Trans(9, 10, 56, -1), - Trans(9, 11, 56, -1), + Trans(8, 68, 28, -1), + Trans(8, 73, 28, -1), + Trans(8, 78, 29, -1), + Trans(8, 80, 61, -1), + Trans(8, 83, 61, -1), + Trans(8, 86, 28, -1), + Trans(8, 88, 29, -1), + Trans(8, 107, 64, -1), + Trans(9, 5, 51, -1), + Trans(9, 6, 65, -1), + Trans(9, 7, 65, -1), + Trans(9, 8, 65, -1), + Trans(9, 9, 65, -1), + Trans(9, 10, 65, -1), + Trans(9, 11, 65, -1), Trans(9, 18, 28, -1), Trans(9, 24, 28, -1), Trans(9, 25, 28, -1), @@ -10350,19 +10502,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(9, 38, 28, -1), Trans(9, 40, 28, -1), Trans(9, 54, 28, -1), - Trans(9, 67, 28, -1), - Trans(9, 72, 28, -1), - Trans(9, 79, 56, -1), - Trans(9, 82, 56, -1), - Trans(9, 85, 28, -1), - Trans(9, 106, 57, -1), - Trans(10, 5, 41, -1), - Trans(10, 6, 58, -1), - Trans(10, 7, 58, -1), - Trans(10, 8, 58, -1), - Trans(10, 9, 58, -1), - Trans(10, 10, 58, -1), - Trans(10, 11, 58, -1), + Trans(9, 68, 28, -1), + Trans(9, 73, 28, -1), + Trans(9, 80, 65, -1), + Trans(9, 83, 65, -1), + Trans(9, 86, 28, -1), + Trans(9, 107, 66, -1), + Trans(10, 5, 51, -1), + Trans(10, 6, 67, -1), + Trans(10, 7, 67, -1), + Trans(10, 8, 67, -1), + Trans(10, 9, 67, -1), + Trans(10, 10, 67, -1), + Trans(10, 11, 67, -1), Trans(10, 18, 28, -1), Trans(10, 24, 28, -1), Trans(10, 25, 28, -1), @@ -10372,21 +10524,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(10, 38, 28, -1), Trans(10, 40, 28, -1), Trans(10, 54, 28, -1), - Trans(10, 67, 28, -1), - Trans(10, 72, 28, -1), - Trans(10, 79, 58, -1), - Trans(10, 82, 58, -1), - Trans(10, 85, 28, -1), - Trans(10, 106, 59, -1), - Trans(11, 5, 60, -1), - Trans(11, 40, 61, -1), - Trans(12, 5, 41, -1), - Trans(12, 6, 62, -1), - Trans(12, 7, 62, -1), - Trans(12, 8, 62, -1), - Trans(12, 9, 62, -1), - Trans(12, 10, 62, -1), - Trans(12, 11, 62, -1), + Trans(10, 68, 28, -1), + Trans(10, 73, 28, -1), + Trans(10, 80, 67, -1), + Trans(10, 83, 67, -1), + Trans(10, 86, 28, -1), + Trans(10, 107, 68, -1), + Trans(11, 5, 101, -1), + Trans(11, 40, 89, -1), + Trans(12, 5, 51, -1), + Trans(12, 6, 69, -1), + Trans(12, 7, 69, -1), + Trans(12, 8, 69, -1), + Trans(12, 9, 69, -1), + Trans(12, 10, 69, -1), + Trans(12, 11, 69, -1), Trans(12, 18, 28, -1), Trans(12, 24, 28, -1), Trans(12, 25, 28, -1), @@ -10396,19 +10548,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(12, 38, 28, -1), Trans(12, 40, 28, -1), Trans(12, 54, 28, -1), - Trans(12, 67, 28, -1), - Trans(12, 72, 28, -1), - Trans(12, 79, 62, -1), - Trans(12, 82, 62, -1), - Trans(12, 85, 28, -1), - Trans(12, 106, 63, -1), - Trans(13, 5, 64, -1), - Trans(13, 6, 65, -1), - Trans(13, 7, 65, -1), - Trans(13, 8, 65, -1), - Trans(13, 9, 65, -1), - Trans(13, 10, 65, -1), - Trans(13, 11, 65, -1), + Trans(12, 68, 28, -1), + Trans(12, 73, 28, -1), + Trans(12, 80, 69, -1), + Trans(12, 83, 69, -1), + Trans(12, 86, 28, -1), + Trans(12, 107, 70, -1), + Trans(13, 5, 71, -1), + Trans(13, 6, 72, -1), + Trans(13, 7, 72, -1), + Trans(13, 8, 72, -1), + Trans(13, 9, 72, -1), + Trans(13, 10, 72, -1), + Trans(13, 11, 72, -1), Trans(13, 18, 28, -1), Trans(13, 24, 28, -1), Trans(13, 25, 28, -1), @@ -10417,44 +10569,44 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(13, 29, 29, -1), Trans(13, 31, 29, -1), Trans(13, 36, 30, -1), - Trans(13, 38, 66, -1), + Trans(13, 38, 73, -1), Trans(13, 40, 28, -1), - Trans(13, 42, 67, -1), + Trans(13, 42, 74, -1), Trans(13, 47, 33, -1), Trans(13, 48, 34, -1), Trans(13, 49, 29, -1), Trans(13, 54, 28, -1), Trans(13, 55, 35, -1), - Trans(13, 57, 29, -1), - Trans(13, 61, 33, -1), - Trans(13, 62, 29, -1), + Trans(13, 58, 29, -1), + Trans(13, 62, 33, -1), Trans(13, 63, 29, -1), - Trans(13, 66, 33, -1), - Trans(13, 67, 28, -1), - Trans(13, 68, 37, -1), - Trans(13, 69, 33, -1), - Trans(13, 72, 28, -1), - Trans(13, 73, 29, -1), - Trans(13, 76, 29, -1), + Trans(13, 64, 29, -1), + Trans(13, 67, 33, -1), + Trans(13, 68, 28, -1), + Trans(13, 69, 37, -1), + Trans(13, 70, 33, -1), + Trans(13, 73, 28, -1), + Trans(13, 74, 29, -1), Trans(13, 77, 29, -1), - Trans(13, 79, 65, -1), - Trans(13, 80, 29, -1), - Trans(13, 82, 65, -1), - Trans(13, 85, 28, -1), - Trans(13, 92, 28, -1), - Trans(13, 93, 39, -1), - Trans(13, 97, 29, -1), - Trans(13, 101, 29, -1), - Trans(13, 104, 29, -1), + Trans(13, 78, 29, -1), + Trans(13, 80, 72, -1), + Trans(13, 81, 29, -1), + Trans(13, 83, 72, -1), + Trans(13, 86, 28, -1), + Trans(13, 93, 28, -1), + Trans(13, 94, 39, -1), + Trans(13, 98, 29, -1), + Trans(13, 102, 29, -1), Trans(13, 105, 29, -1), - Trans(13, 106, 68, -1), - Trans(14, 5, 41, -1), - Trans(14, 6, 47, -1), - Trans(14, 7, 47, -1), - Trans(14, 8, 47, -1), - Trans(14, 9, 47, -1), - Trans(14, 10, 47, -1), - Trans(14, 11, 47, -1), + Trans(13, 106, 29, -1), + Trans(13, 107, 75, -1), + Trans(14, 5, 51, -1), + Trans(14, 6, 57, -1), + Trans(14, 7, 57, -1), + Trans(14, 8, 57, -1), + Trans(14, 9, 57, -1), + Trans(14, 10, 57, -1), + Trans(14, 11, 57, -1), Trans(14, 18, 28, -1), Trans(14, 24, 28, -1), Trans(14, 25, 28, -1), @@ -10464,27 +10616,27 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(14, 38, 28, -1), Trans(14, 40, 28, -1), Trans(14, 54, 28, -1), - Trans(14, 67, 28, -1), - Trans(14, 72, 28, -1), - Trans(14, 79, 47, -1), - Trans(14, 82, 47, -1), - Trans(14, 85, 28, -1), - Trans(14, 106, 69, -1), - Trans(15, 5, 70, -1), + Trans(14, 68, 28, -1), + Trans(14, 73, 28, -1), + Trans(14, 80, 57, -1), + Trans(14, 83, 57, -1), + Trans(14, 86, 28, -1), + Trans(14, 107, 76, -1), + Trans(15, 5, 98, -1), Trans(15, 36, 30, -1), - Trans(15, 38, 71, -1), + Trans(15, 38, 99, -1), Trans(15, 44, 39, -1), - Trans(15, 106, 72, -1), - Trans(16, 5, 73, -1), - Trans(16, 30, 74, -1), + Trans(15, 107, 100, -1), + Trans(16, 5, 90, -1), + Trans(16, 30, 91, -1), Trans(16, 35, 28, -1), - Trans(16, 38, 75, -1), + Trans(16, 38, 92, -1), Trans(16, 39, 28, -1), - Trans(16, 42, 76, -1), - Trans(16, 44, 77, -1), - Trans(16, 45, 78, -1), - Trans(16, 75, 28, -1), - Trans(17, 5, 79, -1), + Trans(16, 42, 93, -1), + Trans(16, 44, 94, -1), + Trans(16, 45, 95, -1), + Trans(16, 76, 28, -1), + Trans(17, 5, 41, -1), Trans(17, 12, 28, -1), Trans(17, 14, 28, -1), Trans(17, 16, 28, -1), @@ -10498,42 +10650,42 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(17, 24, 28, -1), Trans(17, 25, 28, -1), Trans(17, 26, 28, -1), - Trans(17, 29, 80, -1), - Trans(17, 30, 81, -1), + Trans(17, 29, 42, -1), + Trans(17, 30, 43, -1), Trans(17, 32, 28, -1), Trans(17, 33, 28, -1), Trans(17, 36, 30, -1), - Trans(17, 38, 82, -1), - Trans(17, 41, 83, -1), - Trans(17, 42, 84, -1), - Trans(17, 43, 85, -1), - Trans(17, 44, 54, -1), - Trans(17, 45, 82, -1), + Trans(17, 38, 44, -1), + Trans(17, 41, 45, -1), + Trans(17, 42, 46, -1), + Trans(17, 43, 47, -1), + Trans(17, 44, 48, -1), + Trans(17, 45, 44, -1), Trans(17, 46, 28, -1), Trans(17, 47, 33, -1), Trans(17, 48, 34, -1), Trans(17, 49, 29, -1), Trans(17, 52, 37, -1), - Trans(17, 56, 86, -1), - Trans(17, 57, 29, -1), - Trans(17, 58, 36, -1), - Trans(17, 61, 33, -1), - Trans(17, 62, 29, -1), + Trans(17, 56, 49, -1), + Trans(17, 58, 29, -1), + Trans(17, 59, 36, -1), + Trans(17, 62, 33, -1), Trans(17, 63, 29, -1), - Trans(17, 67, 28, -1), - Trans(17, 68, 37, -1), - Trans(17, 69, 33, -1), - Trans(17, 73, 29, -1), - Trans(17, 76, 29, -1), + Trans(17, 64, 29, -1), + Trans(17, 68, 28, -1), + Trans(17, 69, 37, -1), + Trans(17, 70, 33, -1), + Trans(17, 74, 29, -1), Trans(17, 77, 29, -1), - Trans(17, 80, 29, -1), - Trans(17, 91, 28, -1), - Trans(17, 95, 87, -1), - Trans(17, 97, 29, -1), - Trans(17, 101, 29, -1), - Trans(17, 104, 29, -1), + Trans(17, 78, 29, -1), + Trans(17, 81, 29, -1), + Trans(17, 92, 28, -1), + Trans(17, 96, 50, -1), + Trans(17, 98, 29, -1), + Trans(17, 102, 29, -1), Trans(17, 105, 29, -1), - Trans(18, 5, 88, -1), + Trans(17, 106, 29, -1), + Trans(18, 5, 82, -1), Trans(18, 12, 28, -1), Trans(18, 14, 28, -1), Trans(18, 15, 28, -1), @@ -10548,28 +10700,28 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(18, 24, 28, -1), Trans(18, 25, 28, -1), Trans(18, 26, 28, -1), - Trans(18, 29, 80, -1), - Trans(18, 30, 89, -1), + Trans(18, 29, 42, -1), + Trans(18, 30, 83, -1), Trans(18, 32, 28, -1), Trans(18, 33, 28, -1), Trans(18, 34, 29, -1), Trans(18, 35, 28, -1), Trans(18, 36, 34, -1), - Trans(18, 38, 90, -1), + Trans(18, 38, 84, -1), Trans(18, 39, 28, -1), - Trans(18, 40, 91, -1), - Trans(18, 41, 83, -1), - Trans(18, 42, 92, -1), - Trans(18, 43, 85, -1), - Trans(18, 44, 54, -1), - Trans(18, 45, 82, -1), + Trans(18, 40, 85, -1), + Trans(18, 41, 45, -1), + Trans(18, 42, 86, -1), + Trans(18, 43, 47, -1), + Trans(18, 44, 48, -1), + Trans(18, 45, 44, -1), Trans(18, 46, 28, -1), Trans(18, 52, 37, -1), - Trans(18, 91, 28, -1), - Trans(18, 95, 87, -1), - Trans(19, 5, 93, -1), + Trans(18, 92, 28, -1), + Trans(18, 96, 50, -1), + Trans(19, 5, 87, -1), Trans(19, 12, 28, -1), - Trans(19, 13, 94, -1), + Trans(19, 13, 88, -1), Trans(19, 14, 28, -1), Trans(19, 16, 28, -1), Trans(19, 17, 28, -1), @@ -10582,22 +10734,22 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(19, 24, 28, -1), Trans(19, 25, 28, -1), Trans(19, 26, 28, -1), - Trans(19, 29, 80, -1), - Trans(19, 30, 81, -1), + Trans(19, 29, 42, -1), + Trans(19, 30, 43, -1), Trans(19, 32, 28, -1), Trans(19, 33, 28, -1), - Trans(19, 38, 90, -1), - Trans(19, 40, 61, -1), - Trans(19, 41, 83, -1), - Trans(19, 42, 92, -1), - Trans(19, 43, 85, -1), - Trans(19, 44, 54, -1), - Trans(19, 45, 82, -1), + Trans(19, 38, 84, -1), + Trans(19, 40, 89, -1), + Trans(19, 41, 45, -1), + Trans(19, 42, 86, -1), + Trans(19, 43, 47, -1), + Trans(19, 44, 48, -1), + Trans(19, 45, 44, -1), Trans(19, 46, 28, -1), Trans(19, 52, 37, -1), - Trans(19, 91, 28, -1), - Trans(19, 95, 87, -1), - Trans(20, 0, 25, 325), + Trans(19, 92, 28, -1), + Trans(19, 96, 50, -1), + Trans(20, 0, 25, 329), Trans(20, 5, 26, -1), Trans(20, 6, 27, -1), Trans(20, 7, 27, -1), @@ -10621,44 +10773,45 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(20, 49, 29, -1), Trans(20, 54, 28, -1), Trans(20, 55, 35, -1), - Trans(20, 57, 29, -1), - Trans(20, 58, 36, -1), - Trans(20, 61, 33, -1), - Trans(20, 62, 29, -1), + Trans(20, 57, 34, -1), + Trans(20, 58, 29, -1), + Trans(20, 59, 36, -1), + Trans(20, 62, 33, -1), Trans(20, 63, 29, -1), - Trans(20, 66, 33, -1), - Trans(20, 67, 28, -1), - Trans(20, 68, 37, -1), - Trans(20, 69, 33, -1), - Trans(20, 72, 28, -1), - Trans(20, 73, 29, -1), + Trans(20, 64, 29, -1), + Trans(20, 67, 33, -1), + Trans(20, 68, 28, -1), + Trans(20, 69, 37, -1), + Trans(20, 70, 33, -1), + Trans(20, 73, 28, -1), Trans(20, 74, 29, -1), - Trans(20, 76, 29, -1), + Trans(20, 75, 29, -1), Trans(20, 77, 29, -1), - Trans(20, 79, 27, -1), - Trans(20, 80, 29, -1), + Trans(20, 78, 29, -1), + Trans(20, 80, 27, -1), Trans(20, 81, 29, -1), - Trans(20, 82, 27, -1), - Trans(20, 85, 28, -1), - Trans(20, 86, 29, -1), - Trans(20, 89, 38, -1), - Trans(20, 92, 28, -1), - Trans(20, 93, 39, -1), - Trans(20, 97, 29, -1), - Trans(20, 101, 29, -1), - Trans(20, 104, 29, -1), + Trans(20, 82, 29, -1), + Trans(20, 83, 27, -1), + Trans(20, 86, 28, -1), + Trans(20, 87, 29, -1), + Trans(20, 90, 38, -1), + Trans(20, 93, 28, -1), + Trans(20, 94, 39, -1), + Trans(20, 98, 29, -1), + Trans(20, 102, 29, -1), Trans(20, 105, 29, -1), - Trans(20, 106, 40, -1), - Trans(21, 5, 95, -1), + Trans(20, 106, 29, -1), + Trans(20, 107, 40, -1), + Trans(21, 5, 96, -1), Trans(21, 31, 29, -1), - Trans(21, 106, 96, -1), - Trans(22, 5, 41, -1), - Trans(22, 6, 97, -1), - Trans(22, 7, 97, -1), - Trans(22, 8, 97, -1), - Trans(22, 9, 97, -1), - Trans(22, 10, 97, -1), - Trans(22, 11, 97, -1), + Trans(21, 107, 97, -1), + Trans(22, 5, 51, -1), + Trans(22, 6, 77, -1), + Trans(22, 7, 77, -1), + Trans(22, 8, 77, -1), + Trans(22, 9, 77, -1), + Trans(22, 10, 77, -1), + Trans(22, 11, 77, -1), Trans(22, 18, 28, -1), Trans(22, 24, 28, -1), Trans(22, 25, 28, -1), @@ -10668,19 +10821,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(22, 38, 28, -1), Trans(22, 40, 28, -1), Trans(22, 54, 28, -1), - Trans(22, 67, 28, -1), - Trans(22, 72, 28, -1), - Trans(22, 79, 97, -1), - Trans(22, 82, 97, -1), - Trans(22, 85, 28, -1), - Trans(22, 106, 98, -1), - Trans(23, 5, 41, -1), - Trans(23, 6, 99, -1), - Trans(23, 7, 99, -1), - Trans(23, 8, 99, -1), - Trans(23, 9, 99, -1), - Trans(23, 10, 99, -1), - Trans(23, 11, 99, -1), + Trans(22, 68, 28, -1), + Trans(22, 73, 28, -1), + Trans(22, 80, 77, -1), + Trans(22, 83, 77, -1), + Trans(22, 86, 28, -1), + Trans(22, 107, 78, -1), + Trans(23, 5, 51, -1), + Trans(23, 6, 79, -1), + Trans(23, 7, 79, -1), + Trans(23, 8, 79, -1), + Trans(23, 9, 79, -1), + Trans(23, 10, 79, -1), + Trans(23, 11, 79, -1), Trans(23, 18, 28, -1), Trans(23, 24, 28, -1), Trans(23, 25, 28, -1), @@ -10690,19 +10843,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(23, 38, 28, -1), Trans(23, 40, 28, -1), Trans(23, 54, 28, -1), - Trans(23, 67, 28, -1), - Trans(23, 72, 28, -1), - Trans(23, 79, 99, -1), - Trans(23, 82, 99, -1), - Trans(23, 85, 28, -1), - Trans(23, 106, 100, -1), - Trans(24, 5, 101, -1), - Trans(24, 6, 42, -1), - Trans(24, 7, 42, -1), - Trans(24, 8, 42, -1), - Trans(24, 9, 42, -1), - Trans(24, 10, 42, -1), - Trans(24, 11, 42, -1), + Trans(23, 68, 28, -1), + Trans(23, 73, 28, -1), + Trans(23, 80, 79, -1), + Trans(23, 83, 79, -1), + Trans(23, 86, 28, -1), + Trans(23, 107, 80, -1), + Trans(24, 5, 81, -1), + Trans(24, 6, 52, -1), + Trans(24, 7, 52, -1), + Trans(24, 8, 52, -1), + Trans(24, 9, 52, -1), + Trans(24, 10, 52, -1), + Trans(24, 11, 52, -1), Trans(24, 15, 28, -1), Trans(24, 18, 28, -1), Trans(24, 24, 28, -1), @@ -10713,2626 +10866,2632 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 598] = &[ Trans(24, 38, 28, -1), Trans(24, 40, 28, -1), Trans(24, 54, 28, -1), - Trans(24, 67, 28, -1), - Trans(24, 72, 28, -1), - Trans(24, 79, 42, -1), - Trans(24, 82, 42, -1), - Trans(24, 85, 28, -1), - Trans(24, 106, 43, -1), - Trans(26, 0, 25, 325), - Trans(26, 6, 25, 325), - Trans(26, 7, 25, 325), - Trans(26, 8, 25, 325), - Trans(26, 9, 25, 325), - Trans(26, 10, 25, 325), - Trans(26, 11, 25, 325), - Trans(26, 18, 25, 325), - Trans(26, 24, 25, 325), - Trans(26, 25, 25, 325), - Trans(26, 26, 25, 325), - Trans(26, 27, 25, 325), - Trans(26, 29, 25, 325), - Trans(26, 31, 25, 325), - Trans(26, 36, 25, 325), - Trans(26, 38, 25, 325), - Trans(26, 40, 25, 325), - Trans(26, 42, 25, 325), - Trans(26, 47, 25, 325), - Trans(26, 48, 25, 325), - Trans(26, 49, 25, 325), - Trans(26, 54, 25, 325), - Trans(26, 55, 25, 325), - Trans(26, 57, 25, 325), - Trans(26, 58, 25, 325), - Trans(26, 61, 25, 325), - Trans(26, 62, 25, 325), - Trans(26, 63, 25, 325), - Trans(26, 66, 25, 325), - Trans(26, 67, 25, 325), - Trans(26, 68, 25, 325), - Trans(26, 69, 25, 325), - Trans(26, 72, 25, 325), - Trans(26, 73, 25, 325), - Trans(26, 74, 25, 325), - Trans(26, 76, 25, 325), - Trans(26, 77, 25, 325), - Trans(26, 79, 25, 325), - Trans(26, 80, 25, 325), - Trans(26, 81, 25, 325), - Trans(26, 82, 25, 325), - Trans(26, 85, 25, 325), - Trans(26, 86, 25, 325), - Trans(26, 89, 25, 325), - Trans(26, 92, 25, 325), - Trans(26, 93, 25, 325), - Trans(26, 97, 25, 325), - Trans(26, 101, 25, 325), - Trans(26, 104, 25, 325), - Trans(26, 105, 25, 325), - Trans(26, 106, 25, 325), - Trans(27, 5, 25, 325), - Trans(27, 16, 25, 325), - Trans(27, 17, 25, 325), - Trans(27, 18, 25, 325), - Trans(27, 19, 25, 325), - Trans(27, 20, 25, 325), - Trans(27, 21, 25, 325), - Trans(27, 22, 25, 325), - Trans(27, 23, 25, 325), - Trans(27, 24, 25, 325), - Trans(27, 25, 25, 325), - Trans(27, 26, 25, 325), - Trans(27, 29, 25, 325), - Trans(27, 30, 25, 325), - Trans(27, 46, 25, 325), - Trans(27, 52, 25, 325), - Trans(28, 5, 25, 325), - Trans(28, 6, 25, 325), - Trans(28, 7, 25, 325), - Trans(28, 8, 25, 325), - Trans(28, 9, 25, 325), - Trans(28, 10, 25, 325), - Trans(28, 11, 25, 325), - Trans(28, 18, 25, 325), - Trans(28, 24, 25, 325), - Trans(28, 25, 25, 325), - Trans(28, 26, 25, 325), - Trans(28, 27, 25, 325), - Trans(28, 31, 25, 325), - Trans(28, 38, 25, 325), - Trans(28, 40, 25, 325), - Trans(28, 54, 25, 325), - Trans(28, 67, 25, 325), - Trans(28, 72, 25, 325), - Trans(28, 79, 25, 325), - Trans(28, 82, 25, 325), - Trans(28, 85, 25, 325), - Trans(28, 106, 25, 325), - Trans(29, 5, 25, 325), - Trans(29, 106, 25, 325), - Trans(30, 5, 25, 325), - Trans(30, 39, 25, 325), - Trans(31, 5, 25, 325), - Trans(31, 6, 25, 325), - Trans(31, 7, 25, 325), - Trans(31, 8, 25, 325), - Trans(31, 9, 25, 325), - Trans(31, 10, 25, 325), - Trans(31, 11, 25, 325), - Trans(31, 18, 25, 325), - Trans(31, 24, 25, 325), - Trans(31, 25, 25, 325), - Trans(31, 26, 25, 325), - Trans(31, 27, 25, 325), - Trans(31, 29, 25, 325), - Trans(31, 31, 25, 325), - Trans(31, 36, 25, 325), - Trans(31, 38, 25, 325), - Trans(31, 40, 25, 325), - Trans(31, 42, 25, 325), - Trans(31, 47, 25, 325), - Trans(31, 48, 25, 325), - Trans(31, 49, 25, 325), - Trans(31, 54, 25, 325), - Trans(31, 57, 25, 325), - Trans(31, 58, 25, 325), - Trans(31, 61, 25, 325), - Trans(31, 62, 25, 325), - Trans(31, 63, 25, 325), - Trans(31, 67, 25, 325), - Trans(31, 68, 25, 325), - Trans(31, 69, 25, 325), - Trans(31, 72, 25, 325), - Trans(31, 73, 25, 325), - Trans(31, 74, 25, 325), - Trans(31, 76, 25, 325), - Trans(31, 77, 25, 325), - Trans(31, 79, 25, 325), - Trans(31, 80, 25, 325), - Trans(31, 81, 25, 325), - Trans(31, 82, 25, 325), - Trans(31, 85, 25, 325), - Trans(31, 86, 25, 325), - Trans(31, 89, 25, 325), - Trans(31, 97, 25, 325), - Trans(31, 101, 25, 325), - Trans(31, 104, 25, 325), - Trans(31, 105, 25, 325), - Trans(31, 106, 25, 325), - Trans(32, 0, 25, 325), - Trans(32, 5, 25, 325), - Trans(32, 6, 25, 325), - Trans(32, 7, 25, 325), - Trans(32, 8, 25, 325), - Trans(32, 9, 25, 325), - Trans(32, 10, 25, 325), - Trans(32, 11, 25, 325), - Trans(32, 18, 25, 325), - Trans(32, 24, 25, 325), - Trans(32, 25, 25, 325), - Trans(32, 26, 25, 325), - Trans(32, 27, 25, 325), - Trans(32, 29, 25, 325), - Trans(32, 31, 25, 325), - Trans(32, 36, 25, 325), - Trans(32, 38, 25, 325), - Trans(32, 40, 25, 325), - Trans(32, 42, 25, 325), - Trans(32, 47, 25, 325), - Trans(32, 48, 25, 325), - Trans(32, 49, 25, 325), - Trans(32, 54, 25, 325), - Trans(32, 55, 25, 325), - Trans(32, 56, 25, 325), - Trans(32, 57, 25, 325), - Trans(32, 58, 25, 325), - Trans(32, 61, 25, 325), - Trans(32, 62, 25, 325), - Trans(32, 63, 25, 325), - Trans(32, 66, 25, 325), - Trans(32, 67, 25, 325), - Trans(32, 68, 25, 325), - Trans(32, 69, 25, 325), - Trans(32, 72, 25, 325), - Trans(32, 73, 25, 325), - Trans(32, 74, 25, 325), - Trans(32, 76, 25, 325), - Trans(32, 77, 25, 325), - Trans(32, 79, 25, 325), - Trans(32, 80, 25, 325), - Trans(32, 81, 25, 325), - Trans(32, 82, 25, 325), - Trans(32, 85, 25, 325), - Trans(32, 86, 25, 325), - Trans(32, 89, 25, 325), - Trans(32, 92, 25, 325), - Trans(32, 93, 25, 325), - Trans(32, 97, 25, 325), - Trans(32, 101, 25, 325), - Trans(32, 104, 25, 325), - Trans(32, 105, 25, 325), - Trans(32, 106, 25, 325), - Trans(33, 5, 25, 325), - Trans(33, 38, 25, 325), - Trans(34, 5, 25, 325), - Trans(34, 40, 25, 325), - Trans(35, 5, 25, 325), - Trans(35, 29, 25, 325), - Trans(36, 5, 25, 325), - Trans(36, 31, 25, 325), - Trans(36, 46, 25, 325), - Trans(36, 106, 25, 325), - Trans(37, 5, 25, 325), - Trans(37, 31, 25, 325), - Trans(37, 106, 25, 325), - Trans(38, 5, 25, 325), - Trans(38, 74, 25, 325), - Trans(38, 81, 25, 325), - Trans(38, 86, 25, 325), - Trans(39, 5, 25, 325), - Trans(39, 45, 25, 325), - Trans(40, 5, 25, 325), - Trans(40, 15, 25, 325), - Trans(40, 16, 25, 325), - Trans(40, 17, 25, 325), - Trans(40, 18, 25, 325), - Trans(40, 19, 25, 325), - Trans(40, 20, 25, 325), - Trans(40, 21, 25, 325), - Trans(40, 22, 25, 325), - Trans(40, 23, 25, 325), - Trans(40, 24, 25, 325), - Trans(40, 25, 25, 325), - Trans(40, 26, 25, 325), - Trans(40, 28, 25, 325), - Trans(40, 29, 25, 325), - Trans(40, 30, 25, 325), - Trans(40, 34, 25, 325), - Trans(40, 35, 25, 325), - Trans(40, 39, 25, 325), - Trans(40, 40, 25, 325), - Trans(40, 46, 25, 325), - Trans(40, 52, 25, 325), - Trans(41, 6, 25, 325), - Trans(41, 7, 25, 325), - Trans(41, 8, 25, 325), - Trans(41, 9, 25, 325), - Trans(41, 10, 25, 325), - Trans(41, 11, 25, 325), - Trans(41, 18, 25, 325), - Trans(41, 24, 25, 325), - Trans(41, 25, 25, 325), - Trans(41, 26, 25, 325), - Trans(41, 27, 25, 325), - Trans(41, 31, 25, 325), - Trans(41, 38, 25, 325), - Trans(41, 40, 25, 325), - Trans(41, 54, 25, 325), - Trans(41, 67, 25, 325), - Trans(41, 72, 25, 325), - Trans(41, 79, 25, 325), - Trans(41, 82, 25, 325), - Trans(41, 85, 25, 325), - Trans(41, 106, 25, 325), - Trans(42, 5, 25, 325), - Trans(42, 16, 25, 325), - Trans(42, 17, 25, 325), - Trans(42, 18, 25, 325), - Trans(42, 19, 25, 325), - Trans(42, 20, 25, 325), - Trans(42, 21, 25, 325), - Trans(42, 22, 25, 325), - Trans(42, 23, 25, 325), - Trans(42, 24, 25, 325), - Trans(42, 25, 25, 325), - Trans(42, 26, 25, 325), - Trans(42, 43, 25, 325), - Trans(42, 46, 25, 325), - Trans(42, 52, 25, 325), - Trans(43, 5, 25, 325), - Trans(43, 16, 25, 325), - Trans(43, 17, 25, 325), - Trans(43, 18, 25, 325), - Trans(43, 19, 25, 325), - Trans(43, 20, 25, 325), - Trans(43, 21, 25, 325), - Trans(43, 22, 25, 325), - Trans(43, 23, 25, 325), - Trans(43, 24, 25, 325), - Trans(43, 25, 25, 325), - Trans(43, 26, 25, 325), - Trans(43, 28, 25, 325), - Trans(43, 34, 25, 325), - Trans(43, 39, 25, 325), - Trans(43, 40, 25, 325), - Trans(43, 43, 25, 325), - Trans(43, 46, 25, 325), - Trans(43, 52, 25, 325), - Trans(44, 5, 25, 325), - Trans(44, 12, 25, 325), - Trans(44, 14, 25, 325), - Trans(44, 16, 25, 325), - Trans(44, 17, 25, 325), - Trans(44, 18, 25, 325), - Trans(44, 19, 25, 325), - Trans(44, 20, 25, 325), - Trans(44, 21, 25, 325), - Trans(44, 22, 25, 325), - Trans(44, 23, 25, 325), - Trans(44, 24, 25, 325), - Trans(44, 25, 25, 325), - Trans(44, 26, 25, 325), - Trans(44, 29, 25, 325), - Trans(44, 30, 25, 325), - Trans(44, 32, 25, 325), - Trans(44, 33, 25, 325), - Trans(44, 38, 25, 325), - Trans(44, 41, 25, 325), - Trans(44, 42, 25, 325), - Trans(44, 43, 25, 325), - Trans(44, 44, 25, 325), - Trans(44, 45, 25, 325), - Trans(44, 46, 25, 325), - Trans(44, 52, 25, 325), - Trans(44, 91, 25, 325), - Trans(44, 95, 25, 325), - Trans(45, 5, 25, 325), - Trans(45, 12, 25, 325), - Trans(45, 14, 25, 325), - Trans(45, 16, 25, 325), - Trans(45, 17, 25, 325), - Trans(45, 18, 25, 325), - Trans(45, 19, 25, 325), - Trans(45, 20, 25, 325), - Trans(45, 21, 25, 325), - Trans(45, 22, 25, 325), - Trans(45, 23, 25, 325), - Trans(45, 24, 25, 325), - Trans(45, 25, 25, 325), - Trans(45, 26, 25, 325), - Trans(45, 28, 25, 325), - Trans(45, 29, 25, 325), - Trans(45, 30, 25, 325), - Trans(45, 32, 25, 325), - Trans(45, 33, 25, 325), - Trans(45, 34, 25, 325), - Trans(45, 38, 25, 325), - Trans(45, 39, 25, 325), - Trans(45, 40, 25, 325), - Trans(45, 41, 25, 325), - Trans(45, 42, 25, 325), - Trans(45, 43, 25, 325), - Trans(45, 44, 25, 325), - Trans(45, 45, 25, 325), - Trans(45, 46, 25, 325), - Trans(45, 52, 25, 325), - Trans(45, 91, 25, 325), - Trans(45, 95, 25, 325), - Trans(46, 6, 25, 325), - Trans(46, 7, 25, 325), - Trans(46, 8, 25, 325), - Trans(46, 9, 25, 325), - Trans(46, 10, 25, 325), - Trans(46, 11, 25, 325), - Trans(46, 18, 25, 325), - Trans(46, 24, 25, 325), - Trans(46, 25, 25, 325), - Trans(46, 26, 25, 325), - Trans(46, 27, 25, 325), - Trans(46, 31, 25, 325), - Trans(46, 38, 25, 325), - Trans(46, 40, 25, 325), - Trans(46, 54, 25, 325), - Trans(46, 62, 25, 325), - Trans(46, 66, 25, 325), - Trans(46, 67, 25, 325), - Trans(46, 72, 25, 325), - Trans(46, 76, 25, 325), - Trans(46, 79, 25, 325), - Trans(46, 82, 25, 325), - Trans(46, 85, 25, 325), - Trans(46, 92, 25, 325), - Trans(46, 93, 25, 325), - Trans(46, 106, 25, 325), - Trans(47, 5, 25, 325), - Trans(47, 16, 25, 325), - Trans(47, 17, 25, 325), - Trans(47, 18, 25, 325), - Trans(47, 19, 25, 325), - Trans(47, 20, 25, 325), - Trans(47, 21, 25, 325), - Trans(47, 22, 25, 325), - Trans(47, 23, 25, 325), - Trans(47, 24, 25, 325), - Trans(47, 25, 25, 325), - Trans(47, 26, 25, 325), - Trans(47, 30, 25, 325), - Trans(47, 43, 25, 325), - Trans(47, 46, 25, 325), - Trans(47, 52, 25, 325), - Trans(48, 5, 25, 325), - Trans(48, 6, 25, 325), - Trans(48, 7, 25, 325), - Trans(48, 8, 25, 325), - Trans(48, 9, 25, 325), - Trans(48, 10, 25, 325), - Trans(48, 11, 25, 325), - Trans(48, 18, 25, 325), - Trans(48, 24, 25, 325), - Trans(48, 25, 25, 325), - Trans(48, 26, 25, 325), - Trans(48, 27, 25, 325), - Trans(48, 31, 25, 325), - Trans(48, 38, 25, 325), - Trans(48, 40, 25, 325), - Trans(48, 42, 25, 325), - Trans(48, 54, 25, 325), - Trans(48, 62, 25, 325), - Trans(48, 66, 25, 325), - Trans(48, 67, 25, 325), - Trans(48, 72, 25, 325), - Trans(48, 76, 25, 325), - Trans(48, 79, 25, 325), - Trans(48, 82, 25, 325), - Trans(48, 85, 25, 325), - Trans(48, 92, 25, 325), - Trans(48, 93, 25, 325), - Trans(48, 106, 25, 325), - Trans(49, 5, 25, 325), - Trans(49, 15, 25, 325), - Trans(49, 16, 25, 325), - Trans(49, 17, 25, 325), - Trans(49, 18, 25, 325), - Trans(49, 19, 25, 325), - Trans(49, 20, 25, 325), - Trans(49, 21, 25, 325), - Trans(49, 22, 25, 325), - Trans(49, 23, 25, 325), - Trans(49, 24, 25, 325), - Trans(49, 25, 25, 325), - Trans(49, 26, 25, 325), - Trans(49, 28, 25, 325), - Trans(49, 30, 25, 325), - Trans(49, 34, 25, 325), - Trans(49, 35, 25, 325), - Trans(49, 38, 25, 325), - Trans(49, 39, 25, 325), - Trans(49, 40, 25, 325), - Trans(49, 43, 25, 325), - Trans(49, 46, 25, 325), - Trans(49, 52, 25, 325), - Trans(50, 6, 25, 325), - Trans(50, 7, 25, 325), - Trans(50, 8, 25, 325), - Trans(50, 9, 25, 325), - Trans(50, 10, 25, 325), - Trans(50, 11, 25, 325), - Trans(50, 18, 25, 325), - Trans(50, 24, 25, 325), - Trans(50, 25, 25, 325), - Trans(50, 26, 25, 325), - Trans(50, 27, 25, 325), - Trans(50, 31, 25, 325), - Trans(50, 36, 25, 325), - Trans(50, 38, 25, 325), - Trans(50, 40, 25, 325), - Trans(50, 42, 25, 325), - Trans(50, 44, 25, 325), - Trans(50, 54, 25, 325), - Trans(50, 55, 25, 325), - Trans(50, 67, 25, 325), - Trans(50, 72, 25, 325), - Trans(50, 77, 25, 325), - Trans(50, 79, 25, 325), - Trans(50, 82, 25, 325), - Trans(50, 85, 25, 325), - Trans(50, 87, 25, 325), - Trans(50, 106, 25, 325), - Trans(51, 5, 25, 325), - Trans(51, 16, 25, 325), - Trans(51, 17, 25, 325), - Trans(51, 18, 25, 325), - Trans(51, 19, 25, 325), - Trans(51, 20, 25, 325), - Trans(51, 21, 25, 325), - Trans(51, 22, 25, 325), - Trans(51, 23, 25, 325), - Trans(51, 24, 25, 325), - Trans(51, 25, 25, 325), - Trans(51, 26, 25, 325), - Trans(51, 29, 25, 325), - Trans(51, 30, 25, 325), - Trans(51, 32, 25, 325), - Trans(51, 33, 25, 325), - Trans(51, 41, 25, 325), - Trans(51, 42, 25, 325), - Trans(51, 43, 25, 325), - Trans(51, 44, 25, 325), - Trans(51, 46, 25, 325), - Trans(51, 52, 25, 325), - Trans(51, 91, 25, 325), - Trans(52, 5, 25, 325), - Trans(52, 6, 25, 325), - Trans(52, 7, 25, 325), - Trans(52, 8, 25, 325), - Trans(52, 9, 25, 325), - Trans(52, 10, 25, 325), - Trans(52, 11, 25, 325), - Trans(52, 18, 25, 325), - Trans(52, 24, 25, 325), - Trans(52, 25, 25, 325), - Trans(52, 26, 25, 325), - Trans(52, 27, 25, 325), - Trans(52, 31, 25, 325), - Trans(52, 36, 25, 325), - Trans(52, 38, 25, 325), - Trans(52, 40, 25, 325), - Trans(52, 54, 25, 325), - Trans(52, 67, 25, 325), - Trans(52, 72, 25, 325), - Trans(52, 77, 25, 325), - Trans(52, 79, 25, 325), - Trans(52, 82, 25, 325), - Trans(52, 85, 25, 325), - Trans(52, 87, 25, 325), - Trans(52, 106, 25, 325), - Trans(53, 5, 25, 325), - Trans(53, 12, 25, 325), - Trans(53, 14, 25, 325), - Trans(53, 16, 25, 325), - Trans(53, 17, 25, 325), - Trans(53, 18, 25, 325), - Trans(53, 19, 25, 325), - Trans(53, 20, 25, 325), - Trans(53, 21, 25, 325), - Trans(53, 22, 25, 325), - Trans(53, 23, 25, 325), - Trans(53, 24, 25, 325), - Trans(53, 25, 25, 325), - Trans(53, 26, 25, 325), - Trans(53, 29, 25, 325), - Trans(53, 30, 25, 325), - Trans(53, 32, 25, 325), - Trans(53, 33, 25, 325), - Trans(53, 36, 25, 325), - Trans(53, 38, 25, 325), - Trans(53, 41, 25, 325), - Trans(53, 42, 25, 325), - Trans(53, 43, 25, 325), - Trans(53, 44, 25, 325), - Trans(53, 45, 25, 325), - Trans(53, 46, 25, 325), - Trans(53, 47, 25, 325), - Trans(53, 48, 25, 325), - Trans(53, 49, 25, 325), - Trans(53, 52, 25, 325), - Trans(53, 57, 25, 325), - Trans(53, 58, 25, 325), - Trans(53, 61, 25, 325), - Trans(53, 62, 25, 325), - Trans(53, 63, 25, 325), - Trans(53, 67, 25, 325), - Trans(53, 68, 25, 325), - Trans(53, 69, 25, 325), - Trans(53, 73, 25, 325), - Trans(53, 76, 25, 325), - Trans(53, 77, 25, 325), - Trans(53, 80, 25, 325), - Trans(53, 91, 25, 325), - Trans(53, 95, 25, 325), - Trans(53, 97, 25, 325), - Trans(53, 101, 25, 325), - Trans(53, 104, 25, 325), - Trans(53, 105, 25, 325), - Trans(54, 5, 25, 325), - Trans(54, 12, 25, 325), - Trans(54, 13, 25, 325), - Trans(54, 14, 25, 325), - Trans(54, 16, 25, 325), - Trans(54, 17, 25, 325), - Trans(54, 18, 25, 325), - Trans(54, 19, 25, 325), - Trans(54, 20, 25, 325), - Trans(54, 21, 25, 325), - Trans(54, 22, 25, 325), - Trans(54, 23, 25, 325), - Trans(54, 24, 25, 325), - Trans(54, 25, 25, 325), - Trans(54, 26, 25, 325), - Trans(54, 29, 25, 325), - Trans(54, 30, 25, 325), - Trans(54, 32, 25, 325), - Trans(54, 33, 25, 325), - Trans(54, 38, 25, 325), - Trans(54, 40, 25, 325), - Trans(54, 41, 25, 325), - Trans(54, 42, 25, 325), - Trans(54, 43, 25, 325), - Trans(54, 44, 25, 325), - Trans(54, 45, 25, 325), - Trans(54, 46, 25, 325), - Trans(54, 52, 25, 325), - Trans(54, 91, 25, 325), - Trans(54, 95, 25, 325), - Trans(55, 5, 25, 325), - Trans(55, 16, 25, 325), - Trans(55, 17, 25, 325), - Trans(55, 18, 25, 325), - Trans(55, 19, 25, 325), - Trans(55, 20, 25, 325), - Trans(55, 21, 25, 325), - Trans(55, 22, 25, 325), - Trans(55, 23, 25, 325), - Trans(55, 24, 25, 325), - Trans(55, 25, 25, 325), - Trans(55, 26, 25, 325), - Trans(55, 28, 25, 325), - Trans(55, 29, 25, 325), - Trans(55, 30, 25, 325), - Trans(55, 32, 25, 325), - Trans(55, 33, 25, 325), - Trans(55, 34, 25, 325), - Trans(55, 35, 25, 325), - Trans(55, 39, 25, 325), - Trans(55, 40, 25, 325), - Trans(55, 41, 25, 325), - Trans(55, 42, 25, 325), - Trans(55, 43, 25, 325), - Trans(55, 44, 25, 325), - Trans(55, 46, 25, 325), - Trans(55, 52, 25, 325), - Trans(55, 91, 25, 325), - Trans(56, 5, 25, 325), - Trans(56, 16, 25, 325), - Trans(56, 17, 25, 325), - Trans(56, 18, 25, 325), - Trans(56, 19, 25, 325), - Trans(56, 20, 25, 325), - Trans(56, 21, 25, 325), - Trans(56, 22, 25, 325), - Trans(56, 23, 25, 325), - Trans(56, 24, 25, 325), - Trans(56, 25, 25, 325), - Trans(56, 26, 25, 325), - Trans(56, 29, 25, 325), - Trans(56, 30, 25, 325), - Trans(56, 38, 25, 325), - Trans(56, 42, 25, 325), - Trans(56, 46, 25, 325), - Trans(56, 52, 25, 325), - Trans(56, 95, 25, 325), - Trans(57, 5, 25, 325), - Trans(57, 16, 25, 325), - Trans(57, 17, 25, 325), - Trans(57, 18, 25, 325), - Trans(57, 19, 25, 325), - Trans(57, 20, 25, 325), - Trans(57, 21, 25, 325), - Trans(57, 22, 25, 325), - Trans(57, 23, 25, 325), - Trans(57, 24, 25, 325), - Trans(57, 25, 25, 325), - Trans(57, 26, 25, 325), - Trans(57, 28, 25, 325), - Trans(57, 29, 25, 325), - Trans(57, 30, 25, 325), - Trans(57, 34, 25, 325), - Trans(57, 38, 25, 325), - Trans(57, 39, 25, 325), - Trans(57, 40, 25, 325), - Trans(57, 42, 25, 325), - Trans(57, 46, 25, 325), - Trans(57, 52, 25, 325), - Trans(57, 95, 25, 325), - Trans(58, 5, 25, 325), - Trans(58, 16, 25, 325), - Trans(58, 17, 25, 325), - Trans(58, 18, 25, 325), - Trans(58, 19, 25, 325), - Trans(58, 20, 25, 325), - Trans(58, 21, 25, 325), - Trans(58, 22, 25, 325), - Trans(58, 23, 25, 325), - Trans(58, 24, 25, 325), - Trans(58, 25, 25, 325), - Trans(58, 26, 25, 325), - Trans(58, 30, 25, 325), - Trans(58, 42, 25, 325), - Trans(58, 44, 25, 325), - Trans(58, 45, 25, 325), - Trans(58, 46, 25, 325), - Trans(58, 52, 25, 325), - Trans(59, 5, 25, 325), - Trans(59, 16, 25, 325), - Trans(59, 17, 25, 325), - Trans(59, 18, 25, 325), - Trans(59, 19, 25, 325), - Trans(59, 20, 25, 325), - Trans(59, 21, 25, 325), - Trans(59, 22, 25, 325), - Trans(59, 23, 25, 325), - Trans(59, 24, 25, 325), - Trans(59, 25, 25, 325), - Trans(59, 26, 25, 325), - Trans(59, 28, 25, 325), - Trans(59, 30, 25, 325), - Trans(59, 34, 25, 325), - Trans(59, 39, 25, 325), - Trans(59, 40, 25, 325), - Trans(59, 42, 25, 325), - Trans(59, 44, 25, 325), - Trans(59, 45, 25, 325), - Trans(59, 46, 25, 325), - Trans(59, 52, 25, 325), - Trans(60, 40, 25, 325), - Trans(61, 5, 25, 325), - Trans(61, 36, 25, 325), - Trans(61, 38, 25, 325), - Trans(61, 44, 25, 325), - Trans(61, 106, 25, 325), - Trans(62, 5, 25, 325), - Trans(62, 16, 25, 325), - Trans(62, 17, 25, 325), - Trans(62, 18, 25, 325), - Trans(62, 19, 25, 325), - Trans(62, 20, 25, 325), - Trans(62, 21, 25, 325), - Trans(62, 22, 25, 325), - Trans(62, 23, 25, 325), - Trans(62, 24, 25, 325), - Trans(62, 25, 25, 325), - Trans(62, 26, 25, 325), - Trans(62, 30, 25, 325), - Trans(62, 41, 25, 325), - Trans(62, 46, 25, 325), - Trans(62, 52, 25, 325), - Trans(63, 5, 25, 325), - Trans(63, 16, 25, 325), - Trans(63, 17, 25, 325), - Trans(63, 18, 25, 325), - Trans(63, 19, 25, 325), - Trans(63, 20, 25, 325), - Trans(63, 21, 25, 325), - Trans(63, 22, 25, 325), - Trans(63, 23, 25, 325), - Trans(63, 24, 25, 325), - Trans(63, 25, 25, 325), - Trans(63, 26, 25, 325), - Trans(63, 28, 25, 325), - Trans(63, 30, 25, 325), - Trans(63, 34, 25, 325), - Trans(63, 39, 25, 325), - Trans(63, 40, 25, 325), - Trans(63, 41, 25, 325), - Trans(63, 46, 25, 325), - Trans(63, 52, 25, 325), - Trans(64, 6, 25, 325), - Trans(64, 7, 25, 325), - Trans(64, 8, 25, 325), - Trans(64, 9, 25, 325), - Trans(64, 10, 25, 325), - Trans(64, 11, 25, 325), - Trans(64, 18, 25, 325), - Trans(64, 24, 25, 325), - Trans(64, 25, 25, 325), - Trans(64, 26, 25, 325), - Trans(64, 27, 25, 325), - Trans(64, 29, 25, 325), - Trans(64, 31, 25, 325), - Trans(64, 36, 25, 325), - Trans(64, 38, 25, 325), - Trans(64, 40, 25, 325), - Trans(64, 42, 25, 325), - Trans(64, 47, 25, 325), - Trans(64, 48, 25, 325), - Trans(64, 49, 25, 325), - Trans(64, 54, 25, 325), - Trans(64, 55, 25, 325), - Trans(64, 57, 25, 325), - Trans(64, 61, 25, 325), - Trans(64, 62, 25, 325), - Trans(64, 63, 25, 325), - Trans(64, 66, 25, 325), - Trans(64, 67, 25, 325), - Trans(64, 68, 25, 325), - Trans(64, 69, 25, 325), - Trans(64, 72, 25, 325), - Trans(64, 73, 25, 325), - Trans(64, 76, 25, 325), - Trans(64, 77, 25, 325), - Trans(64, 79, 25, 325), - Trans(64, 80, 25, 325), - Trans(64, 82, 25, 325), - Trans(64, 85, 25, 325), - Trans(64, 92, 25, 325), - Trans(64, 93, 25, 325), - Trans(64, 97, 25, 325), - Trans(64, 101, 25, 325), - Trans(64, 104, 25, 325), - Trans(64, 105, 25, 325), - Trans(64, 106, 25, 325), - Trans(65, 5, 25, 325), - Trans(65, 16, 25, 325), - Trans(65, 17, 25, 325), - Trans(65, 18, 25, 325), - Trans(65, 19, 25, 325), - Trans(65, 20, 25, 325), - Trans(65, 21, 25, 325), - Trans(65, 22, 25, 325), - Trans(65, 23, 25, 325), - Trans(65, 24, 25, 325), - Trans(65, 25, 25, 325), - Trans(65, 26, 25, 325), - Trans(65, 29, 25, 325), - Trans(65, 30, 25, 325), - Trans(65, 32, 25, 325), - Trans(65, 33, 25, 325), - Trans(65, 42, 25, 325), - Trans(65, 46, 25, 325), - Trans(65, 52, 25, 325), - Trans(66, 5, 25, 325), - Trans(66, 6, 25, 325), - Trans(66, 7, 25, 325), - Trans(66, 8, 25, 325), - Trans(66, 9, 25, 325), - Trans(66, 10, 25, 325), - Trans(66, 11, 25, 325), - Trans(66, 18, 25, 325), - Trans(66, 24, 25, 325), - Trans(66, 25, 25, 325), - Trans(66, 26, 25, 325), - Trans(66, 27, 25, 325), - Trans(66, 29, 25, 325), - Trans(66, 31, 25, 325), - Trans(66, 36, 25, 325), - Trans(66, 38, 25, 325), - Trans(66, 40, 25, 325), - Trans(66, 42, 25, 325), - Trans(66, 47, 25, 325), - Trans(66, 48, 25, 325), - Trans(66, 49, 25, 325), - Trans(66, 54, 25, 325), - Trans(66, 57, 25, 325), - Trans(66, 61, 25, 325), - Trans(66, 62, 25, 325), - Trans(66, 63, 25, 325), - Trans(66, 67, 25, 325), - Trans(66, 68, 25, 325), - Trans(66, 69, 25, 325), - Trans(66, 72, 25, 325), - Trans(66, 73, 25, 325), - Trans(66, 76, 25, 325), - Trans(66, 77, 25, 325), - Trans(66, 79, 25, 325), - Trans(66, 80, 25, 325), - Trans(66, 82, 25, 325), - Trans(66, 85, 25, 325), - Trans(66, 97, 25, 325), - Trans(66, 101, 25, 325), - Trans(66, 104, 25, 325), - Trans(66, 105, 25, 325), - Trans(66, 106, 25, 325), - Trans(67, 5, 25, 325), - Trans(67, 6, 25, 325), - Trans(67, 7, 25, 325), - Trans(67, 8, 25, 325), - Trans(67, 9, 25, 325), - Trans(67, 10, 25, 325), - Trans(67, 11, 25, 325), - Trans(67, 18, 25, 325), - Trans(67, 24, 25, 325), - Trans(67, 25, 25, 325), - Trans(67, 26, 25, 325), - Trans(67, 27, 25, 325), - Trans(67, 29, 25, 325), - Trans(67, 31, 25, 325), - Trans(67, 36, 25, 325), - Trans(67, 38, 25, 325), - Trans(67, 40, 25, 325), - Trans(67, 42, 25, 325), - Trans(67, 47, 25, 325), - Trans(67, 48, 25, 325), - Trans(67, 49, 25, 325), - Trans(67, 54, 25, 325), - Trans(67, 55, 25, 325), - Trans(67, 56, 25, 325), - Trans(67, 57, 25, 325), - Trans(67, 58, 25, 325), - Trans(67, 61, 25, 325), - Trans(67, 62, 25, 325), - Trans(67, 63, 25, 325), - Trans(67, 66, 25, 325), - Trans(67, 67, 25, 325), - Trans(67, 68, 25, 325), - Trans(67, 69, 25, 325), - Trans(67, 72, 25, 325), - Trans(67, 73, 25, 325), - Trans(67, 76, 25, 325), - Trans(67, 77, 25, 325), - Trans(67, 79, 25, 325), - Trans(67, 80, 25, 325), - Trans(67, 82, 25, 325), - Trans(67, 85, 25, 325), - Trans(67, 92, 25, 325), - Trans(67, 93, 25, 325), - Trans(67, 97, 25, 325), - Trans(67, 101, 25, 325), - Trans(67, 104, 25, 325), - Trans(67, 105, 25, 325), - Trans(67, 106, 25, 325), - Trans(68, 5, 25, 325), - Trans(68, 15, 25, 325), - Trans(68, 16, 25, 325), - Trans(68, 17, 25, 325), - Trans(68, 18, 25, 325), - Trans(68, 19, 25, 325), - Trans(68, 20, 25, 325), - Trans(68, 21, 25, 325), - Trans(68, 22, 25, 325), - Trans(68, 23, 25, 325), - Trans(68, 24, 25, 325), - Trans(68, 25, 25, 325), - Trans(68, 26, 25, 325), - Trans(68, 28, 25, 325), - Trans(68, 29, 25, 325), - Trans(68, 30, 25, 325), - Trans(68, 32, 25, 325), - Trans(68, 33, 25, 325), - Trans(68, 34, 25, 325), - Trans(68, 35, 25, 325), - Trans(68, 39, 25, 325), - Trans(68, 40, 25, 325), - Trans(68, 42, 25, 325), - Trans(68, 46, 25, 325), - Trans(68, 52, 25, 325), - Trans(69, 5, 25, 325), - Trans(69, 16, 25, 325), - Trans(69, 17, 25, 325), - Trans(69, 18, 25, 325), - Trans(69, 19, 25, 325), - Trans(69, 20, 25, 325), - Trans(69, 21, 25, 325), - Trans(69, 22, 25, 325), - Trans(69, 23, 25, 325), - Trans(69, 24, 25, 325), - Trans(69, 25, 25, 325), - Trans(69, 26, 25, 325), - Trans(69, 28, 25, 325), - Trans(69, 30, 25, 325), - Trans(69, 34, 25, 325), - Trans(69, 39, 25, 325), - Trans(69, 40, 25, 325), - Trans(69, 43, 25, 325), - Trans(69, 46, 25, 325), - Trans(69, 52, 25, 325), - Trans(70, 36, 25, 325), - Trans(70, 38, 25, 325), - Trans(70, 44, 25, 325), - Trans(70, 106, 25, 325), - Trans(71, 5, 25, 325), - Trans(71, 36, 25, 325), - Trans(71, 38, 25, 325), - Trans(71, 106, 25, 325), - Trans(72, 5, 25, 325), - Trans(72, 29, 25, 325), - Trans(72, 30, 25, 325), - Trans(72, 44, 25, 325), - Trans(73, 30, 25, 325), - Trans(73, 35, 25, 325), - Trans(73, 38, 25, 325), - Trans(73, 39, 25, 325), - Trans(73, 42, 25, 325), - Trans(73, 44, 25, 325), - Trans(73, 45, 25, 325), - Trans(73, 75, 25, 325), - Trans(74, 5, 25, 325), - Trans(74, 36, 25, 325), - Trans(74, 38, 25, 325), - Trans(74, 42, 25, 325), - Trans(74, 44, 25, 325), - Trans(74, 77, 25, 325), - Trans(74, 87, 25, 325), - Trans(74, 106, 25, 325), - Trans(75, 5, 25, 325), - Trans(75, 31, 25, 325), - Trans(75, 36, 25, 325), - Trans(75, 38, 25, 325), - Trans(75, 42, 25, 325), - Trans(75, 54, 25, 325), - Trans(75, 62, 25, 325), - Trans(75, 66, 25, 325), - Trans(75, 67, 25, 325), - Trans(75, 76, 25, 325), - Trans(75, 92, 25, 325), - Trans(75, 93, 25, 325), - Trans(75, 105, 25, 325), - Trans(75, 106, 25, 325), - Trans(76, 5, 25, 325), - Trans(76, 29, 25, 325), - Trans(76, 30, 25, 325), - Trans(76, 36, 25, 325), - Trans(76, 38, 25, 325), - Trans(76, 42, 25, 325), - Trans(76, 44, 25, 325), - Trans(76, 47, 25, 325), - Trans(76, 48, 25, 325), - Trans(76, 49, 25, 325), - Trans(76, 57, 25, 325), - Trans(76, 58, 25, 325), - Trans(76, 61, 25, 325), - Trans(76, 62, 25, 325), - Trans(76, 63, 25, 325), - Trans(76, 67, 25, 325), - Trans(76, 68, 25, 325), - Trans(76, 69, 25, 325), - Trans(76, 73, 25, 325), - Trans(76, 76, 25, 325), - Trans(76, 77, 25, 325), - Trans(76, 80, 25, 325), - Trans(76, 97, 25, 325), - Trans(76, 101, 25, 325), - Trans(76, 104, 25, 325), - Trans(76, 105, 25, 325), - Trans(77, 5, 25, 325), - Trans(77, 13, 25, 325), - Trans(77, 38, 25, 325), - Trans(77, 40, 25, 325), - Trans(78, 5, 25, 325), - Trans(78, 29, 25, 325), - Trans(78, 31, 25, 325), - Trans(78, 36, 25, 325), - Trans(78, 38, 25, 325), - Trans(78, 42, 25, 325), - Trans(78, 47, 25, 325), - Trans(78, 48, 25, 325), - Trans(78, 49, 25, 325), - Trans(78, 54, 25, 325), - Trans(78, 57, 25, 325), - Trans(78, 58, 25, 325), - Trans(78, 61, 25, 325), - Trans(78, 62, 25, 325), - Trans(78, 63, 25, 325), - Trans(78, 66, 25, 325), - Trans(78, 67, 25, 325), - Trans(78, 68, 25, 325), - Trans(78, 69, 25, 325), - Trans(78, 73, 25, 325), - Trans(78, 76, 25, 325), - Trans(78, 77, 25, 325), - Trans(78, 80, 25, 325), - Trans(78, 92, 25, 325), - Trans(78, 93, 25, 325), - Trans(78, 97, 25, 325), - Trans(78, 101, 25, 325), - Trans(78, 104, 25, 325), - Trans(78, 105, 25, 325), - Trans(78, 106, 25, 325), - Trans(79, 12, 25, 325), - Trans(79, 14, 25, 325), - Trans(79, 16, 25, 325), - Trans(79, 17, 25, 325), - Trans(79, 18, 25, 325), - Trans(79, 19, 25, 325), - Trans(79, 20, 25, 325), - Trans(79, 21, 25, 325), - Trans(79, 22, 25, 325), - Trans(79, 23, 25, 325), - Trans(79, 24, 25, 325), - Trans(79, 25, 25, 325), - Trans(79, 26, 25, 325), - Trans(79, 29, 25, 325), - Trans(79, 30, 25, 325), - Trans(79, 32, 25, 325), - Trans(79, 33, 25, 325), - Trans(79, 36, 25, 325), - Trans(79, 38, 25, 325), - Trans(79, 41, 25, 325), - Trans(79, 42, 25, 325), - Trans(79, 43, 25, 325), - Trans(79, 44, 25, 325), - Trans(79, 45, 25, 325), - Trans(79, 46, 25, 325), - Trans(79, 47, 25, 325), - Trans(79, 48, 25, 325), - Trans(79, 49, 25, 325), - Trans(79, 52, 25, 325), - Trans(79, 56, 25, 325), - Trans(79, 57, 25, 325), - Trans(79, 58, 25, 325), - Trans(79, 61, 25, 325), - Trans(79, 62, 25, 325), - Trans(79, 63, 25, 325), - Trans(79, 67, 25, 325), - Trans(79, 68, 25, 325), - Trans(79, 69, 25, 325), - Trans(79, 73, 25, 325), - Trans(79, 76, 25, 325), - Trans(79, 77, 25, 325), - Trans(79, 80, 25, 325), - Trans(79, 91, 25, 325), - Trans(79, 95, 25, 325), - Trans(79, 97, 25, 325), - Trans(79, 101, 25, 325), - Trans(79, 104, 25, 325), - Trans(79, 105, 25, 325), - Trans(80, 5, 25, 325), - Trans(80, 6, 25, 325), - Trans(80, 7, 25, 325), - Trans(80, 8, 25, 325), - Trans(80, 9, 25, 325), - Trans(80, 10, 25, 325), - Trans(80, 11, 25, 325), - Trans(80, 18, 25, 325), - Trans(80, 24, 25, 325), - Trans(80, 25, 25, 325), - Trans(80, 26, 25, 325), - Trans(80, 27, 25, 325), - Trans(80, 31, 25, 325), - Trans(80, 38, 25, 325), - Trans(80, 40, 25, 325), - Trans(80, 54, 25, 325), - Trans(80, 62, 25, 325), - Trans(80, 66, 25, 325), - Trans(80, 67, 25, 325), - Trans(80, 72, 25, 325), - Trans(80, 76, 25, 325), - Trans(80, 79, 25, 325), - Trans(80, 82, 25, 325), - Trans(80, 85, 25, 325), - Trans(80, 92, 25, 325), - Trans(80, 93, 25, 325), - Trans(80, 106, 25, 325), - Trans(81, 5, 25, 325), - Trans(81, 6, 25, 325), - Trans(81, 7, 25, 325), - Trans(81, 8, 25, 325), - Trans(81, 9, 25, 325), - Trans(81, 10, 25, 325), - Trans(81, 11, 25, 325), - Trans(81, 18, 25, 325), - Trans(81, 24, 25, 325), - Trans(81, 25, 25, 325), - Trans(81, 26, 25, 325), - Trans(81, 27, 25, 325), - Trans(81, 31, 25, 325), - Trans(81, 36, 25, 325), - Trans(81, 38, 25, 325), - Trans(81, 40, 25, 325), - Trans(81, 42, 25, 325), - Trans(81, 44, 25, 325), - Trans(81, 54, 25, 325), - Trans(81, 55, 25, 325), - Trans(81, 67, 25, 325), - Trans(81, 72, 25, 325), - Trans(81, 77, 25, 325), - Trans(81, 79, 25, 325), - Trans(81, 82, 25, 325), - Trans(81, 85, 25, 325), - Trans(81, 87, 25, 325), - Trans(81, 106, 25, 325), - Trans(82, 5, 25, 325), - Trans(82, 6, 25, 325), - Trans(82, 7, 25, 325), - Trans(82, 8, 25, 325), - Trans(82, 9, 25, 325), - Trans(82, 10, 25, 325), - Trans(82, 11, 25, 325), - Trans(82, 18, 25, 325), - Trans(82, 24, 25, 325), - Trans(82, 25, 25, 325), - Trans(82, 26, 25, 325), - Trans(82, 27, 25, 325), - Trans(82, 29, 25, 325), - Trans(82, 31, 25, 325), - Trans(82, 36, 25, 325), - Trans(82, 38, 25, 325), - Trans(82, 40, 25, 325), - Trans(82, 42, 25, 325), - Trans(82, 47, 25, 325), - Trans(82, 48, 25, 325), - Trans(82, 49, 25, 325), - Trans(82, 54, 25, 325), - Trans(82, 55, 25, 325), - Trans(82, 57, 25, 325), - Trans(82, 58, 25, 325), - Trans(82, 61, 25, 325), - Trans(82, 62, 25, 325), - Trans(82, 63, 25, 325), - Trans(82, 66, 25, 325), - Trans(82, 67, 25, 325), - Trans(82, 68, 25, 325), - Trans(82, 69, 25, 325), - Trans(82, 72, 25, 325), - Trans(82, 73, 25, 325), - Trans(82, 76, 25, 325), - Trans(82, 77, 25, 325), - Trans(82, 79, 25, 325), - Trans(82, 80, 25, 325), - Trans(82, 82, 25, 325), - Trans(82, 85, 25, 325), - Trans(82, 92, 25, 325), - Trans(82, 93, 25, 325), - Trans(82, 97, 25, 325), - Trans(82, 101, 25, 325), - Trans(82, 104, 25, 325), - Trans(82, 105, 25, 325), - Trans(82, 106, 25, 325), - Trans(83, 5, 25, 325), - Trans(83, 30, 25, 325), - Trans(83, 35, 25, 325), - Trans(83, 38, 25, 325), - Trans(83, 39, 25, 325), - Trans(83, 42, 25, 325), - Trans(83, 44, 25, 325), - Trans(83, 45, 25, 325), - Trans(83, 75, 25, 325), - Trans(84, 0, 25, 325), - Trans(84, 5, 25, 325), - Trans(84, 12, 25, 325), - Trans(84, 14, 25, 325), - Trans(84, 16, 25, 325), - Trans(84, 17, 25, 325), - Trans(84, 18, 25, 325), - Trans(84, 19, 25, 325), - Trans(84, 20, 25, 325), - Trans(84, 21, 25, 325), - Trans(84, 22, 25, 325), - Trans(84, 23, 25, 325), - Trans(84, 24, 25, 325), - Trans(84, 25, 25, 325), - Trans(84, 26, 25, 325), - Trans(84, 29, 25, 325), - Trans(84, 30, 25, 325), - Trans(84, 32, 25, 325), - Trans(84, 33, 25, 325), - Trans(84, 36, 25, 325), - Trans(84, 38, 25, 325), - Trans(84, 41, 25, 325), - Trans(84, 42, 25, 325), - Trans(84, 43, 25, 325), - Trans(84, 44, 25, 325), - Trans(84, 45, 25, 325), - Trans(84, 46, 25, 325), - Trans(84, 47, 25, 325), - Trans(84, 48, 25, 325), - Trans(84, 49, 25, 325), - Trans(84, 52, 25, 325), - Trans(84, 56, 25, 325), - Trans(84, 57, 25, 325), - Trans(84, 58, 25, 325), - Trans(84, 61, 25, 325), - Trans(84, 62, 25, 325), - Trans(84, 63, 25, 325), - Trans(84, 67, 25, 325), - Trans(84, 68, 25, 325), - Trans(84, 69, 25, 325), - Trans(84, 73, 25, 325), - Trans(84, 74, 25, 325), - Trans(84, 76, 25, 325), - Trans(84, 77, 25, 325), - Trans(84, 80, 25, 325), - Trans(84, 81, 25, 325), - Trans(84, 86, 25, 325), - Trans(84, 89, 25, 325), - Trans(84, 91, 25, 325), - Trans(84, 95, 25, 325), - Trans(84, 97, 25, 325), - Trans(84, 101, 25, 325), - Trans(84, 104, 25, 325), - Trans(84, 105, 25, 325), - Trans(85, 5, 25, 325), - Trans(85, 12, 25, 325), - Trans(85, 14, 25, 325), - Trans(85, 15, 25, 325), - Trans(85, 16, 25, 325), - Trans(85, 17, 25, 325), - Trans(85, 18, 25, 325), - Trans(85, 19, 25, 325), - Trans(85, 20, 25, 325), - Trans(85, 21, 25, 325), - Trans(85, 22, 25, 325), - Trans(85, 23, 25, 325), - Trans(85, 24, 25, 325), - Trans(85, 25, 25, 325), - Trans(85, 26, 25, 325), - Trans(85, 29, 25, 325), - Trans(85, 30, 25, 325), - Trans(85, 32, 25, 325), - Trans(85, 33, 25, 325), - Trans(85, 34, 25, 325), - Trans(85, 35, 25, 325), - Trans(85, 36, 25, 325), - Trans(85, 38, 25, 325), - Trans(85, 39, 25, 325), - Trans(85, 40, 25, 325), - Trans(85, 41, 25, 325), - Trans(85, 42, 25, 325), - Trans(85, 43, 25, 325), - Trans(85, 44, 25, 325), - Trans(85, 45, 25, 325), - Trans(85, 46, 25, 325), - Trans(85, 52, 25, 325), - Trans(85, 91, 25, 325), - Trans(85, 95, 25, 325), - Trans(86, 5, 25, 325), - Trans(86, 38, 25, 325), - Trans(86, 67, 25, 325), - Trans(87, 5, 25, 325), - Trans(87, 6, 25, 325), - Trans(87, 7, 25, 325), - Trans(87, 8, 25, 325), - Trans(87, 9, 25, 325), - Trans(87, 10, 25, 325), - Trans(87, 11, 25, 325), - Trans(87, 15, 25, 325), - Trans(87, 18, 25, 325), - Trans(87, 24, 25, 325), - Trans(87, 25, 25, 325), - Trans(87, 26, 25, 325), - Trans(87, 27, 25, 325), - Trans(87, 31, 25, 325), - Trans(87, 38, 25, 325), - Trans(87, 40, 25, 325), - Trans(87, 54, 25, 325), - Trans(87, 67, 25, 325), - Trans(87, 72, 25, 325), - Trans(87, 79, 25, 325), - Trans(87, 82, 25, 325), - Trans(87, 85, 25, 325), - Trans(87, 106, 25, 325), - Trans(88, 12, 25, 325), - Trans(88, 14, 25, 325), - Trans(88, 15, 25, 325), - Trans(88, 16, 25, 325), - Trans(88, 17, 25, 325), - Trans(88, 18, 25, 325), - Trans(88, 19, 25, 325), - Trans(88, 20, 25, 325), - Trans(88, 21, 25, 325), - Trans(88, 22, 25, 325), - Trans(88, 23, 25, 325), - Trans(88, 24, 25, 325), - Trans(88, 25, 25, 325), - Trans(88, 26, 25, 325), - Trans(88, 29, 25, 325), - Trans(88, 30, 25, 325), - Trans(88, 32, 25, 325), - Trans(88, 33, 25, 325), - Trans(88, 34, 25, 325), - Trans(88, 35, 25, 325), - Trans(88, 36, 25, 325), - Trans(88, 38, 25, 325), - Trans(88, 39, 25, 325), - Trans(88, 40, 25, 325), - Trans(88, 41, 25, 325), - Trans(88, 42, 25, 325), - Trans(88, 43, 25, 325), - Trans(88, 44, 25, 325), - Trans(88, 45, 25, 325), - Trans(88, 46, 25, 325), - Trans(88, 52, 25, 325), - Trans(88, 91, 25, 325), - Trans(88, 95, 25, 325), - Trans(89, 5, 25, 325), - Trans(89, 6, 25, 325), - Trans(89, 7, 25, 325), - Trans(89, 8, 25, 325), - Trans(89, 9, 25, 325), - Trans(89, 10, 25, 325), - Trans(89, 11, 25, 325), - Trans(89, 18, 25, 325), - Trans(89, 24, 25, 325), - Trans(89, 25, 25, 325), - Trans(89, 26, 25, 325), - Trans(89, 27, 25, 325), - Trans(89, 31, 25, 325), - Trans(89, 36, 25, 325), - Trans(89, 38, 25, 325), - Trans(89, 40, 25, 325), - Trans(89, 42, 25, 325), - Trans(89, 44, 25, 325), - Trans(89, 50, 25, 325), - Trans(89, 51, 25, 325), - Trans(89, 54, 25, 325), - Trans(89, 55, 25, 325), - Trans(89, 67, 25, 325), - Trans(89, 72, 25, 325), - Trans(89, 77, 25, 325), - Trans(89, 79, 25, 325), - Trans(89, 82, 25, 325), - Trans(89, 85, 25, 325), - Trans(89, 87, 25, 325), - Trans(89, 98, 25, 325), - Trans(89, 99, 25, 325), - Trans(89, 106, 25, 325), - Trans(90, 5, 25, 325), - Trans(90, 6, 25, 325), - Trans(90, 7, 25, 325), - Trans(90, 8, 25, 325), - Trans(90, 9, 25, 325), - Trans(90, 10, 25, 325), - Trans(90, 11, 25, 325), - Trans(90, 18, 25, 325), - Trans(90, 24, 25, 325), - Trans(90, 25, 25, 325), - Trans(90, 26, 25, 325), - Trans(90, 27, 25, 325), - Trans(90, 29, 25, 325), - Trans(90, 31, 25, 325), - Trans(90, 36, 25, 325), - Trans(90, 38, 25, 325), - Trans(90, 40, 25, 325), - Trans(90, 42, 25, 325), - Trans(90, 47, 25, 325), - Trans(90, 48, 25, 325), - Trans(90, 49, 25, 325), - Trans(90, 54, 25, 325), - Trans(90, 55, 25, 325), - Trans(90, 57, 25, 325), - Trans(90, 61, 25, 325), - Trans(90, 62, 25, 325), - Trans(90, 63, 25, 325), - Trans(90, 66, 25, 325), - Trans(90, 67, 25, 325), - Trans(90, 68, 25, 325), - Trans(90, 69, 25, 325), - Trans(90, 72, 25, 325), - Trans(90, 73, 25, 325), - Trans(90, 76, 25, 325), - Trans(90, 77, 25, 325), - Trans(90, 79, 25, 325), - Trans(90, 80, 25, 325), - Trans(90, 82, 25, 325), - Trans(90, 85, 25, 325), - Trans(90, 92, 25, 325), - Trans(90, 93, 25, 325), - Trans(90, 97, 25, 325), - Trans(90, 101, 25, 325), - Trans(90, 104, 25, 325), - Trans(90, 105, 25, 325), - Trans(90, 106, 25, 325), - Trans(91, 5, 25, 325), - Trans(91, 6, 25, 325), - Trans(91, 7, 25, 325), - Trans(91, 8, 25, 325), - Trans(91, 9, 25, 325), - Trans(91, 10, 25, 325), - Trans(91, 11, 25, 325), - Trans(91, 18, 25, 325), - Trans(91, 24, 25, 325), - Trans(91, 25, 25, 325), - Trans(91, 26, 25, 325), - Trans(91, 27, 25, 325), - Trans(91, 31, 25, 325), - Trans(91, 36, 25, 325), - Trans(91, 38, 25, 325), - Trans(91, 40, 25, 325), - Trans(91, 44, 25, 325), - Trans(91, 54, 25, 325), - Trans(91, 67, 25, 325), - Trans(91, 72, 25, 325), - Trans(91, 79, 25, 325), - Trans(91, 82, 25, 325), - Trans(91, 85, 25, 325), - Trans(91, 106, 25, 325), - Trans(92, 5, 25, 325), - Trans(92, 12, 25, 325), - Trans(92, 14, 25, 325), - Trans(92, 16, 25, 325), - Trans(92, 17, 25, 325), - Trans(92, 18, 25, 325), - Trans(92, 19, 25, 325), - Trans(92, 20, 25, 325), - Trans(92, 21, 25, 325), - Trans(92, 22, 25, 325), - Trans(92, 23, 25, 325), - Trans(92, 24, 25, 325), - Trans(92, 25, 25, 325), - Trans(92, 26, 25, 325), - Trans(92, 29, 25, 325), - Trans(92, 30, 25, 325), - Trans(92, 32, 25, 325), - Trans(92, 33, 25, 325), - Trans(92, 36, 25, 325), - Trans(92, 38, 25, 325), - Trans(92, 41, 25, 325), - Trans(92, 42, 25, 325), - Trans(92, 43, 25, 325), - Trans(92, 44, 25, 325), - Trans(92, 45, 25, 325), - Trans(92, 46, 25, 325), - Trans(92, 47, 25, 325), - Trans(92, 48, 25, 325), - Trans(92, 49, 25, 325), - Trans(92, 52, 25, 325), - Trans(92, 56, 25, 325), - Trans(92, 57, 25, 325), - Trans(92, 58, 25, 325), - Trans(92, 61, 25, 325), - Trans(92, 62, 25, 325), - Trans(92, 63, 25, 325), - Trans(92, 67, 25, 325), - Trans(92, 68, 25, 325), - Trans(92, 69, 25, 325), - Trans(92, 73, 25, 325), - Trans(92, 76, 25, 325), - Trans(92, 77, 25, 325), - Trans(92, 80, 25, 325), - Trans(92, 91, 25, 325), - Trans(92, 95, 25, 325), - Trans(92, 97, 25, 325), - Trans(92, 101, 25, 325), - Trans(92, 104, 25, 325), - Trans(92, 105, 25, 325), - Trans(93, 12, 25, 325), - Trans(93, 13, 25, 325), - Trans(93, 14, 25, 325), - Trans(93, 16, 25, 325), - Trans(93, 17, 25, 325), - Trans(93, 18, 25, 325), - Trans(93, 19, 25, 325), - Trans(93, 20, 25, 325), - Trans(93, 21, 25, 325), - Trans(93, 22, 25, 325), - Trans(93, 23, 25, 325), - Trans(93, 24, 25, 325), - Trans(93, 25, 25, 325), - Trans(93, 26, 25, 325), - Trans(93, 29, 25, 325), - Trans(93, 30, 25, 325), - Trans(93, 32, 25, 325), - Trans(93, 33, 25, 325), - Trans(93, 38, 25, 325), - Trans(93, 40, 25, 325), - Trans(93, 41, 25, 325), - Trans(93, 42, 25, 325), - Trans(93, 43, 25, 325), - Trans(93, 44, 25, 325), - Trans(93, 45, 25, 325), - Trans(93, 46, 25, 325), - Trans(93, 52, 25, 325), - Trans(93, 91, 25, 325), - Trans(93, 95, 25, 325), - Trans(94, 5, 25, 325), - Trans(94, 31, 25, 325), - Trans(94, 53, 25, 325), - Trans(94, 59, 25, 325), - Trans(94, 60, 25, 325), - Trans(94, 64, 25, 325), - Trans(94, 65, 25, 325), - Trans(94, 78, 25, 325), - Trans(94, 94, 25, 325), - Trans(94, 96, 25, 325), - Trans(94, 100, 25, 325), - Trans(94, 102, 25, 325), - Trans(94, 103, 25, 325), - Trans(94, 106, 25, 325), - Trans(95, 31, 25, 325), - Trans(95, 106, 25, 325), - Trans(96, 5, 25, 325), - Trans(96, 12, 25, 325), - Trans(96, 14, 25, 325), - Trans(96, 16, 25, 325), - Trans(96, 17, 25, 325), - Trans(96, 18, 25, 325), - Trans(96, 19, 25, 325), - Trans(96, 20, 25, 325), - Trans(96, 21, 25, 325), - Trans(96, 22, 25, 325), - Trans(96, 23, 25, 325), - Trans(96, 24, 25, 325), - Trans(96, 25, 25, 325), - Trans(96, 26, 25, 325), - Trans(96, 28, 25, 325), - Trans(96, 29, 25, 325), - Trans(96, 30, 25, 325), - Trans(96, 32, 25, 325), - Trans(96, 33, 25, 325), - Trans(96, 38, 25, 325), - Trans(96, 41, 25, 325), - Trans(96, 42, 25, 325), - Trans(96, 43, 25, 325), - Trans(96, 44, 25, 325), - Trans(96, 45, 25, 325), - Trans(96, 46, 25, 325), - Trans(96, 52, 25, 325), - Trans(96, 91, 25, 325), - Trans(96, 95, 25, 325), - Trans(97, 5, 25, 325), - Trans(97, 16, 25, 325), - Trans(97, 17, 25, 325), - Trans(97, 18, 25, 325), - Trans(97, 19, 25, 325), - Trans(97, 20, 25, 325), - Trans(97, 21, 25, 325), - Trans(97, 22, 25, 325), - Trans(97, 23, 25, 325), - Trans(97, 24, 25, 325), - Trans(97, 25, 25, 325), - Trans(97, 26, 25, 325), - Trans(97, 32, 25, 325), - Trans(97, 33, 25, 325), - Trans(97, 38, 25, 325), - Trans(97, 46, 25, 325), - Trans(97, 52, 25, 325), - Trans(97, 95, 25, 325), - Trans(98, 5, 25, 325), - Trans(98, 16, 25, 325), - Trans(98, 17, 25, 325), - Trans(98, 18, 25, 325), - Trans(98, 19, 25, 325), - Trans(98, 20, 25, 325), - Trans(98, 21, 25, 325), - Trans(98, 22, 25, 325), - Trans(98, 23, 25, 325), - Trans(98, 24, 25, 325), - Trans(98, 25, 25, 325), - Trans(98, 26, 25, 325), - Trans(98, 28, 25, 325), - Trans(98, 32, 25, 325), - Trans(98, 33, 25, 325), - Trans(98, 34, 25, 325), - Trans(98, 38, 25, 325), - Trans(98, 39, 25, 325), - Trans(98, 40, 25, 325), - Trans(98, 46, 25, 325), - Trans(98, 52, 25, 325), - Trans(98, 95, 25, 325), - Trans(99, 5, 25, 325), - Trans(99, 16, 25, 325), - Trans(99, 17, 25, 325), - Trans(99, 18, 25, 325), - Trans(99, 19, 25, 325), - Trans(99, 20, 25, 325), - Trans(99, 21, 25, 325), - Trans(99, 22, 25, 325), - Trans(99, 23, 25, 325), - Trans(99, 24, 25, 325), - Trans(99, 25, 25, 325), - Trans(99, 26, 25, 325), - Trans(99, 30, 25, 325), - Trans(99, 42, 25, 325), - Trans(99, 46, 25, 325), - Trans(99, 52, 25, 325), - Trans(100, 5, 25, 325), - Trans(100, 16, 25, 325), - Trans(100, 17, 25, 325), - Trans(100, 18, 25, 325), - Trans(100, 19, 25, 325), - Trans(100, 20, 25, 325), - Trans(100, 21, 25, 325), - Trans(100, 22, 25, 325), - Trans(100, 23, 25, 325), - Trans(100, 24, 25, 325), - Trans(100, 25, 25, 325), - Trans(100, 26, 25, 325), - Trans(100, 28, 25, 325), - Trans(100, 30, 25, 325), - Trans(100, 34, 25, 325), - Trans(100, 39, 25, 325), - Trans(100, 40, 25, 325), - Trans(100, 42, 25, 325), - Trans(100, 46, 25, 325), - Trans(100, 52, 25, 325), - Trans(101, 6, 25, 325), - Trans(101, 7, 25, 325), - Trans(101, 8, 25, 325), - Trans(101, 9, 25, 325), - Trans(101, 10, 25, 325), - Trans(101, 11, 25, 325), - Trans(101, 15, 25, 325), - Trans(101, 18, 25, 325), - Trans(101, 24, 25, 325), - Trans(101, 25, 25, 325), - Trans(101, 26, 25, 325), - Trans(101, 27, 25, 325), - Trans(101, 31, 25, 325), - Trans(101, 38, 25, 325), - Trans(101, 40, 25, 325), - Trans(101, 54, 25, 325), - Trans(101, 67, 25, 325), - Trans(101, 72, 25, 325), - Trans(101, 79, 25, 325), - Trans(101, 82, 25, 325), - Trans(101, 85, 25, 325), - Trans(101, 106, 25, 325), + Trans(24, 68, 28, -1), + Trans(24, 73, 28, -1), + Trans(24, 80, 52, -1), + Trans(24, 83, 52, -1), + Trans(24, 86, 28, -1), + Trans(24, 107, 53, -1), + Trans(26, 0, 25, 329), + Trans(26, 6, 25, 329), + Trans(26, 7, 25, 329), + Trans(26, 8, 25, 329), + Trans(26, 9, 25, 329), + Trans(26, 10, 25, 329), + Trans(26, 11, 25, 329), + Trans(26, 18, 25, 329), + Trans(26, 24, 25, 329), + Trans(26, 25, 25, 329), + Trans(26, 26, 25, 329), + Trans(26, 27, 25, 329), + Trans(26, 29, 25, 329), + Trans(26, 31, 25, 329), + Trans(26, 36, 25, 329), + Trans(26, 38, 25, 329), + Trans(26, 40, 25, 329), + Trans(26, 42, 25, 329), + Trans(26, 47, 25, 329), + Trans(26, 48, 25, 329), + Trans(26, 49, 25, 329), + Trans(26, 54, 25, 329), + Trans(26, 55, 25, 329), + Trans(26, 57, 25, 329), + Trans(26, 58, 25, 329), + Trans(26, 59, 25, 329), + Trans(26, 62, 25, 329), + Trans(26, 63, 25, 329), + Trans(26, 64, 25, 329), + Trans(26, 67, 25, 329), + Trans(26, 68, 25, 329), + Trans(26, 69, 25, 329), + Trans(26, 70, 25, 329), + Trans(26, 73, 25, 329), + Trans(26, 74, 25, 329), + Trans(26, 75, 25, 329), + Trans(26, 77, 25, 329), + Trans(26, 78, 25, 329), + Trans(26, 80, 25, 329), + Trans(26, 81, 25, 329), + Trans(26, 82, 25, 329), + Trans(26, 83, 25, 329), + Trans(26, 86, 25, 329), + Trans(26, 87, 25, 329), + Trans(26, 90, 25, 329), + Trans(26, 93, 25, 329), + Trans(26, 94, 25, 329), + Trans(26, 98, 25, 329), + Trans(26, 102, 25, 329), + Trans(26, 105, 25, 329), + Trans(26, 106, 25, 329), + Trans(26, 107, 25, 329), + Trans(27, 5, 25, 329), + Trans(27, 16, 25, 329), + Trans(27, 17, 25, 329), + Trans(27, 18, 25, 329), + Trans(27, 19, 25, 329), + Trans(27, 20, 25, 329), + Trans(27, 21, 25, 329), + Trans(27, 22, 25, 329), + Trans(27, 23, 25, 329), + Trans(27, 24, 25, 329), + Trans(27, 25, 25, 329), + Trans(27, 26, 25, 329), + Trans(27, 29, 25, 329), + Trans(27, 30, 25, 329), + Trans(27, 46, 25, 329), + Trans(27, 52, 25, 329), + Trans(28, 5, 25, 329), + Trans(28, 6, 25, 329), + Trans(28, 7, 25, 329), + Trans(28, 8, 25, 329), + Trans(28, 9, 25, 329), + Trans(28, 10, 25, 329), + Trans(28, 11, 25, 329), + Trans(28, 18, 25, 329), + Trans(28, 24, 25, 329), + Trans(28, 25, 25, 329), + Trans(28, 26, 25, 329), + Trans(28, 27, 25, 329), + Trans(28, 31, 25, 329), + Trans(28, 38, 25, 329), + Trans(28, 40, 25, 329), + Trans(28, 54, 25, 329), + Trans(28, 68, 25, 329), + Trans(28, 73, 25, 329), + Trans(28, 80, 25, 329), + Trans(28, 83, 25, 329), + Trans(28, 86, 25, 329), + Trans(28, 107, 25, 329), + Trans(29, 5, 25, 329), + Trans(29, 107, 25, 329), + Trans(30, 5, 25, 329), + Trans(30, 39, 25, 329), + Trans(31, 5, 25, 329), + Trans(31, 6, 25, 329), + Trans(31, 7, 25, 329), + Trans(31, 8, 25, 329), + Trans(31, 9, 25, 329), + Trans(31, 10, 25, 329), + Trans(31, 11, 25, 329), + Trans(31, 18, 25, 329), + Trans(31, 24, 25, 329), + Trans(31, 25, 25, 329), + Trans(31, 26, 25, 329), + Trans(31, 27, 25, 329), + Trans(31, 29, 25, 329), + Trans(31, 31, 25, 329), + Trans(31, 36, 25, 329), + Trans(31, 38, 25, 329), + Trans(31, 40, 25, 329), + Trans(31, 42, 25, 329), + Trans(31, 47, 25, 329), + Trans(31, 48, 25, 329), + Trans(31, 49, 25, 329), + Trans(31, 54, 25, 329), + Trans(31, 57, 25, 329), + Trans(31, 58, 25, 329), + Trans(31, 59, 25, 329), + Trans(31, 62, 25, 329), + Trans(31, 63, 25, 329), + Trans(31, 64, 25, 329), + Trans(31, 68, 25, 329), + Trans(31, 69, 25, 329), + Trans(31, 70, 25, 329), + Trans(31, 73, 25, 329), + Trans(31, 74, 25, 329), + Trans(31, 75, 25, 329), + Trans(31, 77, 25, 329), + Trans(31, 78, 25, 329), + Trans(31, 80, 25, 329), + Trans(31, 81, 25, 329), + Trans(31, 82, 25, 329), + Trans(31, 83, 25, 329), + Trans(31, 86, 25, 329), + Trans(31, 87, 25, 329), + Trans(31, 90, 25, 329), + Trans(31, 98, 25, 329), + Trans(31, 102, 25, 329), + Trans(31, 105, 25, 329), + Trans(31, 106, 25, 329), + Trans(31, 107, 25, 329), + Trans(32, 0, 25, 329), + Trans(32, 5, 25, 329), + Trans(32, 6, 25, 329), + Trans(32, 7, 25, 329), + Trans(32, 8, 25, 329), + Trans(32, 9, 25, 329), + Trans(32, 10, 25, 329), + Trans(32, 11, 25, 329), + Trans(32, 18, 25, 329), + Trans(32, 24, 25, 329), + Trans(32, 25, 25, 329), + Trans(32, 26, 25, 329), + Trans(32, 27, 25, 329), + Trans(32, 29, 25, 329), + Trans(32, 31, 25, 329), + Trans(32, 36, 25, 329), + Trans(32, 38, 25, 329), + Trans(32, 40, 25, 329), + Trans(32, 42, 25, 329), + Trans(32, 47, 25, 329), + Trans(32, 48, 25, 329), + Trans(32, 49, 25, 329), + Trans(32, 54, 25, 329), + Trans(32, 55, 25, 329), + Trans(32, 56, 25, 329), + Trans(32, 57, 25, 329), + Trans(32, 58, 25, 329), + Trans(32, 59, 25, 329), + Trans(32, 62, 25, 329), + Trans(32, 63, 25, 329), + Trans(32, 64, 25, 329), + Trans(32, 67, 25, 329), + Trans(32, 68, 25, 329), + Trans(32, 69, 25, 329), + Trans(32, 70, 25, 329), + Trans(32, 73, 25, 329), + Trans(32, 74, 25, 329), + Trans(32, 75, 25, 329), + Trans(32, 77, 25, 329), + Trans(32, 78, 25, 329), + Trans(32, 80, 25, 329), + Trans(32, 81, 25, 329), + Trans(32, 82, 25, 329), + Trans(32, 83, 25, 329), + Trans(32, 86, 25, 329), + Trans(32, 87, 25, 329), + Trans(32, 90, 25, 329), + Trans(32, 93, 25, 329), + Trans(32, 94, 25, 329), + Trans(32, 98, 25, 329), + Trans(32, 102, 25, 329), + Trans(32, 105, 25, 329), + Trans(32, 106, 25, 329), + Trans(32, 107, 25, 329), + Trans(33, 5, 25, 329), + Trans(33, 38, 25, 329), + Trans(34, 5, 25, 329), + Trans(34, 40, 25, 329), + Trans(35, 5, 25, 329), + Trans(35, 29, 25, 329), + Trans(36, 5, 25, 329), + Trans(36, 31, 25, 329), + Trans(36, 46, 25, 329), + Trans(36, 107, 25, 329), + Trans(37, 5, 25, 329), + Trans(37, 31, 25, 329), + Trans(37, 107, 25, 329), + Trans(38, 5, 25, 329), + Trans(38, 75, 25, 329), + Trans(38, 82, 25, 329), + Trans(38, 87, 25, 329), + Trans(39, 5, 25, 329), + Trans(39, 45, 25, 329), + Trans(40, 5, 25, 329), + Trans(40, 15, 25, 329), + Trans(40, 16, 25, 329), + Trans(40, 17, 25, 329), + Trans(40, 18, 25, 329), + Trans(40, 19, 25, 329), + Trans(40, 20, 25, 329), + Trans(40, 21, 25, 329), + Trans(40, 22, 25, 329), + Trans(40, 23, 25, 329), + Trans(40, 24, 25, 329), + Trans(40, 25, 25, 329), + Trans(40, 26, 25, 329), + Trans(40, 28, 25, 329), + Trans(40, 29, 25, 329), + Trans(40, 30, 25, 329), + Trans(40, 34, 25, 329), + Trans(40, 35, 25, 329), + Trans(40, 39, 25, 329), + Trans(40, 40, 25, 329), + Trans(40, 46, 25, 329), + Trans(40, 52, 25, 329), + Trans(41, 12, 25, 329), + Trans(41, 14, 25, 329), + Trans(41, 16, 25, 329), + Trans(41, 17, 25, 329), + Trans(41, 18, 25, 329), + Trans(41, 19, 25, 329), + Trans(41, 20, 25, 329), + Trans(41, 21, 25, 329), + Trans(41, 22, 25, 329), + Trans(41, 23, 25, 329), + Trans(41, 24, 25, 329), + Trans(41, 25, 25, 329), + Trans(41, 26, 25, 329), + Trans(41, 29, 25, 329), + Trans(41, 30, 25, 329), + Trans(41, 32, 25, 329), + Trans(41, 33, 25, 329), + Trans(41, 36, 25, 329), + Trans(41, 38, 25, 329), + Trans(41, 41, 25, 329), + Trans(41, 42, 25, 329), + Trans(41, 43, 25, 329), + Trans(41, 44, 25, 329), + Trans(41, 45, 25, 329), + Trans(41, 46, 25, 329), + Trans(41, 47, 25, 329), + Trans(41, 48, 25, 329), + Trans(41, 49, 25, 329), + Trans(41, 52, 25, 329), + Trans(41, 56, 25, 329), + Trans(41, 58, 25, 329), + Trans(41, 59, 25, 329), + Trans(41, 62, 25, 329), + Trans(41, 63, 25, 329), + Trans(41, 64, 25, 329), + Trans(41, 68, 25, 329), + Trans(41, 69, 25, 329), + Trans(41, 70, 25, 329), + Trans(41, 74, 25, 329), + Trans(41, 77, 25, 329), + Trans(41, 78, 25, 329), + Trans(41, 81, 25, 329), + Trans(41, 92, 25, 329), + Trans(41, 96, 25, 329), + Trans(41, 98, 25, 329), + Trans(41, 102, 25, 329), + Trans(41, 105, 25, 329), + Trans(41, 106, 25, 329), + Trans(42, 5, 25, 329), + Trans(42, 6, 25, 329), + Trans(42, 7, 25, 329), + Trans(42, 8, 25, 329), + Trans(42, 9, 25, 329), + Trans(42, 10, 25, 329), + Trans(42, 11, 25, 329), + Trans(42, 18, 25, 329), + Trans(42, 24, 25, 329), + Trans(42, 25, 25, 329), + Trans(42, 26, 25, 329), + Trans(42, 27, 25, 329), + Trans(42, 31, 25, 329), + Trans(42, 38, 25, 329), + Trans(42, 40, 25, 329), + Trans(42, 54, 25, 329), + Trans(42, 63, 25, 329), + Trans(42, 67, 25, 329), + Trans(42, 68, 25, 329), + Trans(42, 73, 25, 329), + Trans(42, 77, 25, 329), + Trans(42, 80, 25, 329), + Trans(42, 83, 25, 329), + Trans(42, 86, 25, 329), + Trans(42, 93, 25, 329), + Trans(42, 94, 25, 329), + Trans(42, 107, 25, 329), + Trans(43, 5, 25, 329), + Trans(43, 6, 25, 329), + Trans(43, 7, 25, 329), + Trans(43, 8, 25, 329), + Trans(43, 9, 25, 329), + Trans(43, 10, 25, 329), + Trans(43, 11, 25, 329), + Trans(43, 18, 25, 329), + Trans(43, 24, 25, 329), + Trans(43, 25, 25, 329), + Trans(43, 26, 25, 329), + Trans(43, 27, 25, 329), + Trans(43, 31, 25, 329), + Trans(43, 36, 25, 329), + Trans(43, 38, 25, 329), + Trans(43, 40, 25, 329), + Trans(43, 42, 25, 329), + Trans(43, 44, 25, 329), + Trans(43, 54, 25, 329), + Trans(43, 55, 25, 329), + Trans(43, 68, 25, 329), + Trans(43, 73, 25, 329), + Trans(43, 78, 25, 329), + Trans(43, 80, 25, 329), + Trans(43, 83, 25, 329), + Trans(43, 86, 25, 329), + Trans(43, 88, 25, 329), + Trans(43, 107, 25, 329), + Trans(44, 5, 25, 329), + Trans(44, 6, 25, 329), + Trans(44, 7, 25, 329), + Trans(44, 8, 25, 329), + Trans(44, 9, 25, 329), + Trans(44, 10, 25, 329), + Trans(44, 11, 25, 329), + Trans(44, 18, 25, 329), + Trans(44, 24, 25, 329), + Trans(44, 25, 25, 329), + Trans(44, 26, 25, 329), + Trans(44, 27, 25, 329), + Trans(44, 29, 25, 329), + Trans(44, 31, 25, 329), + Trans(44, 36, 25, 329), + Trans(44, 38, 25, 329), + Trans(44, 40, 25, 329), + Trans(44, 42, 25, 329), + Trans(44, 47, 25, 329), + Trans(44, 48, 25, 329), + Trans(44, 49, 25, 329), + Trans(44, 54, 25, 329), + Trans(44, 55, 25, 329), + Trans(44, 58, 25, 329), + Trans(44, 59, 25, 329), + Trans(44, 62, 25, 329), + Trans(44, 63, 25, 329), + Trans(44, 64, 25, 329), + Trans(44, 67, 25, 329), + Trans(44, 68, 25, 329), + Trans(44, 69, 25, 329), + Trans(44, 70, 25, 329), + Trans(44, 73, 25, 329), + Trans(44, 74, 25, 329), + Trans(44, 77, 25, 329), + Trans(44, 78, 25, 329), + Trans(44, 80, 25, 329), + Trans(44, 81, 25, 329), + Trans(44, 83, 25, 329), + Trans(44, 86, 25, 329), + Trans(44, 93, 25, 329), + Trans(44, 94, 25, 329), + Trans(44, 98, 25, 329), + Trans(44, 102, 25, 329), + Trans(44, 105, 25, 329), + Trans(44, 106, 25, 329), + Trans(44, 107, 25, 329), + Trans(45, 5, 25, 329), + Trans(45, 30, 25, 329), + Trans(45, 35, 25, 329), + Trans(45, 38, 25, 329), + Trans(45, 39, 25, 329), + Trans(45, 42, 25, 329), + Trans(45, 44, 25, 329), + Trans(45, 45, 25, 329), + Trans(45, 76, 25, 329), + Trans(46, 0, 25, 329), + Trans(46, 5, 25, 329), + Trans(46, 12, 25, 329), + Trans(46, 14, 25, 329), + Trans(46, 16, 25, 329), + Trans(46, 17, 25, 329), + Trans(46, 18, 25, 329), + Trans(46, 19, 25, 329), + Trans(46, 20, 25, 329), + Trans(46, 21, 25, 329), + Trans(46, 22, 25, 329), + Trans(46, 23, 25, 329), + Trans(46, 24, 25, 329), + Trans(46, 25, 25, 329), + Trans(46, 26, 25, 329), + Trans(46, 29, 25, 329), + Trans(46, 30, 25, 329), + Trans(46, 32, 25, 329), + Trans(46, 33, 25, 329), + Trans(46, 36, 25, 329), + Trans(46, 38, 25, 329), + Trans(46, 41, 25, 329), + Trans(46, 42, 25, 329), + Trans(46, 43, 25, 329), + Trans(46, 44, 25, 329), + Trans(46, 45, 25, 329), + Trans(46, 46, 25, 329), + Trans(46, 47, 25, 329), + Trans(46, 48, 25, 329), + Trans(46, 49, 25, 329), + Trans(46, 52, 25, 329), + Trans(46, 56, 25, 329), + Trans(46, 57, 25, 329), + Trans(46, 58, 25, 329), + Trans(46, 59, 25, 329), + Trans(46, 62, 25, 329), + Trans(46, 63, 25, 329), + Trans(46, 64, 25, 329), + Trans(46, 68, 25, 329), + Trans(46, 69, 25, 329), + Trans(46, 70, 25, 329), + Trans(46, 74, 25, 329), + Trans(46, 75, 25, 329), + Trans(46, 77, 25, 329), + Trans(46, 78, 25, 329), + Trans(46, 81, 25, 329), + Trans(46, 82, 25, 329), + Trans(46, 87, 25, 329), + Trans(46, 90, 25, 329), + Trans(46, 92, 25, 329), + Trans(46, 96, 25, 329), + Trans(46, 98, 25, 329), + Trans(46, 102, 25, 329), + Trans(46, 105, 25, 329), + Trans(46, 106, 25, 329), + Trans(47, 5, 25, 329), + Trans(47, 12, 25, 329), + Trans(47, 14, 25, 329), + Trans(47, 15, 25, 329), + Trans(47, 16, 25, 329), + Trans(47, 17, 25, 329), + Trans(47, 18, 25, 329), + Trans(47, 19, 25, 329), + Trans(47, 20, 25, 329), + Trans(47, 21, 25, 329), + Trans(47, 22, 25, 329), + Trans(47, 23, 25, 329), + Trans(47, 24, 25, 329), + Trans(47, 25, 25, 329), + Trans(47, 26, 25, 329), + Trans(47, 29, 25, 329), + Trans(47, 30, 25, 329), + Trans(47, 32, 25, 329), + Trans(47, 33, 25, 329), + Trans(47, 34, 25, 329), + Trans(47, 35, 25, 329), + Trans(47, 36, 25, 329), + Trans(47, 38, 25, 329), + Trans(47, 39, 25, 329), + Trans(47, 40, 25, 329), + Trans(47, 41, 25, 329), + Trans(47, 42, 25, 329), + Trans(47, 43, 25, 329), + Trans(47, 44, 25, 329), + Trans(47, 45, 25, 329), + Trans(47, 46, 25, 329), + Trans(47, 52, 25, 329), + Trans(47, 92, 25, 329), + Trans(47, 96, 25, 329), + Trans(48, 5, 25, 329), + Trans(48, 12, 25, 329), + Trans(48, 13, 25, 329), + Trans(48, 14, 25, 329), + Trans(48, 16, 25, 329), + Trans(48, 17, 25, 329), + Trans(48, 18, 25, 329), + Trans(48, 19, 25, 329), + Trans(48, 20, 25, 329), + Trans(48, 21, 25, 329), + Trans(48, 22, 25, 329), + Trans(48, 23, 25, 329), + Trans(48, 24, 25, 329), + Trans(48, 25, 25, 329), + Trans(48, 26, 25, 329), + Trans(48, 29, 25, 329), + Trans(48, 30, 25, 329), + Trans(48, 32, 25, 329), + Trans(48, 33, 25, 329), + Trans(48, 38, 25, 329), + Trans(48, 40, 25, 329), + Trans(48, 41, 25, 329), + Trans(48, 42, 25, 329), + Trans(48, 43, 25, 329), + Trans(48, 44, 25, 329), + Trans(48, 45, 25, 329), + Trans(48, 46, 25, 329), + Trans(48, 52, 25, 329), + Trans(48, 92, 25, 329), + Trans(48, 96, 25, 329), + Trans(49, 5, 25, 329), + Trans(49, 38, 25, 329), + Trans(49, 68, 25, 329), + Trans(50, 5, 25, 329), + Trans(50, 6, 25, 329), + Trans(50, 7, 25, 329), + Trans(50, 8, 25, 329), + Trans(50, 9, 25, 329), + Trans(50, 10, 25, 329), + Trans(50, 11, 25, 329), + Trans(50, 15, 25, 329), + Trans(50, 18, 25, 329), + Trans(50, 24, 25, 329), + Trans(50, 25, 25, 329), + Trans(50, 26, 25, 329), + Trans(50, 27, 25, 329), + Trans(50, 31, 25, 329), + Trans(50, 38, 25, 329), + Trans(50, 40, 25, 329), + Trans(50, 54, 25, 329), + Trans(50, 68, 25, 329), + Trans(50, 73, 25, 329), + Trans(50, 80, 25, 329), + Trans(50, 83, 25, 329), + Trans(50, 86, 25, 329), + Trans(50, 107, 25, 329), + Trans(51, 6, 25, 329), + Trans(51, 7, 25, 329), + Trans(51, 8, 25, 329), + Trans(51, 9, 25, 329), + Trans(51, 10, 25, 329), + Trans(51, 11, 25, 329), + Trans(51, 18, 25, 329), + Trans(51, 24, 25, 329), + Trans(51, 25, 25, 329), + Trans(51, 26, 25, 329), + Trans(51, 27, 25, 329), + Trans(51, 31, 25, 329), + Trans(51, 38, 25, 329), + Trans(51, 40, 25, 329), + Trans(51, 54, 25, 329), + Trans(51, 68, 25, 329), + Trans(51, 73, 25, 329), + Trans(51, 80, 25, 329), + Trans(51, 83, 25, 329), + Trans(51, 86, 25, 329), + Trans(51, 107, 25, 329), + Trans(52, 5, 25, 329), + Trans(52, 16, 25, 329), + Trans(52, 17, 25, 329), + Trans(52, 18, 25, 329), + Trans(52, 19, 25, 329), + Trans(52, 20, 25, 329), + Trans(52, 21, 25, 329), + Trans(52, 22, 25, 329), + Trans(52, 23, 25, 329), + Trans(52, 24, 25, 329), + Trans(52, 25, 25, 329), + Trans(52, 26, 25, 329), + Trans(52, 43, 25, 329), + Trans(52, 46, 25, 329), + Trans(52, 52, 25, 329), + Trans(53, 5, 25, 329), + Trans(53, 16, 25, 329), + Trans(53, 17, 25, 329), + Trans(53, 18, 25, 329), + Trans(53, 19, 25, 329), + Trans(53, 20, 25, 329), + Trans(53, 21, 25, 329), + Trans(53, 22, 25, 329), + Trans(53, 23, 25, 329), + Trans(53, 24, 25, 329), + Trans(53, 25, 25, 329), + Trans(53, 26, 25, 329), + Trans(53, 28, 25, 329), + Trans(53, 34, 25, 329), + Trans(53, 39, 25, 329), + Trans(53, 40, 25, 329), + Trans(53, 43, 25, 329), + Trans(53, 46, 25, 329), + Trans(53, 52, 25, 329), + Trans(54, 5, 25, 329), + Trans(54, 12, 25, 329), + Trans(54, 14, 25, 329), + Trans(54, 16, 25, 329), + Trans(54, 17, 25, 329), + Trans(54, 18, 25, 329), + Trans(54, 19, 25, 329), + Trans(54, 20, 25, 329), + Trans(54, 21, 25, 329), + Trans(54, 22, 25, 329), + Trans(54, 23, 25, 329), + Trans(54, 24, 25, 329), + Trans(54, 25, 25, 329), + Trans(54, 26, 25, 329), + Trans(54, 29, 25, 329), + Trans(54, 30, 25, 329), + Trans(54, 32, 25, 329), + Trans(54, 33, 25, 329), + Trans(54, 38, 25, 329), + Trans(54, 41, 25, 329), + Trans(54, 42, 25, 329), + Trans(54, 43, 25, 329), + Trans(54, 44, 25, 329), + Trans(54, 45, 25, 329), + Trans(54, 46, 25, 329), + Trans(54, 52, 25, 329), + Trans(54, 92, 25, 329), + Trans(54, 96, 25, 329), + Trans(55, 5, 25, 329), + Trans(55, 12, 25, 329), + Trans(55, 14, 25, 329), + Trans(55, 16, 25, 329), + Trans(55, 17, 25, 329), + Trans(55, 18, 25, 329), + Trans(55, 19, 25, 329), + Trans(55, 20, 25, 329), + Trans(55, 21, 25, 329), + Trans(55, 22, 25, 329), + Trans(55, 23, 25, 329), + Trans(55, 24, 25, 329), + Trans(55, 25, 25, 329), + Trans(55, 26, 25, 329), + Trans(55, 28, 25, 329), + Trans(55, 29, 25, 329), + Trans(55, 30, 25, 329), + Trans(55, 32, 25, 329), + Trans(55, 33, 25, 329), + Trans(55, 34, 25, 329), + Trans(55, 38, 25, 329), + Trans(55, 39, 25, 329), + Trans(55, 40, 25, 329), + Trans(55, 41, 25, 329), + Trans(55, 42, 25, 329), + Trans(55, 43, 25, 329), + Trans(55, 44, 25, 329), + Trans(55, 45, 25, 329), + Trans(55, 46, 25, 329), + Trans(55, 52, 25, 329), + Trans(55, 92, 25, 329), + Trans(55, 96, 25, 329), + Trans(56, 6, 25, 329), + Trans(56, 7, 25, 329), + Trans(56, 8, 25, 329), + Trans(56, 9, 25, 329), + Trans(56, 10, 25, 329), + Trans(56, 11, 25, 329), + Trans(56, 18, 25, 329), + Trans(56, 24, 25, 329), + Trans(56, 25, 25, 329), + Trans(56, 26, 25, 329), + Trans(56, 27, 25, 329), + Trans(56, 31, 25, 329), + Trans(56, 38, 25, 329), + Trans(56, 40, 25, 329), + Trans(56, 54, 25, 329), + Trans(56, 63, 25, 329), + Trans(56, 67, 25, 329), + Trans(56, 68, 25, 329), + Trans(56, 73, 25, 329), + Trans(56, 77, 25, 329), + Trans(56, 80, 25, 329), + Trans(56, 83, 25, 329), + Trans(56, 86, 25, 329), + Trans(56, 93, 25, 329), + Trans(56, 94, 25, 329), + Trans(56, 107, 25, 329), + Trans(57, 5, 25, 329), + Trans(57, 16, 25, 329), + Trans(57, 17, 25, 329), + Trans(57, 18, 25, 329), + Trans(57, 19, 25, 329), + Trans(57, 20, 25, 329), + Trans(57, 21, 25, 329), + Trans(57, 22, 25, 329), + Trans(57, 23, 25, 329), + Trans(57, 24, 25, 329), + Trans(57, 25, 25, 329), + Trans(57, 26, 25, 329), + Trans(57, 30, 25, 329), + Trans(57, 43, 25, 329), + Trans(57, 46, 25, 329), + Trans(57, 52, 25, 329), + Trans(58, 5, 25, 329), + Trans(58, 6, 25, 329), + Trans(58, 7, 25, 329), + Trans(58, 8, 25, 329), + Trans(58, 9, 25, 329), + Trans(58, 10, 25, 329), + Trans(58, 11, 25, 329), + Trans(58, 18, 25, 329), + Trans(58, 24, 25, 329), + Trans(58, 25, 25, 329), + Trans(58, 26, 25, 329), + Trans(58, 27, 25, 329), + Trans(58, 31, 25, 329), + Trans(58, 38, 25, 329), + Trans(58, 40, 25, 329), + Trans(58, 42, 25, 329), + Trans(58, 54, 25, 329), + Trans(58, 63, 25, 329), + Trans(58, 67, 25, 329), + Trans(58, 68, 25, 329), + Trans(58, 73, 25, 329), + Trans(58, 77, 25, 329), + Trans(58, 80, 25, 329), + Trans(58, 83, 25, 329), + Trans(58, 86, 25, 329), + Trans(58, 93, 25, 329), + Trans(58, 94, 25, 329), + Trans(58, 107, 25, 329), + Trans(59, 5, 25, 329), + Trans(59, 15, 25, 329), + Trans(59, 16, 25, 329), + Trans(59, 17, 25, 329), + Trans(59, 18, 25, 329), + Trans(59, 19, 25, 329), + Trans(59, 20, 25, 329), + Trans(59, 21, 25, 329), + Trans(59, 22, 25, 329), + Trans(59, 23, 25, 329), + Trans(59, 24, 25, 329), + Trans(59, 25, 25, 329), + Trans(59, 26, 25, 329), + Trans(59, 28, 25, 329), + Trans(59, 30, 25, 329), + Trans(59, 34, 25, 329), + Trans(59, 35, 25, 329), + Trans(59, 38, 25, 329), + Trans(59, 39, 25, 329), + Trans(59, 40, 25, 329), + Trans(59, 43, 25, 329), + Trans(59, 46, 25, 329), + Trans(59, 52, 25, 329), + Trans(60, 6, 25, 329), + Trans(60, 7, 25, 329), + Trans(60, 8, 25, 329), + Trans(60, 9, 25, 329), + Trans(60, 10, 25, 329), + Trans(60, 11, 25, 329), + Trans(60, 18, 25, 329), + Trans(60, 24, 25, 329), + Trans(60, 25, 25, 329), + Trans(60, 26, 25, 329), + Trans(60, 27, 25, 329), + Trans(60, 31, 25, 329), + Trans(60, 36, 25, 329), + Trans(60, 38, 25, 329), + Trans(60, 40, 25, 329), + Trans(60, 42, 25, 329), + Trans(60, 44, 25, 329), + Trans(60, 54, 25, 329), + Trans(60, 55, 25, 329), + Trans(60, 68, 25, 329), + Trans(60, 73, 25, 329), + Trans(60, 78, 25, 329), + Trans(60, 80, 25, 329), + Trans(60, 83, 25, 329), + Trans(60, 86, 25, 329), + Trans(60, 88, 25, 329), + Trans(60, 107, 25, 329), + Trans(61, 5, 25, 329), + Trans(61, 16, 25, 329), + Trans(61, 17, 25, 329), + Trans(61, 18, 25, 329), + Trans(61, 19, 25, 329), + Trans(61, 20, 25, 329), + Trans(61, 21, 25, 329), + Trans(61, 22, 25, 329), + Trans(61, 23, 25, 329), + Trans(61, 24, 25, 329), + Trans(61, 25, 25, 329), + Trans(61, 26, 25, 329), + Trans(61, 29, 25, 329), + Trans(61, 30, 25, 329), + Trans(61, 32, 25, 329), + Trans(61, 33, 25, 329), + Trans(61, 41, 25, 329), + Trans(61, 42, 25, 329), + Trans(61, 43, 25, 329), + Trans(61, 44, 25, 329), + Trans(61, 46, 25, 329), + Trans(61, 52, 25, 329), + Trans(61, 92, 25, 329), + Trans(62, 5, 25, 329), + Trans(62, 6, 25, 329), + Trans(62, 7, 25, 329), + Trans(62, 8, 25, 329), + Trans(62, 9, 25, 329), + Trans(62, 10, 25, 329), + Trans(62, 11, 25, 329), + Trans(62, 18, 25, 329), + Trans(62, 24, 25, 329), + Trans(62, 25, 25, 329), + Trans(62, 26, 25, 329), + Trans(62, 27, 25, 329), + Trans(62, 31, 25, 329), + Trans(62, 36, 25, 329), + Trans(62, 38, 25, 329), + Trans(62, 40, 25, 329), + Trans(62, 54, 25, 329), + Trans(62, 68, 25, 329), + Trans(62, 73, 25, 329), + Trans(62, 78, 25, 329), + Trans(62, 80, 25, 329), + Trans(62, 83, 25, 329), + Trans(62, 86, 25, 329), + Trans(62, 88, 25, 329), + Trans(62, 107, 25, 329), + Trans(63, 5, 25, 329), + Trans(63, 12, 25, 329), + Trans(63, 14, 25, 329), + Trans(63, 16, 25, 329), + Trans(63, 17, 25, 329), + Trans(63, 18, 25, 329), + Trans(63, 19, 25, 329), + Trans(63, 20, 25, 329), + Trans(63, 21, 25, 329), + Trans(63, 22, 25, 329), + Trans(63, 23, 25, 329), + Trans(63, 24, 25, 329), + Trans(63, 25, 25, 329), + Trans(63, 26, 25, 329), + Trans(63, 29, 25, 329), + Trans(63, 30, 25, 329), + Trans(63, 32, 25, 329), + Trans(63, 33, 25, 329), + Trans(63, 36, 25, 329), + Trans(63, 38, 25, 329), + Trans(63, 41, 25, 329), + Trans(63, 42, 25, 329), + Trans(63, 43, 25, 329), + Trans(63, 44, 25, 329), + Trans(63, 45, 25, 329), + Trans(63, 46, 25, 329), + Trans(63, 47, 25, 329), + Trans(63, 48, 25, 329), + Trans(63, 49, 25, 329), + Trans(63, 52, 25, 329), + Trans(63, 58, 25, 329), + Trans(63, 59, 25, 329), + Trans(63, 62, 25, 329), + Trans(63, 63, 25, 329), + Trans(63, 64, 25, 329), + Trans(63, 68, 25, 329), + Trans(63, 69, 25, 329), + Trans(63, 70, 25, 329), + Trans(63, 74, 25, 329), + Trans(63, 77, 25, 329), + Trans(63, 78, 25, 329), + Trans(63, 81, 25, 329), + Trans(63, 92, 25, 329), + Trans(63, 96, 25, 329), + Trans(63, 98, 25, 329), + Trans(63, 102, 25, 329), + Trans(63, 105, 25, 329), + Trans(63, 106, 25, 329), + Trans(64, 5, 25, 329), + Trans(64, 16, 25, 329), + Trans(64, 17, 25, 329), + Trans(64, 18, 25, 329), + Trans(64, 19, 25, 329), + Trans(64, 20, 25, 329), + Trans(64, 21, 25, 329), + Trans(64, 22, 25, 329), + Trans(64, 23, 25, 329), + Trans(64, 24, 25, 329), + Trans(64, 25, 25, 329), + Trans(64, 26, 25, 329), + Trans(64, 28, 25, 329), + Trans(64, 29, 25, 329), + Trans(64, 30, 25, 329), + Trans(64, 32, 25, 329), + Trans(64, 33, 25, 329), + Trans(64, 34, 25, 329), + Trans(64, 35, 25, 329), + Trans(64, 39, 25, 329), + Trans(64, 40, 25, 329), + Trans(64, 41, 25, 329), + Trans(64, 42, 25, 329), + Trans(64, 43, 25, 329), + Trans(64, 44, 25, 329), + Trans(64, 46, 25, 329), + Trans(64, 52, 25, 329), + Trans(64, 92, 25, 329), + Trans(65, 5, 25, 329), + Trans(65, 16, 25, 329), + Trans(65, 17, 25, 329), + Trans(65, 18, 25, 329), + Trans(65, 19, 25, 329), + Trans(65, 20, 25, 329), + Trans(65, 21, 25, 329), + Trans(65, 22, 25, 329), + Trans(65, 23, 25, 329), + Trans(65, 24, 25, 329), + Trans(65, 25, 25, 329), + Trans(65, 26, 25, 329), + Trans(65, 29, 25, 329), + Trans(65, 30, 25, 329), + Trans(65, 38, 25, 329), + Trans(65, 42, 25, 329), + Trans(65, 46, 25, 329), + Trans(65, 52, 25, 329), + Trans(65, 96, 25, 329), + Trans(66, 5, 25, 329), + Trans(66, 16, 25, 329), + Trans(66, 17, 25, 329), + Trans(66, 18, 25, 329), + Trans(66, 19, 25, 329), + Trans(66, 20, 25, 329), + Trans(66, 21, 25, 329), + Trans(66, 22, 25, 329), + Trans(66, 23, 25, 329), + Trans(66, 24, 25, 329), + Trans(66, 25, 25, 329), + Trans(66, 26, 25, 329), + Trans(66, 28, 25, 329), + Trans(66, 29, 25, 329), + Trans(66, 30, 25, 329), + Trans(66, 34, 25, 329), + Trans(66, 38, 25, 329), + Trans(66, 39, 25, 329), + Trans(66, 40, 25, 329), + Trans(66, 42, 25, 329), + Trans(66, 46, 25, 329), + Trans(66, 52, 25, 329), + Trans(66, 96, 25, 329), + Trans(67, 5, 25, 329), + Trans(67, 16, 25, 329), + Trans(67, 17, 25, 329), + Trans(67, 18, 25, 329), + Trans(67, 19, 25, 329), + Trans(67, 20, 25, 329), + Trans(67, 21, 25, 329), + Trans(67, 22, 25, 329), + Trans(67, 23, 25, 329), + Trans(67, 24, 25, 329), + Trans(67, 25, 25, 329), + Trans(67, 26, 25, 329), + Trans(67, 30, 25, 329), + Trans(67, 42, 25, 329), + Trans(67, 44, 25, 329), + Trans(67, 45, 25, 329), + Trans(67, 46, 25, 329), + Trans(67, 52, 25, 329), + Trans(68, 5, 25, 329), + Trans(68, 16, 25, 329), + Trans(68, 17, 25, 329), + Trans(68, 18, 25, 329), + Trans(68, 19, 25, 329), + Trans(68, 20, 25, 329), + Trans(68, 21, 25, 329), + Trans(68, 22, 25, 329), + Trans(68, 23, 25, 329), + Trans(68, 24, 25, 329), + Trans(68, 25, 25, 329), + Trans(68, 26, 25, 329), + Trans(68, 28, 25, 329), + Trans(68, 30, 25, 329), + Trans(68, 34, 25, 329), + Trans(68, 39, 25, 329), + Trans(68, 40, 25, 329), + Trans(68, 42, 25, 329), + Trans(68, 44, 25, 329), + Trans(68, 45, 25, 329), + Trans(68, 46, 25, 329), + Trans(68, 52, 25, 329), + Trans(69, 5, 25, 329), + Trans(69, 16, 25, 329), + Trans(69, 17, 25, 329), + Trans(69, 18, 25, 329), + Trans(69, 19, 25, 329), + Trans(69, 20, 25, 329), + Trans(69, 21, 25, 329), + Trans(69, 22, 25, 329), + Trans(69, 23, 25, 329), + Trans(69, 24, 25, 329), + Trans(69, 25, 25, 329), + Trans(69, 26, 25, 329), + Trans(69, 30, 25, 329), + Trans(69, 41, 25, 329), + Trans(69, 46, 25, 329), + Trans(69, 52, 25, 329), + Trans(70, 5, 25, 329), + Trans(70, 16, 25, 329), + Trans(70, 17, 25, 329), + Trans(70, 18, 25, 329), + Trans(70, 19, 25, 329), + Trans(70, 20, 25, 329), + Trans(70, 21, 25, 329), + Trans(70, 22, 25, 329), + Trans(70, 23, 25, 329), + Trans(70, 24, 25, 329), + Trans(70, 25, 25, 329), + Trans(70, 26, 25, 329), + Trans(70, 28, 25, 329), + Trans(70, 30, 25, 329), + Trans(70, 34, 25, 329), + Trans(70, 39, 25, 329), + Trans(70, 40, 25, 329), + Trans(70, 41, 25, 329), + Trans(70, 46, 25, 329), + Trans(70, 52, 25, 329), + Trans(71, 6, 25, 329), + Trans(71, 7, 25, 329), + Trans(71, 8, 25, 329), + Trans(71, 9, 25, 329), + Trans(71, 10, 25, 329), + Trans(71, 11, 25, 329), + Trans(71, 18, 25, 329), + Trans(71, 24, 25, 329), + Trans(71, 25, 25, 329), + Trans(71, 26, 25, 329), + Trans(71, 27, 25, 329), + Trans(71, 29, 25, 329), + Trans(71, 31, 25, 329), + Trans(71, 36, 25, 329), + Trans(71, 38, 25, 329), + Trans(71, 40, 25, 329), + Trans(71, 42, 25, 329), + Trans(71, 47, 25, 329), + Trans(71, 48, 25, 329), + Trans(71, 49, 25, 329), + Trans(71, 54, 25, 329), + Trans(71, 55, 25, 329), + Trans(71, 58, 25, 329), + Trans(71, 62, 25, 329), + Trans(71, 63, 25, 329), + Trans(71, 64, 25, 329), + Trans(71, 67, 25, 329), + Trans(71, 68, 25, 329), + Trans(71, 69, 25, 329), + Trans(71, 70, 25, 329), + Trans(71, 73, 25, 329), + Trans(71, 74, 25, 329), + Trans(71, 77, 25, 329), + Trans(71, 78, 25, 329), + Trans(71, 80, 25, 329), + Trans(71, 81, 25, 329), + Trans(71, 83, 25, 329), + Trans(71, 86, 25, 329), + Trans(71, 93, 25, 329), + Trans(71, 94, 25, 329), + Trans(71, 98, 25, 329), + Trans(71, 102, 25, 329), + Trans(71, 105, 25, 329), + Trans(71, 106, 25, 329), + Trans(71, 107, 25, 329), + Trans(72, 5, 25, 329), + Trans(72, 16, 25, 329), + Trans(72, 17, 25, 329), + Trans(72, 18, 25, 329), + Trans(72, 19, 25, 329), + Trans(72, 20, 25, 329), + Trans(72, 21, 25, 329), + Trans(72, 22, 25, 329), + Trans(72, 23, 25, 329), + Trans(72, 24, 25, 329), + Trans(72, 25, 25, 329), + Trans(72, 26, 25, 329), + Trans(72, 29, 25, 329), + Trans(72, 30, 25, 329), + Trans(72, 32, 25, 329), + Trans(72, 33, 25, 329), + Trans(72, 42, 25, 329), + Trans(72, 46, 25, 329), + Trans(72, 52, 25, 329), + Trans(73, 5, 25, 329), + Trans(73, 6, 25, 329), + Trans(73, 7, 25, 329), + Trans(73, 8, 25, 329), + Trans(73, 9, 25, 329), + Trans(73, 10, 25, 329), + Trans(73, 11, 25, 329), + Trans(73, 18, 25, 329), + Trans(73, 24, 25, 329), + Trans(73, 25, 25, 329), + Trans(73, 26, 25, 329), + Trans(73, 27, 25, 329), + Trans(73, 29, 25, 329), + Trans(73, 31, 25, 329), + Trans(73, 36, 25, 329), + Trans(73, 38, 25, 329), + Trans(73, 40, 25, 329), + Trans(73, 42, 25, 329), + Trans(73, 47, 25, 329), + Trans(73, 48, 25, 329), + Trans(73, 49, 25, 329), + Trans(73, 54, 25, 329), + Trans(73, 58, 25, 329), + Trans(73, 62, 25, 329), + Trans(73, 63, 25, 329), + Trans(73, 64, 25, 329), + Trans(73, 68, 25, 329), + Trans(73, 69, 25, 329), + Trans(73, 70, 25, 329), + Trans(73, 73, 25, 329), + Trans(73, 74, 25, 329), + Trans(73, 77, 25, 329), + Trans(73, 78, 25, 329), + Trans(73, 80, 25, 329), + Trans(73, 81, 25, 329), + Trans(73, 83, 25, 329), + Trans(73, 86, 25, 329), + Trans(73, 98, 25, 329), + Trans(73, 102, 25, 329), + Trans(73, 105, 25, 329), + Trans(73, 106, 25, 329), + Trans(73, 107, 25, 329), + Trans(74, 5, 25, 329), + Trans(74, 6, 25, 329), + Trans(74, 7, 25, 329), + Trans(74, 8, 25, 329), + Trans(74, 9, 25, 329), + Trans(74, 10, 25, 329), + Trans(74, 11, 25, 329), + Trans(74, 18, 25, 329), + Trans(74, 24, 25, 329), + Trans(74, 25, 25, 329), + Trans(74, 26, 25, 329), + Trans(74, 27, 25, 329), + Trans(74, 29, 25, 329), + Trans(74, 31, 25, 329), + Trans(74, 36, 25, 329), + Trans(74, 38, 25, 329), + Trans(74, 40, 25, 329), + Trans(74, 42, 25, 329), + Trans(74, 47, 25, 329), + Trans(74, 48, 25, 329), + Trans(74, 49, 25, 329), + Trans(74, 54, 25, 329), + Trans(74, 55, 25, 329), + Trans(74, 56, 25, 329), + Trans(74, 58, 25, 329), + Trans(74, 59, 25, 329), + Trans(74, 62, 25, 329), + Trans(74, 63, 25, 329), + Trans(74, 64, 25, 329), + Trans(74, 67, 25, 329), + Trans(74, 68, 25, 329), + Trans(74, 69, 25, 329), + Trans(74, 70, 25, 329), + Trans(74, 73, 25, 329), + Trans(74, 74, 25, 329), + Trans(74, 77, 25, 329), + Trans(74, 78, 25, 329), + Trans(74, 80, 25, 329), + Trans(74, 81, 25, 329), + Trans(74, 83, 25, 329), + Trans(74, 86, 25, 329), + Trans(74, 93, 25, 329), + Trans(74, 94, 25, 329), + Trans(74, 98, 25, 329), + Trans(74, 102, 25, 329), + Trans(74, 105, 25, 329), + Trans(74, 106, 25, 329), + Trans(74, 107, 25, 329), + Trans(75, 5, 25, 329), + Trans(75, 15, 25, 329), + Trans(75, 16, 25, 329), + Trans(75, 17, 25, 329), + Trans(75, 18, 25, 329), + Trans(75, 19, 25, 329), + Trans(75, 20, 25, 329), + Trans(75, 21, 25, 329), + Trans(75, 22, 25, 329), + Trans(75, 23, 25, 329), + Trans(75, 24, 25, 329), + Trans(75, 25, 25, 329), + Trans(75, 26, 25, 329), + Trans(75, 28, 25, 329), + Trans(75, 29, 25, 329), + Trans(75, 30, 25, 329), + Trans(75, 32, 25, 329), + Trans(75, 33, 25, 329), + Trans(75, 34, 25, 329), + Trans(75, 35, 25, 329), + Trans(75, 39, 25, 329), + Trans(75, 40, 25, 329), + Trans(75, 42, 25, 329), + Trans(75, 46, 25, 329), + Trans(75, 52, 25, 329), + Trans(76, 5, 25, 329), + Trans(76, 16, 25, 329), + Trans(76, 17, 25, 329), + Trans(76, 18, 25, 329), + Trans(76, 19, 25, 329), + Trans(76, 20, 25, 329), + Trans(76, 21, 25, 329), + Trans(76, 22, 25, 329), + Trans(76, 23, 25, 329), + Trans(76, 24, 25, 329), + Trans(76, 25, 25, 329), + Trans(76, 26, 25, 329), + Trans(76, 28, 25, 329), + Trans(76, 30, 25, 329), + Trans(76, 34, 25, 329), + Trans(76, 39, 25, 329), + Trans(76, 40, 25, 329), + Trans(76, 43, 25, 329), + Trans(76, 46, 25, 329), + Trans(76, 52, 25, 329), + Trans(77, 5, 25, 329), + Trans(77, 16, 25, 329), + Trans(77, 17, 25, 329), + Trans(77, 18, 25, 329), + Trans(77, 19, 25, 329), + Trans(77, 20, 25, 329), + Trans(77, 21, 25, 329), + Trans(77, 22, 25, 329), + Trans(77, 23, 25, 329), + Trans(77, 24, 25, 329), + Trans(77, 25, 25, 329), + Trans(77, 26, 25, 329), + Trans(77, 32, 25, 329), + Trans(77, 33, 25, 329), + Trans(77, 38, 25, 329), + Trans(77, 46, 25, 329), + Trans(77, 52, 25, 329), + Trans(77, 96, 25, 329), + Trans(78, 5, 25, 329), + Trans(78, 16, 25, 329), + Trans(78, 17, 25, 329), + Trans(78, 18, 25, 329), + Trans(78, 19, 25, 329), + Trans(78, 20, 25, 329), + Trans(78, 21, 25, 329), + Trans(78, 22, 25, 329), + Trans(78, 23, 25, 329), + Trans(78, 24, 25, 329), + Trans(78, 25, 25, 329), + Trans(78, 26, 25, 329), + Trans(78, 28, 25, 329), + Trans(78, 32, 25, 329), + Trans(78, 33, 25, 329), + Trans(78, 34, 25, 329), + Trans(78, 38, 25, 329), + Trans(78, 39, 25, 329), + Trans(78, 40, 25, 329), + Trans(78, 46, 25, 329), + Trans(78, 52, 25, 329), + Trans(78, 96, 25, 329), + Trans(79, 5, 25, 329), + Trans(79, 16, 25, 329), + Trans(79, 17, 25, 329), + Trans(79, 18, 25, 329), + Trans(79, 19, 25, 329), + Trans(79, 20, 25, 329), + Trans(79, 21, 25, 329), + Trans(79, 22, 25, 329), + Trans(79, 23, 25, 329), + Trans(79, 24, 25, 329), + Trans(79, 25, 25, 329), + Trans(79, 26, 25, 329), + Trans(79, 30, 25, 329), + Trans(79, 42, 25, 329), + Trans(79, 46, 25, 329), + Trans(79, 52, 25, 329), + Trans(80, 5, 25, 329), + Trans(80, 16, 25, 329), + Trans(80, 17, 25, 329), + Trans(80, 18, 25, 329), + Trans(80, 19, 25, 329), + Trans(80, 20, 25, 329), + Trans(80, 21, 25, 329), + Trans(80, 22, 25, 329), + Trans(80, 23, 25, 329), + Trans(80, 24, 25, 329), + Trans(80, 25, 25, 329), + Trans(80, 26, 25, 329), + Trans(80, 28, 25, 329), + Trans(80, 30, 25, 329), + Trans(80, 34, 25, 329), + Trans(80, 39, 25, 329), + Trans(80, 40, 25, 329), + Trans(80, 42, 25, 329), + Trans(80, 46, 25, 329), + Trans(80, 52, 25, 329), + Trans(81, 6, 25, 329), + Trans(81, 7, 25, 329), + Trans(81, 8, 25, 329), + Trans(81, 9, 25, 329), + Trans(81, 10, 25, 329), + Trans(81, 11, 25, 329), + Trans(81, 15, 25, 329), + Trans(81, 18, 25, 329), + Trans(81, 24, 25, 329), + Trans(81, 25, 25, 329), + Trans(81, 26, 25, 329), + Trans(81, 27, 25, 329), + Trans(81, 31, 25, 329), + Trans(81, 38, 25, 329), + Trans(81, 40, 25, 329), + Trans(81, 54, 25, 329), + Trans(81, 68, 25, 329), + Trans(81, 73, 25, 329), + Trans(81, 80, 25, 329), + Trans(81, 83, 25, 329), + Trans(81, 86, 25, 329), + Trans(81, 107, 25, 329), + Trans(82, 12, 25, 329), + Trans(82, 14, 25, 329), + Trans(82, 15, 25, 329), + Trans(82, 16, 25, 329), + Trans(82, 17, 25, 329), + Trans(82, 18, 25, 329), + Trans(82, 19, 25, 329), + Trans(82, 20, 25, 329), + Trans(82, 21, 25, 329), + Trans(82, 22, 25, 329), + Trans(82, 23, 25, 329), + Trans(82, 24, 25, 329), + Trans(82, 25, 25, 329), + Trans(82, 26, 25, 329), + Trans(82, 29, 25, 329), + Trans(82, 30, 25, 329), + Trans(82, 32, 25, 329), + Trans(82, 33, 25, 329), + Trans(82, 34, 25, 329), + Trans(82, 35, 25, 329), + Trans(82, 36, 25, 329), + Trans(82, 38, 25, 329), + Trans(82, 39, 25, 329), + Trans(82, 40, 25, 329), + Trans(82, 41, 25, 329), + Trans(82, 42, 25, 329), + Trans(82, 43, 25, 329), + Trans(82, 44, 25, 329), + Trans(82, 45, 25, 329), + Trans(82, 46, 25, 329), + Trans(82, 52, 25, 329), + Trans(82, 92, 25, 329), + Trans(82, 96, 25, 329), + Trans(83, 5, 25, 329), + Trans(83, 6, 25, 329), + Trans(83, 7, 25, 329), + Trans(83, 8, 25, 329), + Trans(83, 9, 25, 329), + Trans(83, 10, 25, 329), + Trans(83, 11, 25, 329), + Trans(83, 18, 25, 329), + Trans(83, 24, 25, 329), + Trans(83, 25, 25, 329), + Trans(83, 26, 25, 329), + Trans(83, 27, 25, 329), + Trans(83, 31, 25, 329), + Trans(83, 36, 25, 329), + Trans(83, 38, 25, 329), + Trans(83, 40, 25, 329), + Trans(83, 42, 25, 329), + Trans(83, 44, 25, 329), + Trans(83, 50, 25, 329), + Trans(83, 51, 25, 329), + Trans(83, 54, 25, 329), + Trans(83, 55, 25, 329), + Trans(83, 68, 25, 329), + Trans(83, 73, 25, 329), + Trans(83, 78, 25, 329), + Trans(83, 80, 25, 329), + Trans(83, 83, 25, 329), + Trans(83, 86, 25, 329), + Trans(83, 88, 25, 329), + Trans(83, 99, 25, 329), + Trans(83, 100, 25, 329), + Trans(83, 107, 25, 329), + Trans(84, 5, 25, 329), + Trans(84, 6, 25, 329), + Trans(84, 7, 25, 329), + Trans(84, 8, 25, 329), + Trans(84, 9, 25, 329), + Trans(84, 10, 25, 329), + Trans(84, 11, 25, 329), + Trans(84, 18, 25, 329), + Trans(84, 24, 25, 329), + Trans(84, 25, 25, 329), + Trans(84, 26, 25, 329), + Trans(84, 27, 25, 329), + Trans(84, 29, 25, 329), + Trans(84, 31, 25, 329), + Trans(84, 36, 25, 329), + Trans(84, 38, 25, 329), + Trans(84, 40, 25, 329), + Trans(84, 42, 25, 329), + Trans(84, 47, 25, 329), + Trans(84, 48, 25, 329), + Trans(84, 49, 25, 329), + Trans(84, 54, 25, 329), + Trans(84, 55, 25, 329), + Trans(84, 58, 25, 329), + Trans(84, 62, 25, 329), + Trans(84, 63, 25, 329), + Trans(84, 64, 25, 329), + Trans(84, 67, 25, 329), + Trans(84, 68, 25, 329), + Trans(84, 69, 25, 329), + Trans(84, 70, 25, 329), + Trans(84, 73, 25, 329), + Trans(84, 74, 25, 329), + Trans(84, 77, 25, 329), + Trans(84, 78, 25, 329), + Trans(84, 80, 25, 329), + Trans(84, 81, 25, 329), + Trans(84, 83, 25, 329), + Trans(84, 86, 25, 329), + Trans(84, 93, 25, 329), + Trans(84, 94, 25, 329), + Trans(84, 98, 25, 329), + Trans(84, 102, 25, 329), + Trans(84, 105, 25, 329), + Trans(84, 106, 25, 329), + Trans(84, 107, 25, 329), + Trans(85, 5, 25, 329), + Trans(85, 6, 25, 329), + Trans(85, 7, 25, 329), + Trans(85, 8, 25, 329), + Trans(85, 9, 25, 329), + Trans(85, 10, 25, 329), + Trans(85, 11, 25, 329), + Trans(85, 18, 25, 329), + Trans(85, 24, 25, 329), + Trans(85, 25, 25, 329), + Trans(85, 26, 25, 329), + Trans(85, 27, 25, 329), + Trans(85, 31, 25, 329), + Trans(85, 36, 25, 329), + Trans(85, 38, 25, 329), + Trans(85, 40, 25, 329), + Trans(85, 44, 25, 329), + Trans(85, 54, 25, 329), + Trans(85, 68, 25, 329), + Trans(85, 73, 25, 329), + Trans(85, 80, 25, 329), + Trans(85, 83, 25, 329), + Trans(85, 86, 25, 329), + Trans(85, 107, 25, 329), + Trans(86, 5, 25, 329), + Trans(86, 12, 25, 329), + Trans(86, 14, 25, 329), + Trans(86, 16, 25, 329), + Trans(86, 17, 25, 329), + Trans(86, 18, 25, 329), + Trans(86, 19, 25, 329), + Trans(86, 20, 25, 329), + Trans(86, 21, 25, 329), + Trans(86, 22, 25, 329), + Trans(86, 23, 25, 329), + Trans(86, 24, 25, 329), + Trans(86, 25, 25, 329), + Trans(86, 26, 25, 329), + Trans(86, 29, 25, 329), + Trans(86, 30, 25, 329), + Trans(86, 32, 25, 329), + Trans(86, 33, 25, 329), + Trans(86, 36, 25, 329), + Trans(86, 38, 25, 329), + Trans(86, 41, 25, 329), + Trans(86, 42, 25, 329), + Trans(86, 43, 25, 329), + Trans(86, 44, 25, 329), + Trans(86, 45, 25, 329), + Trans(86, 46, 25, 329), + Trans(86, 47, 25, 329), + Trans(86, 48, 25, 329), + Trans(86, 49, 25, 329), + Trans(86, 52, 25, 329), + Trans(86, 56, 25, 329), + Trans(86, 58, 25, 329), + Trans(86, 59, 25, 329), + Trans(86, 62, 25, 329), + Trans(86, 63, 25, 329), + Trans(86, 64, 25, 329), + Trans(86, 68, 25, 329), + Trans(86, 69, 25, 329), + Trans(86, 70, 25, 329), + Trans(86, 74, 25, 329), + Trans(86, 77, 25, 329), + Trans(86, 78, 25, 329), + Trans(86, 81, 25, 329), + Trans(86, 92, 25, 329), + Trans(86, 96, 25, 329), + Trans(86, 98, 25, 329), + Trans(86, 102, 25, 329), + Trans(86, 105, 25, 329), + Trans(86, 106, 25, 329), + Trans(87, 12, 25, 329), + Trans(87, 13, 25, 329), + Trans(87, 14, 25, 329), + Trans(87, 16, 25, 329), + Trans(87, 17, 25, 329), + Trans(87, 18, 25, 329), + Trans(87, 19, 25, 329), + Trans(87, 20, 25, 329), + Trans(87, 21, 25, 329), + Trans(87, 22, 25, 329), + Trans(87, 23, 25, 329), + Trans(87, 24, 25, 329), + Trans(87, 25, 25, 329), + Trans(87, 26, 25, 329), + Trans(87, 29, 25, 329), + Trans(87, 30, 25, 329), + Trans(87, 32, 25, 329), + Trans(87, 33, 25, 329), + Trans(87, 38, 25, 329), + Trans(87, 40, 25, 329), + Trans(87, 41, 25, 329), + Trans(87, 42, 25, 329), + Trans(87, 43, 25, 329), + Trans(87, 44, 25, 329), + Trans(87, 45, 25, 329), + Trans(87, 46, 25, 329), + Trans(87, 52, 25, 329), + Trans(87, 92, 25, 329), + Trans(87, 96, 25, 329), + Trans(88, 5, 25, 329), + Trans(88, 31, 25, 329), + Trans(88, 53, 25, 329), + Trans(88, 60, 25, 329), + Trans(88, 61, 25, 329), + Trans(88, 65, 25, 329), + Trans(88, 66, 25, 329), + Trans(88, 79, 25, 329), + Trans(88, 95, 25, 329), + Trans(88, 97, 25, 329), + Trans(88, 101, 25, 329), + Trans(88, 103, 25, 329), + Trans(88, 104, 25, 329), + Trans(88, 107, 25, 329), + Trans(89, 5, 25, 329), + Trans(89, 36, 25, 329), + Trans(89, 38, 25, 329), + Trans(89, 44, 25, 329), + Trans(89, 107, 25, 329), + Trans(90, 30, 25, 329), + Trans(90, 35, 25, 329), + Trans(90, 38, 25, 329), + Trans(90, 39, 25, 329), + Trans(90, 42, 25, 329), + Trans(90, 44, 25, 329), + Trans(90, 45, 25, 329), + Trans(90, 76, 25, 329), + Trans(91, 5, 25, 329), + Trans(91, 36, 25, 329), + Trans(91, 38, 25, 329), + Trans(91, 42, 25, 329), + Trans(91, 44, 25, 329), + Trans(91, 78, 25, 329), + Trans(91, 88, 25, 329), + Trans(91, 107, 25, 329), + Trans(92, 5, 25, 329), + Trans(92, 31, 25, 329), + Trans(92, 36, 25, 329), + Trans(92, 38, 25, 329), + Trans(92, 42, 25, 329), + Trans(92, 54, 25, 329), + Trans(92, 63, 25, 329), + Trans(92, 67, 25, 329), + Trans(92, 68, 25, 329), + Trans(92, 77, 25, 329), + Trans(92, 93, 25, 329), + Trans(92, 94, 25, 329), + Trans(92, 106, 25, 329), + Trans(92, 107, 25, 329), + Trans(93, 5, 25, 329), + Trans(93, 29, 25, 329), + Trans(93, 30, 25, 329), + Trans(93, 36, 25, 329), + Trans(93, 38, 25, 329), + Trans(93, 42, 25, 329), + Trans(93, 44, 25, 329), + Trans(93, 47, 25, 329), + Trans(93, 48, 25, 329), + Trans(93, 49, 25, 329), + Trans(93, 58, 25, 329), + Trans(93, 59, 25, 329), + Trans(93, 62, 25, 329), + Trans(93, 63, 25, 329), + Trans(93, 64, 25, 329), + Trans(93, 68, 25, 329), + Trans(93, 69, 25, 329), + Trans(93, 70, 25, 329), + Trans(93, 74, 25, 329), + Trans(93, 77, 25, 329), + Trans(93, 78, 25, 329), + Trans(93, 81, 25, 329), + Trans(93, 98, 25, 329), + Trans(93, 102, 25, 329), + Trans(93, 105, 25, 329), + Trans(93, 106, 25, 329), + Trans(94, 5, 25, 329), + Trans(94, 13, 25, 329), + Trans(94, 38, 25, 329), + Trans(94, 40, 25, 329), + Trans(95, 5, 25, 329), + Trans(95, 29, 25, 329), + Trans(95, 31, 25, 329), + Trans(95, 36, 25, 329), + Trans(95, 38, 25, 329), + Trans(95, 42, 25, 329), + Trans(95, 47, 25, 329), + Trans(95, 48, 25, 329), + Trans(95, 49, 25, 329), + Trans(95, 54, 25, 329), + Trans(95, 58, 25, 329), + Trans(95, 59, 25, 329), + Trans(95, 62, 25, 329), + Trans(95, 63, 25, 329), + Trans(95, 64, 25, 329), + Trans(95, 67, 25, 329), + Trans(95, 68, 25, 329), + Trans(95, 69, 25, 329), + Trans(95, 70, 25, 329), + Trans(95, 74, 25, 329), + Trans(95, 77, 25, 329), + Trans(95, 78, 25, 329), + Trans(95, 81, 25, 329), + Trans(95, 93, 25, 329), + Trans(95, 94, 25, 329), + Trans(95, 98, 25, 329), + Trans(95, 102, 25, 329), + Trans(95, 105, 25, 329), + Trans(95, 106, 25, 329), + Trans(95, 107, 25, 329), + Trans(96, 31, 25, 329), + Trans(96, 107, 25, 329), + Trans(97, 5, 25, 329), + Trans(97, 12, 25, 329), + Trans(97, 14, 25, 329), + Trans(97, 16, 25, 329), + Trans(97, 17, 25, 329), + Trans(97, 18, 25, 329), + Trans(97, 19, 25, 329), + Trans(97, 20, 25, 329), + Trans(97, 21, 25, 329), + Trans(97, 22, 25, 329), + Trans(97, 23, 25, 329), + Trans(97, 24, 25, 329), + Trans(97, 25, 25, 329), + Trans(97, 26, 25, 329), + Trans(97, 28, 25, 329), + Trans(97, 29, 25, 329), + Trans(97, 30, 25, 329), + Trans(97, 32, 25, 329), + Trans(97, 33, 25, 329), + Trans(97, 38, 25, 329), + Trans(97, 41, 25, 329), + Trans(97, 42, 25, 329), + Trans(97, 43, 25, 329), + Trans(97, 44, 25, 329), + Trans(97, 45, 25, 329), + Trans(97, 46, 25, 329), + Trans(97, 52, 25, 329), + Trans(97, 92, 25, 329), + Trans(97, 96, 25, 329), + Trans(98, 36, 25, 329), + Trans(98, 38, 25, 329), + Trans(98, 44, 25, 329), + Trans(98, 107, 25, 329), + Trans(99, 5, 25, 329), + Trans(99, 36, 25, 329), + Trans(99, 38, 25, 329), + Trans(99, 107, 25, 329), + Trans(100, 5, 25, 329), + Trans(100, 29, 25, 329), + Trans(100, 30, 25, 329), + Trans(100, 44, 25, 329), + Trans(101, 40, 25, 329), ], k: 3, }, - /* 512 - "ScopedIdentifierOpt" */ + /* 522 - "ScopedIdentifierOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 326), Trans(0, 106, 2, 327)], + transitions: &[Trans(0, 31, 1, 330), Trans(0, 107, 2, 331)], k: 1, }, - /* 513 - "Select" */ + /* 523 - "Select" */ LookaheadDFA { - prod0: 444, + prod0: 448, transitions: &[], k: 0, }, - /* 514 - "SelectOperator" */ + /* 524 - "SelectOperator" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 3, 449), - Trans(0, 14, 2, 448), - Trans(0, 29, 1, 447), - Trans(0, 95, 4, 450), + Trans(0, 12, 3, 453), + Trans(0, 14, 2, 452), + Trans(0, 29, 1, 451), + Trans(0, 96, 4, 454), ], k: 1, }, - /* 515 - "SelectOpt" */ + /* 525 - "SelectOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 1, 445), - Trans(0, 14, 1, 445), - Trans(0, 29, 1, 445), - Trans(0, 43, 2, 446), - Trans(0, 95, 1, 445), + Trans(0, 12, 1, 449), + Trans(0, 14, 1, 449), + Trans(0, 29, 1, 449), + Trans(0, 43, 2, 450), + Trans(0, 96, 1, 449), ], k: 1, }, - /* 516 - "Semicolon" */ + /* 526 - "Semicolon" */ LookaheadDFA { - prod0: 247, + prod0: 250, transitions: &[], k: 0, }, - /* 517 - "SemicolonTerm" */ + /* 527 - "SemicolonTerm" */ LookaheadDFA { prod0: 40, transitions: &[], k: 0, }, - /* 518 - "SemicolonToken" */ + /* 528 - "SemicolonToken" */ LookaheadDFA { - prod0: 145, + prod0: 147, transitions: &[], k: 0, }, - /* 519 - "Signed" */ + /* 529 - "Signed" */ LookaheadDFA { - prod0: 296, + prod0: 300, transitions: &[], k: 0, }, - /* 520 - "SignedTerm" */ + /* 530 - "SignedTerm" */ LookaheadDFA { - prod0: 89, + prod0: 90, transitions: &[], k: 0, }, - /* 521 - "SignedToken" */ + /* 531 - "SignedToken" */ LookaheadDFA { - prod0: 194, + prod0: 197, transitions: &[], k: 0, }, - /* 522 - "Star" */ + /* 532 - "Star" */ LookaheadDFA { - prod0: 248, + prod0: 251, transitions: &[], k: 0, }, - /* 523 - "StarTerm" */ + /* 533 - "StarTerm" */ LookaheadDFA { prod0: 41, transitions: &[], k: 0, }, - /* 524 - "StarToken" */ + /* 534 - "StarToken" */ LookaheadDFA { - prod0: 146, + prod0: 148, transitions: &[], k: 0, }, - /* 525 - "Start" */ + /* 535 - "Start" */ LookaheadDFA { - prod0: 207, + prod0: 210, transitions: &[], k: 0, }, - /* 526 - "StartToken" */ + /* 536 - "StartToken" */ LookaheadDFA { - prod0: 105, + prod0: 107, transitions: &[], k: 0, }, - /* 527 - "Statement" */ + /* 537 - "Statement" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 486), - Trans(0, 54, 8, 492), - Trans(0, 62, 7, 491), - Trans(0, 66, 4, 488), - Trans(0, 67, 3, 487), - Trans(0, 76, 1, 485), - Trans(0, 92, 5, 489), - Trans(0, 93, 6, 490), - Trans(0, 106, 2, 486), + Trans(0, 31, 2, 490), + Trans(0, 54, 8, 496), + Trans(0, 63, 7, 495), + Trans(0, 67, 4, 492), + Trans(0, 68, 3, 491), + Trans(0, 77, 1, 489), + Trans(0, 93, 5, 493), + Trans(0, 94, 6, 494), + Trans(0, 107, 2, 490), ], k: 1, }, - /* 528 - "Step" */ + /* 538 - "Step" */ LookaheadDFA { - prod0: 297, + prod0: 301, transitions: &[], k: 0, }, - /* 529 - "StepTerm" */ + /* 539 - "StepTerm" */ LookaheadDFA { - prod0: 90, + prod0: 91, transitions: &[], k: 0, }, - /* 530 - "StepToken" */ + /* 540 - "StepToken" */ LookaheadDFA { - prod0: 195, + prod0: 198, transitions: &[], k: 0, }, - /* 531 - "Strin" */ + /* 541 - "Strin" */ LookaheadDFA { - prod0: 298, + prod0: 302, transitions: &[], k: 0, }, - /* 532 - "StringLiteral" */ + /* 542 - "StringLiteral" */ LookaheadDFA { - prod0: 208, + prod0: 211, transitions: &[], k: 0, }, - /* 533 - "StringLiteralTerm" */ + /* 543 - "StringLiteralTerm" */ LookaheadDFA { prod0: 1, transitions: &[], k: 0, }, - /* 534 - "StringLiteralToken" */ + /* 544 - "StringLiteralToken" */ LookaheadDFA { - prod0: 106, + prod0: 108, transitions: &[], k: 0, }, - /* 535 - "StringTerm" */ + /* 545 - "StringTerm" */ LookaheadDFA { - prod0: 91, + prod0: 92, transitions: &[], k: 0, }, - /* 536 - "StringToken" */ + /* 546 - "StringToken" */ LookaheadDFA { - prod0: 196, + prod0: 199, transitions: &[], k: 0, }, - /* 537 - "Struct" */ + /* 547 - "Struct" */ LookaheadDFA { - prod0: 299, + prod0: 303, transitions: &[], k: 0, }, - /* 538 - "StructTerm" */ + /* 548 - "StructTerm" */ LookaheadDFA { - prod0: 92, + prod0: 93, transitions: &[], k: 0, }, - /* 539 - "StructToken" */ + /* 549 - "StructToken" */ LookaheadDFA { - prod0: 197, + prod0: 200, transitions: &[], k: 0, }, - /* 540 - "StructUnion" */ + /* 550 - "StructUnion" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 97, 1, 604), Trans(0, 104, 2, 605)], + transitions: &[Trans(0, 98, 1, 608), Trans(0, 105, 2, 609)], k: 1, }, - /* 541 - "StructUnionDeclaration" */ + /* 551 - "StructUnionDeclaration" */ LookaheadDFA { - prod0: 606, + prod0: 610, transitions: &[], k: 0, }, - /* 542 - "StructUnionGroup" */ + /* 552 - "StructUnionGroup" */ LookaheadDFA { - prod0: 612, + prod0: 616, transitions: &[], k: 0, }, - /* 543 - "StructUnionGroupGroup" */ + /* 553 - "StructUnionGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 38, 1, 613), Trans(0, 106, 2, 614)], + transitions: &[Trans(0, 38, 1, 617), Trans(0, 107, 2, 618)], k: 1, }, - /* 544 - "StructUnionGroupList" */ + /* 554 - "StructUnionGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 615), - Trans(0, 38, 2, 616), - Trans(0, 106, 2, 616), + Trans(0, 36, 1, 619), + Trans(0, 38, 2, 620), + Trans(0, 107, 2, 620), ], k: 1, }, - /* 545 - "StructUnionItem" */ + /* 555 - "StructUnionItem" */ LookaheadDFA { - prod0: 617, + prod0: 621, transitions: &[], k: 0, }, - /* 546 - "StructUnionList" */ + /* 556 - "StructUnionList" */ LookaheadDFA { - prod0: 607, + prod0: 611, transitions: &[], k: 0, }, - /* 547 - "StructUnionListList" */ + /* 557 - "StructUnionListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 7, -1), - Trans(1, 5, 2, -1), - Trans(1, 36, 4, -1), - Trans(1, 38, 5, -1), - Trans(1, 42, 8, -1), - Trans(1, 106, 6, -1), - Trans(2, 36, 3, 608), - Trans(2, 38, 3, 608), - Trans(2, 42, 9, 609), - Trans(2, 106, 3, 608), - Trans(4, 5, 3, 608), - Trans(4, 39, 3, 608), - Trans(5, 5, 3, 608), - Trans(5, 36, 3, 608), - Trans(5, 38, 3, 608), - Trans(5, 106, 3, 608), - Trans(6, 5, 3, 608), - Trans(6, 29, 3, 608), - Trans(7, 5, 10, -1), - Trans(7, 29, 11, -1), - Trans(7, 30, 12, -1), - Trans(7, 36, 13, -1), - Trans(7, 38, 14, -1), - Trans(7, 42, 15, -1), - Trans(7, 47, 16, -1), - Trans(7, 48, 17, -1), - Trans(7, 49, 11, -1), - Trans(7, 57, 11, -1), - Trans(7, 58, 18, -1), - Trans(7, 61, 16, -1), - Trans(7, 62, 11, -1), - Trans(7, 63, 11, -1), - Trans(7, 67, 19, -1), - Trans(7, 68, 20, -1), - Trans(7, 69, 16, -1), - Trans(7, 73, 11, -1), - Trans(7, 76, 11, -1), - Trans(7, 77, 11, -1), - Trans(7, 80, 11, -1), - Trans(7, 97, 11, -1), - Trans(7, 101, 11, -1), - Trans(7, 104, 11, -1), - Trans(7, 105, 11, -1), - Trans(8, 5, 9, 609), - Trans(8, 29, 9, 609), - Trans(8, 30, 9, 609), - Trans(8, 36, 9, 609), - Trans(8, 38, 9, 609), - Trans(8, 42, 9, 609), - Trans(8, 47, 9, 609), - Trans(8, 48, 9, 609), - Trans(8, 49, 9, 609), - Trans(8, 57, 9, 609), - Trans(8, 58, 9, 609), - Trans(8, 61, 9, 609), - Trans(8, 62, 9, 609), - Trans(8, 63, 9, 609), - Trans(8, 67, 9, 609), - Trans(8, 68, 9, 609), - Trans(8, 69, 9, 609), - Trans(8, 73, 9, 609), - Trans(8, 76, 9, 609), - Trans(8, 77, 9, 609), - Trans(8, 80, 9, 609), - Trans(8, 97, 9, 609), - Trans(8, 101, 9, 609), - Trans(8, 104, 9, 609), - Trans(8, 105, 9, 609), - Trans(10, 29, 9, 609), - Trans(10, 30, 9, 609), - Trans(10, 36, 9, 609), - Trans(10, 38, 9, 609), - Trans(10, 42, 9, 609), - Trans(10, 47, 9, 609), - Trans(10, 48, 9, 609), - Trans(10, 49, 9, 609), - Trans(10, 57, 9, 609), - Trans(10, 58, 9, 609), - Trans(10, 61, 9, 609), - Trans(10, 62, 9, 609), - Trans(10, 63, 9, 609), - Trans(10, 67, 9, 609), - Trans(10, 68, 9, 609), - Trans(10, 69, 9, 609), - Trans(10, 73, 9, 609), - Trans(10, 76, 9, 609), - Trans(10, 77, 9, 609), - Trans(10, 80, 9, 609), - Trans(10, 97, 9, 609), - Trans(10, 101, 9, 609), - Trans(10, 104, 9, 609), - Trans(10, 105, 9, 609), - Trans(11, 5, 9, 609), - Trans(11, 106, 9, 609), - Trans(12, 5, 9, 609), - Trans(12, 36, 9, 609), - Trans(12, 38, 9, 609), - Trans(12, 42, 9, 609), - Trans(12, 106, 9, 609), - Trans(13, 5, 9, 609), - Trans(13, 39, 9, 609), - Trans(14, 5, 9, 609), - Trans(14, 29, 9, 609), - Trans(14, 36, 9, 609), - Trans(14, 38, 9, 609), - Trans(14, 42, 9, 609), - Trans(14, 47, 9, 609), - Trans(14, 48, 9, 609), - Trans(14, 49, 9, 609), - Trans(14, 57, 9, 609), - Trans(14, 58, 9, 609), - Trans(14, 61, 9, 609), - Trans(14, 62, 9, 609), - Trans(14, 63, 9, 609), - Trans(14, 67, 9, 609), - Trans(14, 68, 9, 609), - Trans(14, 69, 9, 609), - Trans(14, 73, 9, 609), - Trans(14, 76, 9, 609), - Trans(14, 77, 9, 609), - Trans(14, 80, 9, 609), - Trans(14, 97, 9, 609), - Trans(14, 101, 9, 609), - Trans(14, 104, 9, 609), - Trans(14, 105, 9, 609), - Trans(15, 0, 9, 609), - Trans(15, 5, 9, 609), - Trans(15, 29, 9, 609), - Trans(15, 30, 9, 609), - Trans(15, 36, 9, 609), - Trans(15, 38, 9, 609), - Trans(15, 42, 9, 609), - Trans(15, 47, 9, 609), - Trans(15, 48, 9, 609), - Trans(15, 49, 9, 609), - Trans(15, 56, 9, 609), - Trans(15, 57, 9, 609), - Trans(15, 58, 9, 609), - Trans(15, 61, 9, 609), - Trans(15, 62, 9, 609), - Trans(15, 63, 9, 609), - Trans(15, 67, 9, 609), - Trans(15, 68, 9, 609), - Trans(15, 69, 9, 609), - Trans(15, 73, 9, 609), - Trans(15, 74, 9, 609), - Trans(15, 76, 9, 609), - Trans(15, 77, 9, 609), - Trans(15, 80, 9, 609), - Trans(15, 81, 9, 609), - Trans(15, 86, 9, 609), - Trans(15, 89, 9, 609), - Trans(15, 97, 9, 609), - Trans(15, 101, 9, 609), - Trans(15, 104, 9, 609), - Trans(15, 105, 9, 609), - Trans(16, 5, 9, 609), - Trans(16, 38, 9, 609), - Trans(17, 5, 9, 609), - Trans(17, 40, 9, 609), - Trans(18, 5, 9, 609), - Trans(18, 31, 9, 609), - Trans(18, 46, 9, 609), - Trans(18, 106, 9, 609), - Trans(19, 5, 9, 609), - Trans(19, 6, 9, 609), - Trans(19, 7, 9, 609), - Trans(19, 8, 9, 609), - Trans(19, 9, 9, 609), - Trans(19, 10, 9, 609), - Trans(19, 11, 9, 609), - Trans(19, 18, 9, 609), - Trans(19, 24, 9, 609), - Trans(19, 25, 9, 609), - Trans(19, 26, 9, 609), - Trans(19, 27, 9, 609), - Trans(19, 31, 9, 609), - Trans(19, 38, 9, 609), - Trans(19, 40, 9, 609), - Trans(19, 54, 9, 609), - Trans(19, 67, 9, 609), - Trans(19, 72, 9, 609), - Trans(19, 79, 9, 609), - Trans(19, 82, 9, 609), - Trans(19, 85, 9, 609), - Trans(19, 106, 9, 609), - Trans(20, 5, 9, 609), - Trans(20, 31, 9, 609), - Trans(20, 106, 9, 609), + Trans(1, 5, 6, -1), + Trans(1, 36, 2, -1), + Trans(1, 38, 4, -1), + Trans(1, 42, 20, -1), + Trans(1, 107, 5, -1), + Trans(2, 5, 3, 612), + Trans(2, 39, 3, 612), + Trans(4, 5, 3, 612), + Trans(4, 36, 3, 612), + Trans(4, 38, 3, 612), + Trans(4, 107, 3, 612), + Trans(5, 5, 3, 612), + Trans(5, 29, 3, 612), + Trans(6, 36, 3, 612), + Trans(6, 38, 3, 612), + Trans(6, 42, 19, 613), + Trans(6, 107, 3, 612), + Trans(7, 5, 8, -1), + Trans(7, 29, 9, -1), + Trans(7, 30, 10, -1), + Trans(7, 36, 11, -1), + Trans(7, 38, 12, -1), + Trans(7, 42, 13, -1), + Trans(7, 47, 14, -1), + Trans(7, 48, 15, -1), + Trans(7, 49, 9, -1), + Trans(7, 58, 9, -1), + Trans(7, 59, 16, -1), + Trans(7, 62, 14, -1), + Trans(7, 63, 9, -1), + Trans(7, 64, 9, -1), + Trans(7, 68, 17, -1), + Trans(7, 69, 18, -1), + Trans(7, 70, 14, -1), + Trans(7, 74, 9, -1), + Trans(7, 77, 9, -1), + Trans(7, 78, 9, -1), + Trans(7, 81, 9, -1), + Trans(7, 98, 9, -1), + Trans(7, 102, 9, -1), + Trans(7, 105, 9, -1), + Trans(7, 106, 9, -1), + Trans(8, 29, 19, 613), + Trans(8, 30, 19, 613), + Trans(8, 36, 19, 613), + Trans(8, 38, 19, 613), + Trans(8, 42, 19, 613), + Trans(8, 47, 19, 613), + Trans(8, 48, 19, 613), + Trans(8, 49, 19, 613), + Trans(8, 58, 19, 613), + Trans(8, 59, 19, 613), + Trans(8, 62, 19, 613), + Trans(8, 63, 19, 613), + Trans(8, 64, 19, 613), + Trans(8, 68, 19, 613), + Trans(8, 69, 19, 613), + Trans(8, 70, 19, 613), + Trans(8, 74, 19, 613), + Trans(8, 77, 19, 613), + Trans(8, 78, 19, 613), + Trans(8, 81, 19, 613), + Trans(8, 98, 19, 613), + Trans(8, 102, 19, 613), + Trans(8, 105, 19, 613), + Trans(8, 106, 19, 613), + Trans(9, 5, 19, 613), + Trans(9, 107, 19, 613), + Trans(10, 5, 19, 613), + Trans(10, 36, 19, 613), + Trans(10, 38, 19, 613), + Trans(10, 42, 19, 613), + Trans(10, 107, 19, 613), + Trans(11, 5, 19, 613), + Trans(11, 39, 19, 613), + Trans(12, 5, 19, 613), + Trans(12, 29, 19, 613), + Trans(12, 36, 19, 613), + Trans(12, 38, 19, 613), + Trans(12, 42, 19, 613), + Trans(12, 47, 19, 613), + Trans(12, 48, 19, 613), + Trans(12, 49, 19, 613), + Trans(12, 58, 19, 613), + Trans(12, 59, 19, 613), + Trans(12, 62, 19, 613), + Trans(12, 63, 19, 613), + Trans(12, 64, 19, 613), + Trans(12, 68, 19, 613), + Trans(12, 69, 19, 613), + Trans(12, 70, 19, 613), + Trans(12, 74, 19, 613), + Trans(12, 77, 19, 613), + Trans(12, 78, 19, 613), + Trans(12, 81, 19, 613), + Trans(12, 98, 19, 613), + Trans(12, 102, 19, 613), + Trans(12, 105, 19, 613), + Trans(12, 106, 19, 613), + Trans(13, 0, 19, 613), + Trans(13, 5, 19, 613), + Trans(13, 29, 19, 613), + Trans(13, 30, 19, 613), + Trans(13, 36, 19, 613), + Trans(13, 38, 19, 613), + Trans(13, 42, 19, 613), + Trans(13, 47, 19, 613), + Trans(13, 48, 19, 613), + Trans(13, 49, 19, 613), + Trans(13, 56, 19, 613), + Trans(13, 57, 19, 613), + Trans(13, 58, 19, 613), + Trans(13, 59, 19, 613), + Trans(13, 62, 19, 613), + Trans(13, 63, 19, 613), + Trans(13, 64, 19, 613), + Trans(13, 68, 19, 613), + Trans(13, 69, 19, 613), + Trans(13, 70, 19, 613), + Trans(13, 74, 19, 613), + Trans(13, 75, 19, 613), + Trans(13, 77, 19, 613), + Trans(13, 78, 19, 613), + Trans(13, 81, 19, 613), + Trans(13, 82, 19, 613), + Trans(13, 87, 19, 613), + Trans(13, 90, 19, 613), + Trans(13, 98, 19, 613), + Trans(13, 102, 19, 613), + Trans(13, 105, 19, 613), + Trans(13, 106, 19, 613), + Trans(14, 5, 19, 613), + Trans(14, 38, 19, 613), + Trans(15, 5, 19, 613), + Trans(15, 40, 19, 613), + Trans(16, 5, 19, 613), + Trans(16, 31, 19, 613), + Trans(16, 46, 19, 613), + Trans(16, 107, 19, 613), + Trans(17, 5, 19, 613), + Trans(17, 6, 19, 613), + Trans(17, 7, 19, 613), + Trans(17, 8, 19, 613), + Trans(17, 9, 19, 613), + Trans(17, 10, 19, 613), + Trans(17, 11, 19, 613), + Trans(17, 18, 19, 613), + Trans(17, 24, 19, 613), + Trans(17, 25, 19, 613), + Trans(17, 26, 19, 613), + Trans(17, 27, 19, 613), + Trans(17, 31, 19, 613), + Trans(17, 38, 19, 613), + Trans(17, 40, 19, 613), + Trans(17, 54, 19, 613), + Trans(17, 68, 19, 613), + Trans(17, 73, 19, 613), + Trans(17, 80, 19, 613), + Trans(17, 83, 19, 613), + Trans(17, 86, 19, 613), + Trans(17, 107, 19, 613), + Trans(18, 5, 19, 613), + Trans(18, 31, 19, 613), + Trans(18, 107, 19, 613), + Trans(20, 5, 19, 613), + Trans(20, 29, 19, 613), + Trans(20, 30, 19, 613), + Trans(20, 36, 19, 613), + Trans(20, 38, 19, 613), + Trans(20, 42, 19, 613), + Trans(20, 47, 19, 613), + Trans(20, 48, 19, 613), + Trans(20, 49, 19, 613), + Trans(20, 58, 19, 613), + Trans(20, 59, 19, 613), + Trans(20, 62, 19, 613), + Trans(20, 63, 19, 613), + Trans(20, 64, 19, 613), + Trans(20, 68, 19, 613), + Trans(20, 69, 19, 613), + Trans(20, 70, 19, 613), + Trans(20, 74, 19, 613), + Trans(20, 77, 19, 613), + Trans(20, 78, 19, 613), + Trans(20, 81, 19, 613), + Trans(20, 98, 19, 613), + Trans(20, 102, 19, 613), + Trans(20, 105, 19, 613), + Trans(20, 106, 19, 613), ], k: 3, }, - /* 548 - "StructUnionListOpt" */ + /* 558 - "StructUnionListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 610), Trans(0, 42, 2, 611)], + transitions: &[Trans(0, 30, 1, 614), Trans(0, 42, 2, 615)], k: 1, }, - /* 549 - "SyncHigh" */ + /* 559 - "SyncHigh" */ LookaheadDFA { - prod0: 300, + prod0: 304, transitions: &[], k: 0, }, - /* 550 - "SyncHighTerm" */ + /* 560 - "SyncHighTerm" */ LookaheadDFA { - prod0: 93, + prod0: 94, transitions: &[], k: 0, }, - /* 551 - "SyncHighToken" */ + /* 561 - "SyncHighToken" */ LookaheadDFA { - prod0: 198, + prod0: 201, transitions: &[], k: 0, }, - /* 552 - "SyncLow" */ + /* 562 - "SyncLow" */ LookaheadDFA { - prod0: 301, + prod0: 305, transitions: &[], k: 0, }, - /* 553 - "SyncLowTerm" */ + /* 563 - "SyncLowTerm" */ LookaheadDFA { - prod0: 94, + prod0: 95, transitions: &[], k: 0, }, - /* 554 - "SyncLowToken" */ + /* 564 - "SyncLowToken" */ LookaheadDFA { - prod0: 199, + prod0: 202, transitions: &[], k: 0, }, - /* 555 - "Tri" */ + /* 565 - "Tri" */ LookaheadDFA { - prod0: 302, + prod0: 306, transitions: &[], k: 0, }, - /* 556 - "TriTerm" */ + /* 566 - "TriTerm" */ LookaheadDFA { - prod0: 95, + prod0: 96, transitions: &[], k: 0, }, - /* 557 - "TriToken" */ + /* 567 - "TriToken" */ LookaheadDFA { - prod0: 200, + prod0: 203, transitions: &[], k: 0, }, - /* 558 - "Type" */ + /* 568 - "Type" */ LookaheadDFA { - prod0: 303, + prod0: 307, transitions: &[], k: 0, }, - /* 559 - "TypeDefDeclaration" */ + /* 569 - "TypeDefDeclaration" */ LookaheadDFA { - prod0: 556, + prod0: 560, transitions: &[], k: 0, }, - /* 560 - "TypeExpression" */ + /* 570 - "TypeExpression" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 434), - Trans(0, 53, 1, 434), - Trans(0, 59, 1, 434), - Trans(0, 60, 1, 434), - Trans(0, 64, 1, 434), - Trans(0, 65, 1, 434), - Trans(0, 78, 1, 434), - Trans(0, 94, 1, 434), - Trans(0, 96, 1, 434), - Trans(0, 100, 1, 434), - Trans(0, 101, 2, 435), - Trans(0, 102, 1, 434), - Trans(0, 103, 1, 434), - Trans(0, 106, 1, 434), + Trans(0, 31, 1, 438), + Trans(0, 53, 1, 438), + Trans(0, 60, 1, 438), + Trans(0, 61, 1, 438), + Trans(0, 65, 1, 438), + Trans(0, 66, 1, 438), + Trans(0, 79, 1, 438), + Trans(0, 95, 1, 438), + Trans(0, 97, 1, 438), + Trans(0, 101, 1, 438), + Trans(0, 102, 2, 439), + Trans(0, 103, 1, 438), + Trans(0, 104, 1, 438), + Trans(0, 107, 1, 438), ], k: 1, }, - /* 561 - "TypeModifier" */ + /* 571 - "TypeModifier" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 94, 2, 476), Trans(0, 100, 1, 475)], + transitions: &[Trans(0, 95, 2, 480), Trans(0, 101, 1, 479)], k: 1, }, - /* 562 - "TypeTerm" */ + /* 572 - "TypeTerm" */ LookaheadDFA { - prod0: 96, + prod0: 97, transitions: &[], k: 0, }, - /* 563 - "TypeToken" */ + /* 573 - "TypeToken" */ LookaheadDFA { - prod0: 201, + prod0: 204, transitions: &[], k: 0, }, - /* 564 - "U32" */ + /* 574 - "U32" */ LookaheadDFA { - prod0: 304, + prod0: 308, transitions: &[], k: 0, }, - /* 565 - "U32Term" */ + /* 575 - "U32Term" */ LookaheadDFA { - prod0: 97, + prod0: 98, transitions: &[], k: 0, }, - /* 566 - "U32Token" */ + /* 576 - "U32Token" */ LookaheadDFA { - prod0: 202, + prod0: 205, transitions: &[], k: 0, }, - /* 567 - "U64" */ + /* 577 - "U64" */ LookaheadDFA { - prod0: 305, + prod0: 309, transitions: &[], k: 0, }, - /* 568 - "U64Term" */ + /* 578 - "U64Term" */ LookaheadDFA { - prod0: 98, + prod0: 99, transitions: &[], k: 0, }, - /* 569 - "U64Token" */ + /* 579 - "U64Token" */ LookaheadDFA { - prod0: 203, + prod0: 206, transitions: &[], k: 0, }, - /* 570 - "UnaryOperator" */ + /* 580 - "UnaryOperator" */ LookaheadDFA { - prod0: 226, + prod0: 229, transitions: &[], k: 0, }, - /* 571 - "UnaryOperatorTerm" */ + /* 581 - "UnaryOperatorTerm" */ LookaheadDFA { prod0: 22, transitions: &[], k: 0, }, - /* 572 - "UnaryOperatorToken" */ + /* 582 - "UnaryOperatorToken" */ LookaheadDFA { - prod0: 124, + prod0: 126, transitions: &[], k: 0, }, - /* 573 - "Union" */ + /* 583 - "Union" */ LookaheadDFA { - prod0: 306, + prod0: 310, transitions: &[], k: 0, }, - /* 574 - "UnionTerm" */ + /* 584 - "UnionTerm" */ LookaheadDFA { - prod0: 99, + prod0: 100, transitions: &[], k: 0, }, - /* 575 - "UnionToken" */ + /* 585 - "UnionToken" */ LookaheadDFA { - prod0: 204, + prod0: 207, transitions: &[], k: 0, }, - /* 576 - "Var" */ + /* 586 - "Var" */ LookaheadDFA { - prod0: 307, + prod0: 311, transitions: &[], k: 0, }, - /* 577 - "VarDeclaration" */ + /* 587 - "VarDeclaration" */ LookaheadDFA { - prod0: 552, + prod0: 556, transitions: &[], k: 0, }, - /* 578 - "VarTerm" */ + /* 588 - "VarTerm" */ LookaheadDFA { - prod0: 100, + prod0: 101, transitions: &[], k: 0, }, - /* 579 - "VarToken" */ + /* 589 - "VarToken" */ LookaheadDFA { - prod0: 205, + prod0: 208, transitions: &[], k: 0, }, - /* 580 - "VariableType" */ + /* 590 - "VariableType" */ LookaheadDFA { - prod0: 469, + prod0: 473, transitions: &[], k: 0, }, - /* 581 - "VariableTypeGroup" */ + /* 591 - "VariableTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 3, 472), - Trans(0, 53, 2, 471), - Trans(0, 78, 1, 470), - Trans(0, 106, 3, 472), + Trans(0, 31, 3, 476), + Trans(0, 53, 2, 475), + Trans(0, 79, 1, 474), + Trans(0, 107, 3, 476), ], k: 1, }, - /* 582 - "VariableTypeOpt" */ + /* 592 - "VariableTypeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 474), - Trans(0, 35, 2, 474), - Trans(0, 37, 1, 473), - Trans(0, 38, 2, 474), - Trans(0, 39, 2, 474), - Trans(0, 42, 2, 474), - Trans(0, 44, 2, 474), - Trans(0, 45, 2, 474), - Trans(0, 75, 2, 474), + Trans(0, 30, 2, 478), + Trans(0, 35, 2, 478), + Trans(0, 37, 1, 477), + Trans(0, 38, 2, 478), + Trans(0, 39, 2, 478), + Trans(0, 42, 2, 478), + Trans(0, 44, 2, 478), + Trans(0, 45, 2, 478), + Trans(0, 76, 2, 478), ], k: 1, }, - /* 583 - "Veryl" */ + /* 593 - "Veryl" */ LookaheadDFA { - prod0: 848, + prod0: 862, transitions: &[], k: 0, }, - /* 584 - "VerylList" */ + /* 594 - "VerylList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 0, 2, 850), - Trans(0, 36, 1, 849), - Trans(0, 38, 1, 849), - Trans(0, 68, 1, 849), - Trans(0, 74, 1, 849), - Trans(0, 81, 1, 849), - Trans(0, 86, 1, 849), - Trans(0, 89, 1, 849), + Trans(0, 0, 2, 864), + Trans(0, 36, 1, 863), + Trans(0, 38, 1, 863), + Trans(0, 57, 1, 863), + Trans(0, 69, 1, 863), + Trans(0, 75, 1, 863), + Trans(0, 82, 1, 863), + Trans(0, 87, 1, 863), + Trans(0, 90, 1, 863), ], k: 1, }, - /* 585 - "Width" */ + /* 595 - "Width" */ LookaheadDFA { - prod0: 451, + prod0: 455, transitions: &[], k: 0, }, - /* 586 - "WidthList" */ + /* 596 - "WidthList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 452), Trans(0, 41, 2, 453)], + transitions: &[Trans(0, 30, 1, 456), Trans(0, 41, 2, 457)], k: 1, }, - /* 587 - "WithParameter" */ + /* 597 - "WithParameter" */ LookaheadDFA { - prod0: 662, + prod0: 666, transitions: &[], k: 0, }, - /* 588 - "WithParameterGroup" */ + /* 598 - "WithParameterGroup" */ LookaheadDFA { - prod0: 670, + prod0: 674, transitions: &[], k: 0, }, - /* 589 - "WithParameterGroupGroup" */ + /* 599 - "WithParameterGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 38, 1, 671), - Trans(0, 77, 2, 672), - Trans(0, 87, 2, 672), + Trans(0, 38, 1, 675), + Trans(0, 78, 2, 676), + Trans(0, 88, 2, 676), ], k: 1, }, - /* 590 - "WithParameterGroupList" */ + /* 600 - "WithParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 673), - Trans(0, 38, 2, 674), - Trans(0, 77, 2, 674), - Trans(0, 87, 2, 674), + Trans(0, 36, 1, 677), + Trans(0, 38, 2, 678), + Trans(0, 78, 2, 678), + Trans(0, 88, 2, 678), ], k: 1, }, - /* 591 - "WithParameterItem" */ + /* 601 - "WithParameterItem" */ LookaheadDFA { - prod0: 675, + prod0: 679, transitions: &[], k: 0, }, - /* 592 - "WithParameterItemGroup" */ + /* 602 - "WithParameterItemGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 77, 2, 679), Trans(0, 87, 1, 678)], + transitions: &[Trans(0, 78, 2, 683), Trans(0, 88, 1, 682)], k: 1, }, - /* 593 - "WithParameterItemGroup0" */ + /* 603 - "WithParameterItemGroup0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 676), - Trans(0, 53, 1, 676), - Trans(0, 59, 1, 676), - Trans(0, 60, 1, 676), - Trans(0, 64, 1, 676), - Trans(0, 65, 1, 676), - Trans(0, 78, 1, 676), - Trans(0, 94, 1, 676), - Trans(0, 96, 1, 676), - Trans(0, 100, 1, 676), - Trans(0, 101, 2, 677), - Trans(0, 102, 1, 676), - Trans(0, 103, 1, 676), - Trans(0, 106, 1, 676), + Trans(0, 31, 1, 680), + Trans(0, 53, 1, 680), + Trans(0, 60, 1, 680), + Trans(0, 61, 1, 680), + Trans(0, 65, 1, 680), + Trans(0, 66, 1, 680), + Trans(0, 79, 1, 680), + Trans(0, 95, 1, 680), + Trans(0, 97, 1, 680), + Trans(0, 101, 1, 680), + Trans(0, 102, 2, 681), + Trans(0, 103, 1, 680), + Trans(0, 104, 1, 680), + Trans(0, 107, 1, 680), ], k: 1, }, - /* 594 - "WithParameterList" */ + /* 604 - "WithParameterList" */ LookaheadDFA { - prod0: 665, + prod0: 669, transitions: &[], k: 0, }, - /* 595 - "WithParameterListList" */ + /* 605 - "WithParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 30, 1, -1), Trans(0, 42, 7, -1), Trans(0, 44, 8, -1), - Trans(1, 5, 2, -1), - Trans(1, 36, 4, -1), - Trans(1, 38, 5, -1), - Trans(1, 42, 9, -1), - Trans(1, 44, 10, -1), - Trans(1, 77, 6, -1), - Trans(1, 87, 6, -1), - Trans(2, 36, 3, 666), - Trans(2, 38, 3, 666), - Trans(2, 42, 11, 667), - Trans(2, 44, 11, 667), - Trans(2, 77, 3, 666), - Trans(2, 87, 3, 666), - Trans(4, 5, 3, 666), - Trans(4, 39, 3, 666), - Trans(5, 5, 3, 666), - Trans(5, 36, 3, 666), - Trans(5, 38, 3, 666), - Trans(5, 77, 3, 666), - Trans(5, 87, 3, 666), - Trans(6, 5, 3, 666), - Trans(6, 106, 3, 666), - Trans(7, 5, 12, -1), - Trans(7, 30, 13, -1), - Trans(7, 42, 9, -1), - Trans(7, 44, 10, -1), - Trans(8, 5, 14, -1), - Trans(8, 13, 15, -1), - Trans(8, 38, 16, -1), - Trans(8, 40, 17, -1), - Trans(9, 5, 11, 667), - Trans(9, 30, 11, 667), - Trans(9, 42, 11, 667), - Trans(9, 44, 11, 667), - Trans(10, 5, 11, 667), - Trans(10, 13, 11, 667), - Trans(10, 38, 11, 667), - Trans(10, 40, 11, 667), - Trans(12, 30, 11, 667), - Trans(12, 42, 11, 667), - Trans(12, 44, 11, 667), - Trans(13, 5, 11, 667), - Trans(13, 36, 11, 667), - Trans(13, 38, 11, 667), - Trans(13, 42, 11, 667), - Trans(13, 44, 11, 667), - Trans(13, 77, 11, 667), - Trans(13, 87, 11, 667), - Trans(14, 13, 11, 667), - Trans(14, 38, 11, 667), - Trans(14, 40, 11, 667), - Trans(15, 5, 11, 667), - Trans(15, 31, 11, 667), - Trans(15, 53, 11, 667), - Trans(15, 59, 11, 667), - Trans(15, 60, 11, 667), - Trans(15, 64, 11, 667), - Trans(15, 65, 11, 667), - Trans(15, 78, 11, 667), - Trans(15, 94, 11, 667), - Trans(15, 96, 11, 667), - Trans(15, 100, 11, 667), - Trans(15, 102, 11, 667), - Trans(15, 103, 11, 667), - Trans(15, 106, 11, 667), - Trans(16, 5, 11, 667), - Trans(16, 29, 11, 667), - Trans(16, 31, 11, 667), - Trans(16, 36, 11, 667), - Trans(16, 38, 11, 667), - Trans(16, 42, 11, 667), - Trans(16, 47, 11, 667), - Trans(16, 48, 11, 667), - Trans(16, 49, 11, 667), - Trans(16, 54, 11, 667), - Trans(16, 57, 11, 667), - Trans(16, 61, 11, 667), - Trans(16, 62, 11, 667), - Trans(16, 63, 11, 667), - Trans(16, 66, 11, 667), - Trans(16, 67, 11, 667), - Trans(16, 68, 11, 667), - Trans(16, 69, 11, 667), - Trans(16, 73, 11, 667), - Trans(16, 76, 11, 667), - Trans(16, 77, 11, 667), - Trans(16, 80, 11, 667), - Trans(16, 92, 11, 667), - Trans(16, 93, 11, 667), - Trans(16, 97, 11, 667), - Trans(16, 101, 11, 667), - Trans(16, 104, 11, 667), - Trans(16, 105, 11, 667), - Trans(16, 106, 11, 667), - Trans(17, 5, 11, 667), - Trans(17, 36, 11, 667), - Trans(17, 38, 11, 667), - Trans(17, 44, 11, 667), - Trans(17, 106, 11, 667), + Trans(1, 5, 6, -1), + Trans(1, 36, 2, -1), + Trans(1, 38, 4, -1), + Trans(1, 42, 16, -1), + Trans(1, 44, 17, -1), + Trans(1, 78, 5, -1), + Trans(1, 88, 5, -1), + Trans(2, 5, 3, 670), + Trans(2, 39, 3, 670), + Trans(4, 5, 3, 670), + Trans(4, 36, 3, 670), + Trans(4, 38, 3, 670), + Trans(4, 78, 3, 670), + Trans(4, 88, 3, 670), + Trans(5, 5, 3, 670), + Trans(5, 107, 3, 670), + Trans(6, 36, 3, 670), + Trans(6, 38, 3, 670), + Trans(6, 42, 13, 671), + Trans(6, 44, 13, 671), + Trans(6, 78, 3, 670), + Trans(6, 88, 3, 670), + Trans(7, 5, 14, -1), + Trans(7, 30, 15, -1), + Trans(7, 42, 16, -1), + Trans(7, 44, 17, -1), + Trans(8, 5, 9, -1), + Trans(8, 13, 10, -1), + Trans(8, 38, 11, -1), + Trans(8, 40, 12, -1), + Trans(9, 13, 13, 671), + Trans(9, 38, 13, 671), + Trans(9, 40, 13, 671), + Trans(10, 5, 13, 671), + Trans(10, 31, 13, 671), + Trans(10, 53, 13, 671), + Trans(10, 60, 13, 671), + Trans(10, 61, 13, 671), + Trans(10, 65, 13, 671), + Trans(10, 66, 13, 671), + Trans(10, 79, 13, 671), + Trans(10, 95, 13, 671), + Trans(10, 97, 13, 671), + Trans(10, 101, 13, 671), + Trans(10, 103, 13, 671), + Trans(10, 104, 13, 671), + Trans(10, 107, 13, 671), + Trans(11, 5, 13, 671), + Trans(11, 29, 13, 671), + Trans(11, 31, 13, 671), + Trans(11, 36, 13, 671), + Trans(11, 38, 13, 671), + Trans(11, 42, 13, 671), + Trans(11, 47, 13, 671), + Trans(11, 48, 13, 671), + Trans(11, 49, 13, 671), + Trans(11, 54, 13, 671), + Trans(11, 58, 13, 671), + Trans(11, 62, 13, 671), + Trans(11, 63, 13, 671), + Trans(11, 64, 13, 671), + Trans(11, 67, 13, 671), + Trans(11, 68, 13, 671), + Trans(11, 69, 13, 671), + Trans(11, 70, 13, 671), + Trans(11, 74, 13, 671), + Trans(11, 77, 13, 671), + Trans(11, 78, 13, 671), + Trans(11, 81, 13, 671), + Trans(11, 93, 13, 671), + Trans(11, 94, 13, 671), + Trans(11, 98, 13, 671), + Trans(11, 102, 13, 671), + Trans(11, 105, 13, 671), + Trans(11, 106, 13, 671), + Trans(11, 107, 13, 671), + Trans(12, 5, 13, 671), + Trans(12, 36, 13, 671), + Trans(12, 38, 13, 671), + Trans(12, 44, 13, 671), + Trans(12, 107, 13, 671), + Trans(14, 30, 13, 671), + Trans(14, 42, 13, 671), + Trans(14, 44, 13, 671), + Trans(15, 5, 13, 671), + Trans(15, 36, 13, 671), + Trans(15, 38, 13, 671), + Trans(15, 42, 13, 671), + Trans(15, 44, 13, 671), + Trans(15, 78, 13, 671), + Trans(15, 88, 13, 671), + Trans(16, 5, 13, 671), + Trans(16, 30, 13, 671), + Trans(16, 42, 13, 671), + Trans(16, 44, 13, 671), + Trans(17, 5, 13, 671), + Trans(17, 13, 13, 671), + Trans(17, 38, 13, 671), + Trans(17, 40, 13, 671), ], k: 3, }, - /* 596 - "WithParameterListOpt" */ + /* 606 - "WithParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 668), - Trans(0, 42, 2, 669), - Trans(0, 44, 2, 669), + Trans(0, 30, 1, 672), + Trans(0, 42, 2, 673), + Trans(0, 44, 2, 673), ], k: 1, }, - /* 597 - "WithParameterOpt" */ + /* 607 - "WithParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 663), - Trans(0, 38, 1, 663), - Trans(0, 44, 2, 664), - Trans(0, 77, 1, 663), - Trans(0, 87, 1, 663), + Trans(0, 36, 1, 667), + Trans(0, 38, 1, 667), + Trans(0, 44, 2, 668), + Trans(0, 78, 1, 667), + Trans(0, 88, 1, 667), ], k: 1, }, ]; -pub const PRODUCTIONS: &[Production; 851] = &[ +pub const PRODUCTIONS: &[Production; 865] = &[ // 0 - CommentsTerm: "(?:(?:(?://.*(?:\r\n|\r|\n|$))|(?:(?ms)/\u{2a}.*?\u{2a}/))\s*)+"; Production { - lhs: 91, + lhs: 92, production: &[ParseType::T(5)], }, // 1 - StringLiteralTerm: "\u{0022}(?:\\[\u{0022}\\/bfnrt]|u[0-9a-fA-F]{4}|[^\u{0022}\\\u0000-\u001F])*\u{0022}"; Production { - lhs: 533, + lhs: 543, production: &[ParseType::T(6)], }, // 2 - ExponentTerm: /[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/; Production { - lhs: 137, + lhs: 147, production: &[ParseType::T(7)], }, // 3 - FixedPointTerm: /[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*/; Production { - lhs: 198, + lhs: 208, production: &[ParseType::T(8)], }, // 4 - BasedTerm: /(?:[0-9]+(?:_[0-9]+)*)?'[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/; Production { - lhs: 56, + lhs: 57, production: &[ParseType::T(9)], }, // 5 - AllBitTerm: /(?:[0-9]+(?:_[0-9]+)*)?'[01xzXZ]/; @@ -13342,182 +13501,182 @@ pub const PRODUCTIONS: &[Production; 851] = &[ }, // 6 - BaseLessTerm: /[0-9]+(?:_[0-9]+)*/; Production { - lhs: 53, + lhs: 54, production: &[ParseType::T(11)], }, // 7 - MinusColonTerm: '-:'; Production { - lhs: 357, + lhs: 367, production: &[ParseType::T(12)], }, // 8 - MinusGTTerm: '->'; Production { - lhs: 360, + lhs: 370, production: &[ParseType::T(13)], }, // 9 - PlusColonTerm: '+:'; Production { - lhs: 458, + lhs: 468, production: &[ParseType::T(14)], }, // 10 - AssignmentOperatorTerm: "\+=|-=|\*=|/=|%=|&=|\|=|\^=|<<=|>>=|<<<=|>>>="; Production { - lhs: 38, + lhs: 39, production: &[ParseType::T(15)], }, // 11 - Operator11Term: "\*\*"; Production { - lhs: 434, + lhs: 444, production: &[ParseType::T(16)], }, // 12 - Operator10Term: "/|%"; Production { - lhs: 431, + lhs: 441, production: &[ParseType::T(17)], }, // 13 - Operator09Term: "\+|-"; Production { - lhs: 428, + lhs: 438, production: &[ParseType::T(18)], }, // 14 - Operator08Term: "<<<|>>>|<<|>>"; Production { - lhs: 425, + lhs: 435, production: &[ParseType::T(19)], }, // 15 - Operator07Term: "<=|>=|<:|>:"; Production { - lhs: 422, + lhs: 432, production: &[ParseType::T(20)], }, // 16 - Operator06Term: "===|==\?|!==|!=\?|==|!="; Production { - lhs: 419, + lhs: 429, production: &[ParseType::T(21)], }, // 17 - Operator02Term: "&&"; Production { - lhs: 407, + lhs: 417, production: &[ParseType::T(22)], }, // 18 - Operator01Term: "\|\|"; Production { - lhs: 404, + lhs: 414, production: &[ParseType::T(23)], }, // 19 - Operator05Term: "&"; Production { - lhs: 416, + lhs: 426, production: &[ParseType::T(24)], }, // 20 - Operator04Term: "\^~|\^|~\^"; Production { - lhs: 413, + lhs: 423, production: &[ParseType::T(25)], }, // 21 - Operator03Term: "\|"; Production { - lhs: 410, + lhs: 420, production: &[ParseType::T(26)], }, // 22 - UnaryOperatorTerm: "~&|~\||!|~"; Production { - lhs: 571, + lhs: 581, production: &[ParseType::T(27)], }, // 23 - ColonColonTerm: '::'; Production { - lhs: 82, + lhs: 83, production: &[ParseType::T(28)], }, // 24 - ColonTerm: ':'; Production { - lhs: 84, + lhs: 85, production: &[ParseType::T(29)], }, // 25 - CommaTerm: ','; Production { - lhs: 87, + lhs: 88, production: &[ParseType::T(30)], }, // 26 - DollarTerm: '$'; Production { - lhs: 107, + lhs: 108, production: &[ParseType::T(31)], }, // 27 - DotDotEquTerm: '..='; Production { - lhs: 112, + lhs: 113, production: &[ParseType::T(32)], }, // 28 - DotDotTerm: '..'; Production { - lhs: 114, + lhs: 115, production: &[ParseType::T(33)], }, // 29 - DotTerm: '.'; Production { - lhs: 116, + lhs: 117, production: &[ParseType::T(34)], }, // 30 - EquTerm: '='; Production { - lhs: 134, + lhs: 144, production: &[ParseType::T(35)], }, // 31 - HashTerm: '#'; Production { - lhs: 219, + lhs: 229, production: &[ParseType::T(36)], }, // 32 - LAngleTerm: '<'; Production { - lhs: 329, + lhs: 339, production: &[ParseType::T(37)], }, // 33 - LBraceTerm: '{'; Production { - lhs: 332, + lhs: 342, production: &[ParseType::T(38)], }, // 34 - LBracketTerm: '['; Production { - lhs: 335, + lhs: 345, production: &[ParseType::T(39)], }, // 35 - LParenTerm: '('; Production { - lhs: 338, + lhs: 348, production: &[ParseType::T(40)], }, // 36 - RAngleTerm: '>'; Production { - lhs: 478, + lhs: 488, production: &[ParseType::T(41)], }, // 37 - RBraceTerm: '}'; Production { - lhs: 481, + lhs: 491, production: &[ParseType::T(42)], }, // 38 - RBracketTerm: ']'; Production { - lhs: 484, + lhs: 494, production: &[ParseType::T(43)], }, // 39 - RParenTerm: ')'; Production { - lhs: 487, + lhs: 497, production: &[ParseType::T(44)], }, // 40 - SemicolonTerm: ';'; Production { - lhs: 517, + lhs: 527, production: &[ParseType::T(45)], }, // 41 - StarTerm: '*'; Production { - lhs: 523, + lhs: 533, production: &[ParseType::T(46)], }, // 42 - AlwaysCombTerm: /(?-u:\b)always_comb(?-u:\b)/; @@ -13532,4404 +13691,4497 @@ pub const PRODUCTIONS: &[Production; 851] = &[ }, // 44 - AssignTerm: /(?-u:\b)assign(?-u:\b)/; Production { - lhs: 33, + lhs: 34, production: &[ParseType::T(49)], }, // 45 - AsyncHighTerm: /(?-u:\b)async_high(?-u:\b)/; Production { - lhs: 41, + lhs: 42, production: &[ParseType::T(50)], }, // 46 - AsyncLowTerm: /(?-u:\b)async_low(?-u:\b)/; Production { - lhs: 44, + lhs: 45, production: &[ParseType::T(51)], }, // 47 - AsTerm: /(?-u:\b)as(?-u:\b)/; Production { - lhs: 29, + lhs: 30, production: &[ParseType::T(52)], }, // 48 - BitTerm: /(?-u:\b)bit(?-u:\b)/; Production { - lhs: 59, + lhs: 60, production: &[ParseType::T(53)], }, // 49 - CaseTerm: /(?-u:\b)case(?-u:\b)/; Production { - lhs: 78, + lhs: 79, production: &[ParseType::T(54)], }, // 50 - DefaultTerm: /(?-u:\b)default(?-u:\b)/; Production { - lhs: 98, + lhs: 99, production: &[ParseType::T(55)], }, // 51 - ElseTerm: /(?-u:\b)else(?-u:\b)/; Production { - lhs: 119, + lhs: 120, production: &[ParseType::T(56)], }, - // 52 - EnumTerm: /(?-u:\b)enum(?-u:\b)/; + // 52 - EmbedTerm: /(?-u:\b)embed(?-u:\b)/; Production { - lhs: 131, + lhs: 129, production: &[ParseType::T(57)], }, - // 53 - ExportTerm: /(?-u:\b)export(?-u:\b)/; + // 53 - EnumTerm: /(?-u:\b)enum(?-u:\b)/; Production { - lhs: 143, + lhs: 141, production: &[ParseType::T(58)], }, - // 54 - F32Term: /(?-u:\b)f32(?-u:\b)/; + // 54 - ExportTerm: /(?-u:\b)export(?-u:\b)/; Production { - lhs: 184, + lhs: 153, production: &[ParseType::T(59)], }, - // 55 - F64Term: /(?-u:\b)f64(?-u:\b)/; + // 55 - F32Term: /(?-u:\b)f32(?-u:\b)/; Production { - lhs: 187, + lhs: 194, production: &[ParseType::T(60)], }, - // 56 - FinalTerm: /(?-u:\b)final(?-u:\b)/; + // 56 - F64Term: /(?-u:\b)f64(?-u:\b)/; Production { - lhs: 195, + lhs: 197, production: &[ParseType::T(61)], }, - // 57 - ForTerm: /(?-u:\b)for(?-u:\b)/; + // 57 - FinalTerm: /(?-u:\b)final(?-u:\b)/; Production { lhs: 205, production: &[ParseType::T(62)], }, - // 58 - FunctionTerm: /(?-u:\b)function(?-u:\b)/; + // 58 - ForTerm: /(?-u:\b)for(?-u:\b)/; Production { - lhs: 216, + lhs: 215, production: &[ParseType::T(63)], }, - // 59 - I32Term: /(?-u:\b)i32(?-u:\b)/; + // 59 - FunctionTerm: /(?-u:\b)function(?-u:\b)/; Production { lhs: 226, production: &[ParseType::T(64)], }, - // 60 - I64Term: /(?-u:\b)i64(?-u:\b)/; + // 60 - I32Term: /(?-u:\b)i32(?-u:\b)/; Production { - lhs: 229, + lhs: 236, production: &[ParseType::T(65)], }, - // 61 - IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/; + // 61 - I64Term: /(?-u:\b)i64(?-u:\b)/; Production { - lhs: 246, + lhs: 239, production: &[ParseType::T(66)], }, - // 62 - IfTerm: /(?-u:\b)if(?-u:\b)/; + // 62 - IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/; Production { - lhs: 254, + lhs: 256, production: &[ParseType::T(67)], }, - // 63 - ImportTerm: /(?-u:\b)import(?-u:\b)/; + // 63 - IfTerm: /(?-u:\b)if(?-u:\b)/; Production { - lhs: 259, + lhs: 264, production: &[ParseType::T(68)], }, - // 64 - InitialTerm: /(?-u:\b)initial(?-u:\b)/; + // 64 - ImportTerm: /(?-u:\b)import(?-u:\b)/; Production { - lhs: 267, + lhs: 269, production: &[ParseType::T(69)], }, - // 65 - InoutTerm: /(?-u:\b)inout(?-u:\b)/; + // 65 - InitialTerm: /(?-u:\b)initial(?-u:\b)/; Production { - lhs: 270, + lhs: 277, production: &[ParseType::T(70)], }, - // 66 - InputTerm: /(?-u:\b)input(?-u:\b)/; + // 66 - InoutTerm: /(?-u:\b)inout(?-u:\b)/; Production { - lhs: 273, + lhs: 280, production: &[ParseType::T(71)], }, - // 67 - InsideTerm: /(?-u:\b)inside(?-u:\b)/; + // 67 - InputTerm: /(?-u:\b)input(?-u:\b)/; Production { - lhs: 277, + lhs: 283, production: &[ParseType::T(72)], }, - // 68 - InstTerm: /(?-u:\b)inst(?-u:\b)/; + // 68 - InsideTerm: /(?-u:\b)inside(?-u:\b)/; Production { - lhs: 303, + lhs: 287, production: &[ParseType::T(73)], }, - // 69 - InterfaceTerm: /(?-u:\b)interface(?-u:\b)/; + // 69 - InstTerm: /(?-u:\b)inst(?-u:\b)/; Production { - lhs: 326, + lhs: 313, production: &[ParseType::T(74)], }, - // 70 - InTerm: /(?-u:\b)in(?-u:\b)/; + // 70 - InterfaceTerm: /(?-u:\b)interface(?-u:\b)/; Production { - lhs: 262, + lhs: 336, production: &[ParseType::T(75)], }, - // 71 - LetTerm: /(?-u:\b)let(?-u:\b)/; + // 71 - InTerm: /(?-u:\b)in(?-u:\b)/; Production { - lhs: 343, + lhs: 272, production: &[ParseType::T(76)], }, - // 72 - LocalTerm: /(?-u:\b)local(?-u:\b)/; + // 72 - LetTerm: /(?-u:\b)let(?-u:\b)/; Production { - lhs: 348, + lhs: 353, production: &[ParseType::T(77)], }, - // 73 - LogicTerm: /(?-u:\b)logic(?-u:\b)/; + // 73 - LocalTerm: /(?-u:\b)local(?-u:\b)/; Production { - lhs: 351, + lhs: 358, production: &[ParseType::T(78)], }, - // 74 - LsbTerm: /(?-u:\b)lsb(?-u:\b)/; + // 74 - LogicTerm: /(?-u:\b)logic(?-u:\b)/; Production { - lhs: 354, + lhs: 361, production: &[ParseType::T(79)], }, - // 75 - ModportTerm: /(?-u:\b)modport(?-u:\b)/; + // 75 - LsbTerm: /(?-u:\b)lsb(?-u:\b)/; Production { - lhs: 371, + lhs: 364, production: &[ParseType::T(80)], }, - // 76 - ModuleTerm: /(?-u:\b)module(?-u:\b)/; + // 76 - ModportTerm: /(?-u:\b)modport(?-u:\b)/; Production { - lhs: 394, + lhs: 381, production: &[ParseType::T(81)], }, - // 77 - MsbTerm: /(?-u:\b)msb(?-u:\b)/; + // 77 - ModuleTerm: /(?-u:\b)module(?-u:\b)/; Production { - lhs: 397, + lhs: 404, production: &[ParseType::T(82)], }, - // 78 - NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/; + // 78 - MsbTerm: /(?-u:\b)msb(?-u:\b)/; Production { - lhs: 400, + lhs: 407, production: &[ParseType::T(83)], }, - // 79 - OutputTerm: /(?-u:\b)output(?-u:\b)/; + // 79 - NegedgeTerm: /(?-u:\b)negedge(?-u:\b)/; Production { - lhs: 437, + lhs: 410, production: &[ParseType::T(84)], }, - // 80 - OutsideTerm: /(?-u:\b)outside(?-u:\b)/; + // 80 - OutputTerm: /(?-u:\b)output(?-u:\b)/; Production { - lhs: 441, + lhs: 447, production: &[ParseType::T(85)], }, - // 81 - PackageTerm: /(?-u:\b)package(?-u:\b)/; + // 81 - OutsideTerm: /(?-u:\b)outside(?-u:\b)/; Production { - lhs: 452, + lhs: 451, production: &[ParseType::T(86)], }, - // 82 - ParamTerm: /(?-u:\b)param(?-u:\b)/; + // 82 - PackageTerm: /(?-u:\b)package(?-u:\b)/; Production { - lhs: 455, + lhs: 462, production: &[ParseType::T(87)], }, - // 83 - PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/; + // 83 - ParamTerm: /(?-u:\b)param(?-u:\b)/; Production { - lhs: 472, + lhs: 465, production: &[ParseType::T(88)], }, - // 84 - PubTerm: /(?-u:\b)pub(?-u:\b)/; + // 84 - PosedgeTerm: /(?-u:\b)posedge(?-u:\b)/; Production { - lhs: 475, + lhs: 482, production: &[ParseType::T(89)], }, - // 85 - RefTerm: /(?-u:\b)ref(?-u:\b)/; + // 85 - PubTerm: /(?-u:\b)pub(?-u:\b)/; Production { - lhs: 498, + lhs: 485, production: &[ParseType::T(90)], }, - // 86 - RepeatTerm: /(?-u:\b)repeat(?-u:\b)/; + // 86 - RefTerm: /(?-u:\b)ref(?-u:\b)/; Production { - lhs: 501, + lhs: 508, production: &[ParseType::T(91)], }, - // 87 - ReturnTerm: /(?-u:\b)return(?-u:\b)/; + // 87 - RepeatTerm: /(?-u:\b)repeat(?-u:\b)/; Production { - lhs: 505, + lhs: 511, production: &[ParseType::T(92)], }, - // 88 - BreakTerm: /(?-u:\b)break(?-u:\b)/; + // 88 - ReturnTerm: /(?-u:\b)return(?-u:\b)/; Production { - lhs: 63, + lhs: 515, production: &[ParseType::T(93)], }, - // 89 - SignedTerm: /(?-u:\b)signed(?-u:\b)/; + // 89 - BreakTerm: /(?-u:\b)break(?-u:\b)/; Production { - lhs: 520, + lhs: 64, production: &[ParseType::T(94)], }, - // 90 - StepTerm: /(?-u:\b)step(?-u:\b)/; + // 90 - SignedTerm: /(?-u:\b)signed(?-u:\b)/; Production { - lhs: 529, + lhs: 530, production: &[ParseType::T(95)], }, - // 91 - StringTerm: /(?-u:\b)string(?-u:\b)/; + // 91 - StepTerm: /(?-u:\b)step(?-u:\b)/; Production { - lhs: 535, + lhs: 539, production: &[ParseType::T(96)], }, - // 92 - StructTerm: /(?-u:\b)struct(?-u:\b)/; + // 92 - StringTerm: /(?-u:\b)string(?-u:\b)/; Production { - lhs: 538, + lhs: 545, production: &[ParseType::T(97)], }, - // 93 - SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/; + // 93 - StructTerm: /(?-u:\b)struct(?-u:\b)/; Production { - lhs: 550, + lhs: 548, production: &[ParseType::T(98)], }, - // 94 - SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/; + // 94 - SyncHighTerm: /(?-u:\b)sync_high(?-u:\b)/; Production { - lhs: 553, + lhs: 560, production: &[ParseType::T(99)], }, - // 95 - TriTerm: /(?-u:\b)tri(?-u:\b)/; + // 95 - SyncLowTerm: /(?-u:\b)sync_low(?-u:\b)/; Production { - lhs: 556, + lhs: 563, production: &[ParseType::T(100)], }, - // 96 - TypeTerm: /(?-u:\b)type(?-u:\b)/; + // 96 - TriTerm: /(?-u:\b)tri(?-u:\b)/; Production { - lhs: 562, + lhs: 566, production: &[ParseType::T(101)], }, - // 97 - U32Term: /(?-u:\b)u32(?-u:\b)/; + // 97 - TypeTerm: /(?-u:\b)type(?-u:\b)/; Production { - lhs: 565, + lhs: 572, production: &[ParseType::T(102)], }, - // 98 - U64Term: /(?-u:\b)u64(?-u:\b)/; + // 98 - U32Term: /(?-u:\b)u32(?-u:\b)/; Production { - lhs: 568, + lhs: 575, production: &[ParseType::T(103)], }, - // 99 - UnionTerm: /(?-u:\b)union(?-u:\b)/; + // 99 - U64Term: /(?-u:\b)u64(?-u:\b)/; Production { - lhs: 574, + lhs: 578, production: &[ParseType::T(104)], }, - // 100 - VarTerm: /(?-u:\b)var(?-u:\b)/; + // 100 - UnionTerm: /(?-u:\b)union(?-u:\b)/; Production { - lhs: 578, + lhs: 584, production: &[ParseType::T(105)], }, - // 101 - IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/; + // 101 - VarTerm: /(?-u:\b)var(?-u:\b)/; Production { - lhs: 234, + lhs: 588, production: &[ParseType::T(106)], }, - // 102 - Comments: CommentsOpt /* Option */; + // 102 - IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/; Production { - lhs: 89, - production: &[ParseType::N(90)], + lhs: 244, + production: &[ParseType::T(107)], + }, + // 103 - AnyTerm: /[^{}]*/; + Production { + lhs: 20, + production: &[ParseType::T(108)], }, - // 103 - CommentsOpt: CommentsTerm; + // 104 - Comments: CommentsOpt /* Option */; Production { lhs: 90, production: &[ParseType::N(91)], }, - // 104 - CommentsOpt: ; + // 105 - CommentsOpt: CommentsTerm; Production { - lhs: 90, + lhs: 91, + production: &[ParseType::N(92)], + }, + // 106 - CommentsOpt: ; + Production { + lhs: 91, production: &[], }, - // 105 - StartToken: Comments; + // 107 - StartToken: Comments; Production { - lhs: 526, - production: &[ParseType::N(89)], + lhs: 536, + production: &[ParseType::N(90)], }, - // 106 - StringLiteralToken: StringLiteralTerm : crate::veryl_token::Token Comments; + // 108 - StringLiteralToken: StringLiteralTerm : crate::veryl_token::Token Comments; Production { - lhs: 534, - production: &[ParseType::N(89), ParseType::N(533)], + lhs: 544, + production: &[ParseType::N(90), ParseType::N(543)], }, - // 107 - ExponentToken: ExponentTerm : crate::veryl_token::Token Comments; + // 109 - ExponentToken: ExponentTerm : crate::veryl_token::Token Comments; Production { - lhs: 138, - production: &[ParseType::N(89), ParseType::N(137)], + lhs: 148, + production: &[ParseType::N(90), ParseType::N(147)], }, - // 108 - FixedPointToken: FixedPointTerm : crate::veryl_token::Token Comments; + // 110 - FixedPointToken: FixedPointTerm : crate::veryl_token::Token Comments; Production { - lhs: 199, - production: &[ParseType::N(89), ParseType::N(198)], + lhs: 209, + production: &[ParseType::N(90), ParseType::N(208)], }, - // 109 - BasedToken: BasedTerm : crate::veryl_token::Token Comments; + // 111 - BasedToken: BasedTerm : crate::veryl_token::Token Comments; Production { - lhs: 57, - production: &[ParseType::N(89), ParseType::N(56)], + lhs: 58, + production: &[ParseType::N(90), ParseType::N(57)], }, - // 110 - BaseLessToken: BaseLessTerm : crate::veryl_token::Token Comments; + // 112 - BaseLessToken: BaseLessTerm : crate::veryl_token::Token Comments; Production { - lhs: 54, - production: &[ParseType::N(89), ParseType::N(53)], + lhs: 55, + production: &[ParseType::N(90), ParseType::N(54)], }, - // 111 - AllBitToken: AllBitTerm : crate::veryl_token::Token Comments; + // 113 - AllBitToken: AllBitTerm : crate::veryl_token::Token Comments; Production { lhs: 2, - production: &[ParseType::N(89), ParseType::N(1)], + production: &[ParseType::N(90), ParseType::N(1)], }, - // 112 - AssignmentOperatorToken: AssignmentOperatorTerm : crate::veryl_token::Token Comments; + // 114 - AssignmentOperatorToken: AssignmentOperatorTerm : crate::veryl_token::Token Comments; Production { - lhs: 39, - production: &[ParseType::N(89), ParseType::N(38)], + lhs: 40, + production: &[ParseType::N(90), ParseType::N(39)], }, - // 113 - Operator01Token: Operator01Term : crate::veryl_token::Token Comments; + // 115 - Operator01Token: Operator01Term : crate::veryl_token::Token Comments; Production { - lhs: 405, - production: &[ParseType::N(89), ParseType::N(404)], + lhs: 415, + production: &[ParseType::N(90), ParseType::N(414)], }, - // 114 - Operator02Token: Operator02Term : crate::veryl_token::Token Comments; + // 116 - Operator02Token: Operator02Term : crate::veryl_token::Token Comments; Production { - lhs: 408, - production: &[ParseType::N(89), ParseType::N(407)], + lhs: 418, + production: &[ParseType::N(90), ParseType::N(417)], }, - // 115 - Operator03Token: Operator03Term : crate::veryl_token::Token Comments; + // 117 - Operator03Token: Operator03Term : crate::veryl_token::Token Comments; Production { - lhs: 411, - production: &[ParseType::N(89), ParseType::N(410)], + lhs: 421, + production: &[ParseType::N(90), ParseType::N(420)], }, - // 116 - Operator04Token: Operator04Term : crate::veryl_token::Token Comments; + // 118 - Operator04Token: Operator04Term : crate::veryl_token::Token Comments; Production { - lhs: 414, - production: &[ParseType::N(89), ParseType::N(413)], + lhs: 424, + production: &[ParseType::N(90), ParseType::N(423)], }, - // 117 - Operator05Token: Operator05Term : crate::veryl_token::Token Comments; + // 119 - Operator05Token: Operator05Term : crate::veryl_token::Token Comments; Production { - lhs: 417, - production: &[ParseType::N(89), ParseType::N(416)], + lhs: 427, + production: &[ParseType::N(90), ParseType::N(426)], }, - // 118 - Operator06Token: Operator06Term : crate::veryl_token::Token Comments; + // 120 - Operator06Token: Operator06Term : crate::veryl_token::Token Comments; Production { - lhs: 420, - production: &[ParseType::N(89), ParseType::N(419)], + lhs: 430, + production: &[ParseType::N(90), ParseType::N(429)], }, - // 119 - Operator07Token: Operator07Term : crate::veryl_token::Token Comments; + // 121 - Operator07Token: Operator07Term : crate::veryl_token::Token Comments; Production { - lhs: 423, - production: &[ParseType::N(89), ParseType::N(422)], + lhs: 433, + production: &[ParseType::N(90), ParseType::N(432)], }, - // 120 - Operator08Token: Operator08Term : crate::veryl_token::Token Comments; + // 122 - Operator08Token: Operator08Term : crate::veryl_token::Token Comments; Production { - lhs: 426, - production: &[ParseType::N(89), ParseType::N(425)], + lhs: 436, + production: &[ParseType::N(90), ParseType::N(435)], }, - // 121 - Operator09Token: Operator09Term : crate::veryl_token::Token Comments; + // 123 - Operator09Token: Operator09Term : crate::veryl_token::Token Comments; Production { - lhs: 429, - production: &[ParseType::N(89), ParseType::N(428)], + lhs: 439, + production: &[ParseType::N(90), ParseType::N(438)], }, - // 122 - Operator10Token: Operator10Term : crate::veryl_token::Token Comments; + // 124 - Operator10Token: Operator10Term : crate::veryl_token::Token Comments; Production { - lhs: 432, - production: &[ParseType::N(89), ParseType::N(431)], + lhs: 442, + production: &[ParseType::N(90), ParseType::N(441)], }, - // 123 - Operator11Token: Operator11Term : crate::veryl_token::Token Comments; + // 125 - Operator11Token: Operator11Term : crate::veryl_token::Token Comments; Production { - lhs: 435, - production: &[ParseType::N(89), ParseType::N(434)], + lhs: 445, + production: &[ParseType::N(90), ParseType::N(444)], }, - // 124 - UnaryOperatorToken: UnaryOperatorTerm : crate::veryl_token::Token Comments; + // 126 - UnaryOperatorToken: UnaryOperatorTerm : crate::veryl_token::Token Comments; Production { - lhs: 572, - production: &[ParseType::N(89), ParseType::N(571)], + lhs: 582, + production: &[ParseType::N(90), ParseType::N(581)], }, - // 125 - ColonToken: ColonTerm : crate::veryl_token::Token Comments; + // 127 - ColonToken: ColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 85, - production: &[ParseType::N(89), ParseType::N(84)], + lhs: 86, + production: &[ParseType::N(90), ParseType::N(85)], }, - // 126 - ColonColonToken: ColonColonTerm : crate::veryl_token::Token Comments; + // 128 - ColonColonToken: ColonColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 83, - production: &[ParseType::N(89), ParseType::N(82)], + lhs: 84, + production: &[ParseType::N(90), ParseType::N(83)], }, - // 127 - CommaToken: CommaTerm : crate::veryl_token::Token Comments; + // 129 - CommaToken: CommaTerm : crate::veryl_token::Token Comments; Production { - lhs: 88, - production: &[ParseType::N(89), ParseType::N(87)], + lhs: 89, + production: &[ParseType::N(90), ParseType::N(88)], }, - // 128 - DollarToken: DollarTerm : crate::veryl_token::Token Comments; + // 130 - DollarToken: DollarTerm : crate::veryl_token::Token Comments; Production { - lhs: 108, - production: &[ParseType::N(89), ParseType::N(107)], + lhs: 109, + production: &[ParseType::N(90), ParseType::N(108)], }, - // 129 - DotDotToken: DotDotTerm : crate::veryl_token::Token Comments; + // 131 - DotDotToken: DotDotTerm : crate::veryl_token::Token Comments; Production { - lhs: 115, - production: &[ParseType::N(89), ParseType::N(114)], + lhs: 116, + production: &[ParseType::N(90), ParseType::N(115)], }, - // 130 - DotDotEquToken: DotDotEquTerm : crate::veryl_token::Token Comments; + // 132 - DotDotEquToken: DotDotEquTerm : crate::veryl_token::Token Comments; Production { - lhs: 113, - production: &[ParseType::N(89), ParseType::N(112)], + lhs: 114, + production: &[ParseType::N(90), ParseType::N(113)], }, - // 131 - DotToken: DotTerm : crate::veryl_token::Token Comments; + // 133 - DotToken: DotTerm : crate::veryl_token::Token Comments; Production { - lhs: 117, - production: &[ParseType::N(89), ParseType::N(116)], + lhs: 118, + production: &[ParseType::N(90), ParseType::N(117)], }, - // 132 - EquToken: EquTerm : crate::veryl_token::Token Comments; + // 134 - EquToken: EquTerm : crate::veryl_token::Token Comments; Production { - lhs: 135, - production: &[ParseType::N(89), ParseType::N(134)], + lhs: 145, + production: &[ParseType::N(90), ParseType::N(144)], }, - // 133 - HashToken: HashTerm : crate::veryl_token::Token Comments; + // 135 - HashToken: HashTerm : crate::veryl_token::Token Comments; Production { - lhs: 220, - production: &[ParseType::N(89), ParseType::N(219)], + lhs: 230, + production: &[ParseType::N(90), ParseType::N(229)], }, - // 134 - LAngleToken: LAngleTerm : crate::veryl_token::Token Comments; + // 136 - LAngleToken: LAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 330, - production: &[ParseType::N(89), ParseType::N(329)], + lhs: 340, + production: &[ParseType::N(90), ParseType::N(339)], }, - // 135 - LBraceToken: LBraceTerm : crate::veryl_token::Token Comments; + // 137 - LBraceToken: LBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 333, - production: &[ParseType::N(89), ParseType::N(332)], + lhs: 343, + production: &[ParseType::N(90), ParseType::N(342)], }, - // 136 - LBracketToken: LBracketTerm : crate::veryl_token::Token Comments; + // 138 - LBracketToken: LBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 336, - production: &[ParseType::N(89), ParseType::N(335)], + lhs: 346, + production: &[ParseType::N(90), ParseType::N(345)], }, - // 137 - LParenToken: LParenTerm : crate::veryl_token::Token Comments; + // 139 - LParenToken: LParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 339, - production: &[ParseType::N(89), ParseType::N(338)], + lhs: 349, + production: &[ParseType::N(90), ParseType::N(348)], }, - // 138 - MinusColonToken: MinusColonTerm : crate::veryl_token::Token Comments; + // 140 - MinusColonToken: MinusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 358, - production: &[ParseType::N(89), ParseType::N(357)], + lhs: 368, + production: &[ParseType::N(90), ParseType::N(367)], }, - // 139 - MinusGTToken: MinusGTTerm : crate::veryl_token::Token Comments; + // 141 - MinusGTToken: MinusGTTerm : crate::veryl_token::Token Comments; Production { - lhs: 361, - production: &[ParseType::N(89), ParseType::N(360)], + lhs: 371, + production: &[ParseType::N(90), ParseType::N(370)], }, - // 140 - PlusColonToken: PlusColonTerm : crate::veryl_token::Token Comments; + // 142 - PlusColonToken: PlusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 459, - production: &[ParseType::N(89), ParseType::N(458)], + lhs: 469, + production: &[ParseType::N(90), ParseType::N(468)], }, - // 141 - RAngleToken: RAngleTerm : crate::veryl_token::Token Comments; + // 143 - RAngleToken: RAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 479, - production: &[ParseType::N(89), ParseType::N(478)], + lhs: 489, + production: &[ParseType::N(90), ParseType::N(488)], }, - // 142 - RBraceToken: RBraceTerm : crate::veryl_token::Token Comments; + // 144 - RBraceToken: RBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 482, - production: &[ParseType::N(89), ParseType::N(481)], + lhs: 492, + production: &[ParseType::N(90), ParseType::N(491)], }, - // 143 - RBracketToken: RBracketTerm : crate::veryl_token::Token Comments; + // 145 - RBracketToken: RBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 485, - production: &[ParseType::N(89), ParseType::N(484)], + lhs: 495, + production: &[ParseType::N(90), ParseType::N(494)], }, - // 144 - RParenToken: RParenTerm : crate::veryl_token::Token Comments; + // 146 - RParenToken: RParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 488, - production: &[ParseType::N(89), ParseType::N(487)], + lhs: 498, + production: &[ParseType::N(90), ParseType::N(497)], }, - // 145 - SemicolonToken: SemicolonTerm : crate::veryl_token::Token Comments; + // 147 - SemicolonToken: SemicolonTerm : crate::veryl_token::Token Comments; Production { - lhs: 518, - production: &[ParseType::N(89), ParseType::N(517)], + lhs: 528, + production: &[ParseType::N(90), ParseType::N(527)], }, - // 146 - StarToken: StarTerm : crate::veryl_token::Token Comments; + // 148 - StarToken: StarTerm : crate::veryl_token::Token Comments; Production { - lhs: 524, - production: &[ParseType::N(89), ParseType::N(523)], + lhs: 534, + production: &[ParseType::N(90), ParseType::N(533)], }, - // 147 - AlwaysCombToken: AlwaysCombTerm : crate::veryl_token::Token Comments; + // 149 - AlwaysCombToken: AlwaysCombTerm : crate::veryl_token::Token Comments; Production { lhs: 7, - production: &[ParseType::N(89), ParseType::N(6)], + production: &[ParseType::N(90), ParseType::N(6)], }, - // 148 - AlwaysFfToken: AlwaysFfTerm : crate::veryl_token::Token Comments; + // 150 - AlwaysFfToken: AlwaysFfTerm : crate::veryl_token::Token Comments; Production { lhs: 19, - production: &[ParseType::N(89), ParseType::N(18)], + production: &[ParseType::N(90), ParseType::N(18)], }, - // 149 - AsToken: AsTerm : crate::veryl_token::Token Comments; + // 151 - AsToken: AsTerm : crate::veryl_token::Token Comments; Production { - lhs: 30, - production: &[ParseType::N(89), ParseType::N(29)], + lhs: 31, + production: &[ParseType::N(90), ParseType::N(30)], }, - // 150 - AssignToken: AssignTerm : crate::veryl_token::Token Comments; + // 152 - AssignToken: AssignTerm : crate::veryl_token::Token Comments; Production { - lhs: 34, - production: &[ParseType::N(89), ParseType::N(33)], + lhs: 35, + production: &[ParseType::N(90), ParseType::N(34)], }, - // 151 - AsyncHighToken: AsyncHighTerm : crate::veryl_token::Token Comments; + // 153 - AsyncHighToken: AsyncHighTerm : crate::veryl_token::Token Comments; Production { - lhs: 42, - production: &[ParseType::N(89), ParseType::N(41)], + lhs: 43, + production: &[ParseType::N(90), ParseType::N(42)], }, - // 152 - AsyncLowToken: AsyncLowTerm : crate::veryl_token::Token Comments; + // 154 - AsyncLowToken: AsyncLowTerm : crate::veryl_token::Token Comments; Production { - lhs: 45, - production: &[ParseType::N(89), ParseType::N(44)], + lhs: 46, + production: &[ParseType::N(90), ParseType::N(45)], }, - // 153 - BitToken: BitTerm : crate::veryl_token::Token Comments; + // 155 - BitToken: BitTerm : crate::veryl_token::Token Comments; Production { - lhs: 60, - production: &[ParseType::N(89), ParseType::N(59)], + lhs: 61, + production: &[ParseType::N(90), ParseType::N(60)], }, - // 154 - CaseToken: CaseTerm : crate::veryl_token::Token Comments; + // 156 - CaseToken: CaseTerm : crate::veryl_token::Token Comments; Production { - lhs: 79, - production: &[ParseType::N(89), ParseType::N(78)], + lhs: 80, + production: &[ParseType::N(90), ParseType::N(79)], }, - // 155 - DefaultToken: DefaultTerm : crate::veryl_token::Token Comments; + // 157 - DefaultToken: DefaultTerm : crate::veryl_token::Token Comments; Production { - lhs: 99, - production: &[ParseType::N(89), ParseType::N(98)], + lhs: 100, + production: &[ParseType::N(90), ParseType::N(99)], }, - // 156 - ElseToken: ElseTerm : crate::veryl_token::Token Comments; + // 158 - ElseToken: ElseTerm : crate::veryl_token::Token Comments; Production { - lhs: 120, - production: &[ParseType::N(89), ParseType::N(119)], + lhs: 121, + production: &[ParseType::N(90), ParseType::N(120)], }, - // 157 - EnumToken: EnumTerm : crate::veryl_token::Token Comments; + // 159 - EmbedToken: EmbedTerm : crate::veryl_token::Token Comments; Production { - lhs: 132, - production: &[ParseType::N(89), ParseType::N(131)], + lhs: 130, + production: &[ParseType::N(90), ParseType::N(129)], }, - // 158 - ExportToken: ExportTerm : crate::veryl_token::Token Comments; + // 160 - EnumToken: EnumTerm : crate::veryl_token::Token Comments; Production { - lhs: 144, - production: &[ParseType::N(89), ParseType::N(143)], + lhs: 142, + production: &[ParseType::N(90), ParseType::N(141)], }, - // 159 - F32Token: F32Term : crate::veryl_token::Token Comments; + // 161 - ExportToken: ExportTerm : crate::veryl_token::Token Comments; Production { - lhs: 185, - production: &[ParseType::N(89), ParseType::N(184)], + lhs: 154, + production: &[ParseType::N(90), ParseType::N(153)], }, - // 160 - F64Token: F64Term : crate::veryl_token::Token Comments; + // 162 - F32Token: F32Term : crate::veryl_token::Token Comments; Production { - lhs: 188, - production: &[ParseType::N(89), ParseType::N(187)], + lhs: 195, + production: &[ParseType::N(90), ParseType::N(194)], }, - // 161 - FinalToken: FinalTerm : crate::veryl_token::Token Comments; + // 163 - F64Token: F64Term : crate::veryl_token::Token Comments; Production { - lhs: 196, - production: &[ParseType::N(89), ParseType::N(195)], + lhs: 198, + production: &[ParseType::N(90), ParseType::N(197)], }, - // 162 - ForToken: ForTerm : crate::veryl_token::Token Comments; + // 164 - FinalToken: FinalTerm : crate::veryl_token::Token Comments; Production { lhs: 206, - production: &[ParseType::N(89), ParseType::N(205)], + production: &[ParseType::N(90), ParseType::N(205)], }, - // 163 - FunctionToken: FunctionTerm : crate::veryl_token::Token Comments; + // 165 - ForToken: ForTerm : crate::veryl_token::Token Comments; Production { - lhs: 217, - production: &[ParseType::N(89), ParseType::N(216)], + lhs: 216, + production: &[ParseType::N(90), ParseType::N(215)], }, - // 164 - I32Token: I32Term : crate::veryl_token::Token Comments; + // 166 - FunctionToken: FunctionTerm : crate::veryl_token::Token Comments; Production { lhs: 227, - production: &[ParseType::N(89), ParseType::N(226)], + production: &[ParseType::N(90), ParseType::N(226)], }, - // 165 - I64Token: I64Term : crate::veryl_token::Token Comments; + // 167 - I32Token: I32Term : crate::veryl_token::Token Comments; Production { - lhs: 230, - production: &[ParseType::N(89), ParseType::N(229)], + lhs: 237, + production: &[ParseType::N(90), ParseType::N(236)], }, - // 166 - IfResetToken: IfResetTerm : crate::veryl_token::Token Comments; + // 168 - I64Token: I64Term : crate::veryl_token::Token Comments; Production { - lhs: 247, - production: &[ParseType::N(89), ParseType::N(246)], + lhs: 240, + production: &[ParseType::N(90), ParseType::N(239)], }, - // 167 - IfToken: IfTerm : crate::veryl_token::Token Comments; + // 169 - IfResetToken: IfResetTerm : crate::veryl_token::Token Comments; Production { - lhs: 255, - production: &[ParseType::N(89), ParseType::N(254)], + lhs: 257, + production: &[ParseType::N(90), ParseType::N(256)], }, - // 168 - ImportToken: ImportTerm : crate::veryl_token::Token Comments; + // 170 - IfToken: IfTerm : crate::veryl_token::Token Comments; Production { - lhs: 260, - production: &[ParseType::N(89), ParseType::N(259)], + lhs: 265, + production: &[ParseType::N(90), ParseType::N(264)], }, - // 169 - InitialToken: InitialTerm : crate::veryl_token::Token Comments; + // 171 - ImportToken: ImportTerm : crate::veryl_token::Token Comments; Production { - lhs: 268, - production: &[ParseType::N(89), ParseType::N(267)], + lhs: 270, + production: &[ParseType::N(90), ParseType::N(269)], }, - // 170 - InoutToken: InoutTerm : crate::veryl_token::Token Comments; + // 172 - InitialToken: InitialTerm : crate::veryl_token::Token Comments; Production { - lhs: 271, - production: &[ParseType::N(89), ParseType::N(270)], + lhs: 278, + production: &[ParseType::N(90), ParseType::N(277)], }, - // 171 - InputToken: InputTerm : crate::veryl_token::Token Comments; + // 173 - InoutToken: InoutTerm : crate::veryl_token::Token Comments; Production { - lhs: 274, - production: &[ParseType::N(89), ParseType::N(273)], + lhs: 281, + production: &[ParseType::N(90), ParseType::N(280)], }, - // 172 - InsideToken: InsideTerm : crate::veryl_token::Token Comments; + // 174 - InputToken: InputTerm : crate::veryl_token::Token Comments; Production { - lhs: 278, - production: &[ParseType::N(89), ParseType::N(277)], + lhs: 284, + production: &[ParseType::N(90), ParseType::N(283)], }, - // 173 - InstToken: InstTerm : crate::veryl_token::Token Comments; + // 175 - InsideToken: InsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 304, - production: &[ParseType::N(89), ParseType::N(303)], + lhs: 288, + production: &[ParseType::N(90), ParseType::N(287)], }, - // 174 - InterfaceToken: InterfaceTerm : crate::veryl_token::Token Comments; + // 176 - InstToken: InstTerm : crate::veryl_token::Token Comments; Production { - lhs: 327, - production: &[ParseType::N(89), ParseType::N(326)], + lhs: 314, + production: &[ParseType::N(90), ParseType::N(313)], }, - // 175 - InToken: InTerm : crate::veryl_token::Token Comments; + // 177 - InterfaceToken: InterfaceTerm : crate::veryl_token::Token Comments; Production { - lhs: 263, - production: &[ParseType::N(89), ParseType::N(262)], + lhs: 337, + production: &[ParseType::N(90), ParseType::N(336)], }, - // 176 - LetToken: LetTerm : crate::veryl_token::Token Comments; + // 178 - InToken: InTerm : crate::veryl_token::Token Comments; Production { - lhs: 344, - production: &[ParseType::N(89), ParseType::N(343)], + lhs: 273, + production: &[ParseType::N(90), ParseType::N(272)], }, - // 177 - LocalToken: LocalTerm : crate::veryl_token::Token Comments; + // 179 - LetToken: LetTerm : crate::veryl_token::Token Comments; Production { - lhs: 349, - production: &[ParseType::N(89), ParseType::N(348)], + lhs: 354, + production: &[ParseType::N(90), ParseType::N(353)], }, - // 178 - LogicToken: LogicTerm : crate::veryl_token::Token Comments; + // 180 - LocalToken: LocalTerm : crate::veryl_token::Token Comments; Production { - lhs: 352, - production: &[ParseType::N(89), ParseType::N(351)], + lhs: 359, + production: &[ParseType::N(90), ParseType::N(358)], }, - // 179 - LsbToken: LsbTerm : crate::veryl_token::Token Comments; + // 181 - LogicToken: LogicTerm : crate::veryl_token::Token Comments; Production { - lhs: 355, - production: &[ParseType::N(89), ParseType::N(354)], + lhs: 362, + production: &[ParseType::N(90), ParseType::N(361)], }, - // 180 - ModportToken: ModportTerm : crate::veryl_token::Token Comments; + // 182 - LsbToken: LsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 372, - production: &[ParseType::N(89), ParseType::N(371)], + lhs: 365, + production: &[ParseType::N(90), ParseType::N(364)], }, - // 181 - ModuleToken: ModuleTerm : crate::veryl_token::Token Comments; + // 183 - ModportToken: ModportTerm : crate::veryl_token::Token Comments; Production { - lhs: 395, - production: &[ParseType::N(89), ParseType::N(394)], + lhs: 382, + production: &[ParseType::N(90), ParseType::N(381)], }, - // 182 - MsbToken: MsbTerm : crate::veryl_token::Token Comments; + // 184 - ModuleToken: ModuleTerm : crate::veryl_token::Token Comments; Production { - lhs: 398, - production: &[ParseType::N(89), ParseType::N(397)], + lhs: 405, + production: &[ParseType::N(90), ParseType::N(404)], }, - // 183 - NegedgeToken: NegedgeTerm : crate::veryl_token::Token Comments; + // 185 - MsbToken: MsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 401, - production: &[ParseType::N(89), ParseType::N(400)], + lhs: 408, + production: &[ParseType::N(90), ParseType::N(407)], }, - // 184 - OutputToken: OutputTerm : crate::veryl_token::Token Comments; + // 186 - NegedgeToken: NegedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 438, - production: &[ParseType::N(89), ParseType::N(437)], + lhs: 411, + production: &[ParseType::N(90), ParseType::N(410)], }, - // 185 - OutsideToken: OutsideTerm : crate::veryl_token::Token Comments; + // 187 - OutputToken: OutputTerm : crate::veryl_token::Token Comments; Production { - lhs: 442, - production: &[ParseType::N(89), ParseType::N(441)], + lhs: 448, + production: &[ParseType::N(90), ParseType::N(447)], }, - // 186 - PackageToken: PackageTerm : crate::veryl_token::Token Comments; + // 188 - OutsideToken: OutsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 453, - production: &[ParseType::N(89), ParseType::N(452)], + lhs: 452, + production: &[ParseType::N(90), ParseType::N(451)], }, - // 187 - ParamToken: ParamTerm : crate::veryl_token::Token Comments; + // 189 - PackageToken: PackageTerm : crate::veryl_token::Token Comments; Production { - lhs: 456, - production: &[ParseType::N(89), ParseType::N(455)], + lhs: 463, + production: &[ParseType::N(90), ParseType::N(462)], }, - // 188 - PosedgeToken: PosedgeTerm : crate::veryl_token::Token Comments; + // 190 - ParamToken: ParamTerm : crate::veryl_token::Token Comments; Production { - lhs: 473, - production: &[ParseType::N(89), ParseType::N(472)], + lhs: 466, + production: &[ParseType::N(90), ParseType::N(465)], }, - // 189 - PubToken: PubTerm : crate::veryl_token::Token Comments; + // 191 - PosedgeToken: PosedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 476, - production: &[ParseType::N(89), ParseType::N(475)], + lhs: 483, + production: &[ParseType::N(90), ParseType::N(482)], }, - // 190 - RefToken: RefTerm : crate::veryl_token::Token Comments; + // 192 - PubToken: PubTerm : crate::veryl_token::Token Comments; Production { - lhs: 499, - production: &[ParseType::N(89), ParseType::N(498)], + lhs: 486, + production: &[ParseType::N(90), ParseType::N(485)], }, - // 191 - RepeatToken: RepeatTerm : crate::veryl_token::Token Comments; + // 193 - RefToken: RefTerm : crate::veryl_token::Token Comments; Production { - lhs: 502, - production: &[ParseType::N(89), ParseType::N(501)], + lhs: 509, + production: &[ParseType::N(90), ParseType::N(508)], }, - // 192 - ReturnToken: ReturnTerm : crate::veryl_token::Token Comments; + // 194 - RepeatToken: RepeatTerm : crate::veryl_token::Token Comments; Production { - lhs: 506, - production: &[ParseType::N(89), ParseType::N(505)], + lhs: 512, + production: &[ParseType::N(90), ParseType::N(511)], }, - // 193 - BreakToken: BreakTerm : crate::veryl_token::Token Comments; + // 195 - ReturnToken: ReturnTerm : crate::veryl_token::Token Comments; Production { - lhs: 64, - production: &[ParseType::N(89), ParseType::N(63)], + lhs: 516, + production: &[ParseType::N(90), ParseType::N(515)], }, - // 194 - SignedToken: SignedTerm : crate::veryl_token::Token Comments; + // 196 - BreakToken: BreakTerm : crate::veryl_token::Token Comments; Production { - lhs: 521, - production: &[ParseType::N(89), ParseType::N(520)], + lhs: 65, + production: &[ParseType::N(90), ParseType::N(64)], }, - // 195 - StepToken: StepTerm : crate::veryl_token::Token Comments; + // 197 - SignedToken: SignedTerm : crate::veryl_token::Token Comments; Production { - lhs: 530, - production: &[ParseType::N(89), ParseType::N(529)], + lhs: 531, + production: &[ParseType::N(90), ParseType::N(530)], }, - // 196 - StringToken: StringTerm : crate::veryl_token::Token Comments; + // 198 - StepToken: StepTerm : crate::veryl_token::Token Comments; Production { - lhs: 536, - production: &[ParseType::N(89), ParseType::N(535)], + lhs: 540, + production: &[ParseType::N(90), ParseType::N(539)], }, - // 197 - StructToken: StructTerm : crate::veryl_token::Token Comments; + // 199 - StringToken: StringTerm : crate::veryl_token::Token Comments; Production { - lhs: 539, - production: &[ParseType::N(89), ParseType::N(538)], + lhs: 546, + production: &[ParseType::N(90), ParseType::N(545)], }, - // 198 - SyncHighToken: SyncHighTerm : crate::veryl_token::Token Comments; + // 200 - StructToken: StructTerm : crate::veryl_token::Token Comments; Production { - lhs: 551, - production: &[ParseType::N(89), ParseType::N(550)], + lhs: 549, + production: &[ParseType::N(90), ParseType::N(548)], }, - // 199 - SyncLowToken: SyncLowTerm : crate::veryl_token::Token Comments; + // 201 - SyncHighToken: SyncHighTerm : crate::veryl_token::Token Comments; Production { - lhs: 554, - production: &[ParseType::N(89), ParseType::N(553)], + lhs: 561, + production: &[ParseType::N(90), ParseType::N(560)], }, - // 200 - TriToken: TriTerm : crate::veryl_token::Token Comments; + // 202 - SyncLowToken: SyncLowTerm : crate::veryl_token::Token Comments; Production { - lhs: 557, - production: &[ParseType::N(89), ParseType::N(556)], + lhs: 564, + production: &[ParseType::N(90), ParseType::N(563)], }, - // 201 - TypeToken: TypeTerm : crate::veryl_token::Token Comments; + // 203 - TriToken: TriTerm : crate::veryl_token::Token Comments; Production { - lhs: 563, - production: &[ParseType::N(89), ParseType::N(562)], + lhs: 567, + production: &[ParseType::N(90), ParseType::N(566)], }, - // 202 - U32Token: U32Term : crate::veryl_token::Token Comments; + // 204 - TypeToken: TypeTerm : crate::veryl_token::Token Comments; Production { - lhs: 566, - production: &[ParseType::N(89), ParseType::N(565)], + lhs: 573, + production: &[ParseType::N(90), ParseType::N(572)], }, - // 203 - U64Token: U64Term : crate::veryl_token::Token Comments; + // 205 - U32Token: U32Term : crate::veryl_token::Token Comments; Production { - lhs: 569, - production: &[ParseType::N(89), ParseType::N(568)], + lhs: 576, + production: &[ParseType::N(90), ParseType::N(575)], }, - // 204 - UnionToken: UnionTerm : crate::veryl_token::Token Comments; + // 206 - U64Token: U64Term : crate::veryl_token::Token Comments; Production { - lhs: 575, - production: &[ParseType::N(89), ParseType::N(574)], + lhs: 579, + production: &[ParseType::N(90), ParseType::N(578)], }, - // 205 - VarToken: VarTerm : crate::veryl_token::Token Comments; + // 207 - UnionToken: UnionTerm : crate::veryl_token::Token Comments; Production { - lhs: 579, - production: &[ParseType::N(89), ParseType::N(578)], + lhs: 585, + production: &[ParseType::N(90), ParseType::N(584)], }, - // 206 - IdentifierToken: IdentifierTerm : crate::veryl_token::Token Comments; + // 208 - VarToken: VarTerm : crate::veryl_token::Token Comments; Production { - lhs: 235, - production: &[ParseType::N(89), ParseType::N(234)], + lhs: 589, + production: &[ParseType::N(90), ParseType::N(588)], }, - // 207 - Start: StartToken : crate::veryl_token::VerylToken ; + // 209 - IdentifierToken: IdentifierTerm : crate::veryl_token::Token Comments; Production { - lhs: 525, - production: &[ParseType::N(526)], + lhs: 245, + production: &[ParseType::N(90), ParseType::N(244)], }, - // 208 - StringLiteral: StringLiteralToken : crate::veryl_token::VerylToken ; + // 210 - Start: StartToken : crate::veryl_token::VerylToken ; Production { - lhs: 532, - production: &[ParseType::N(534)], + lhs: 535, + production: &[ParseType::N(536)], }, - // 209 - Exponent: ExponentToken : crate::veryl_token::VerylToken ; + // 211 - StringLiteral: StringLiteralToken : crate::veryl_token::VerylToken ; Production { - lhs: 136, - production: &[ParseType::N(138)], + lhs: 542, + production: &[ParseType::N(544)], }, - // 210 - FixedPoint: FixedPointToken : crate::veryl_token::VerylToken ; + // 212 - Exponent: ExponentToken : crate::veryl_token::VerylToken ; Production { - lhs: 197, - production: &[ParseType::N(199)], + lhs: 146, + production: &[ParseType::N(148)], }, - // 211 - Based: BasedToken : crate::veryl_token::VerylToken ; + // 213 - FixedPoint: FixedPointToken : crate::veryl_token::VerylToken ; Production { - lhs: 55, - production: &[ParseType::N(57)], + lhs: 207, + production: &[ParseType::N(209)], }, - // 212 - BaseLess: BaseLessToken : crate::veryl_token::VerylToken ; + // 214 - Based: BasedToken : crate::veryl_token::VerylToken ; Production { - lhs: 52, - production: &[ParseType::N(54)], + lhs: 56, + production: &[ParseType::N(58)], }, - // 213 - AllBit: AllBitToken : crate::veryl_token::VerylToken ; + // 215 - BaseLess: BaseLessToken : crate::veryl_token::VerylToken ; Production { - lhs: 0, - production: &[ParseType::N(2)], + lhs: 53, + production: &[ParseType::N(55)], }, - // 214 - AssignmentOperator: AssignmentOperatorToken : crate::veryl_token::VerylToken ; + // 216 - AllBit: AllBitToken : crate::veryl_token::VerylToken ; Production { - lhs: 37, - production: &[ParseType::N(39)], + lhs: 0, + production: &[ParseType::N(2)], }, - // 215 - Operator01: Operator01Token : crate::veryl_token::VerylToken ; + // 217 - AssignmentOperator: AssignmentOperatorToken : crate::veryl_token::VerylToken ; Production { - lhs: 403, - production: &[ParseType::N(405)], + lhs: 38, + production: &[ParseType::N(40)], }, - // 216 - Operator02: Operator02Token : crate::veryl_token::VerylToken ; + // 218 - Operator01: Operator01Token : crate::veryl_token::VerylToken ; Production { - lhs: 406, - production: &[ParseType::N(408)], + lhs: 413, + production: &[ParseType::N(415)], }, - // 217 - Operator03: Operator03Token : crate::veryl_token::VerylToken ; + // 219 - Operator02: Operator02Token : crate::veryl_token::VerylToken ; Production { - lhs: 409, - production: &[ParseType::N(411)], + lhs: 416, + production: &[ParseType::N(418)], }, - // 218 - Operator04: Operator04Token : crate::veryl_token::VerylToken ; + // 220 - Operator03: Operator03Token : crate::veryl_token::VerylToken ; Production { - lhs: 412, - production: &[ParseType::N(414)], + lhs: 419, + production: &[ParseType::N(421)], }, - // 219 - Operator05: Operator05Token : crate::veryl_token::VerylToken ; + // 221 - Operator04: Operator04Token : crate::veryl_token::VerylToken ; Production { - lhs: 415, - production: &[ParseType::N(417)], + lhs: 422, + production: &[ParseType::N(424)], }, - // 220 - Operator06: Operator06Token : crate::veryl_token::VerylToken ; + // 222 - Operator05: Operator05Token : crate::veryl_token::VerylToken ; Production { - lhs: 418, - production: &[ParseType::N(420)], + lhs: 425, + production: &[ParseType::N(427)], }, - // 221 - Operator07: Operator07Token : crate::veryl_token::VerylToken ; + // 223 - Operator06: Operator06Token : crate::veryl_token::VerylToken ; Production { - lhs: 421, - production: &[ParseType::N(423)], + lhs: 428, + production: &[ParseType::N(430)], }, - // 222 - Operator08: Operator08Token : crate::veryl_token::VerylToken ; + // 224 - Operator07: Operator07Token : crate::veryl_token::VerylToken ; Production { - lhs: 424, - production: &[ParseType::N(426)], + lhs: 431, + production: &[ParseType::N(433)], }, - // 223 - Operator09: Operator09Token : crate::veryl_token::VerylToken ; + // 225 - Operator08: Operator08Token : crate::veryl_token::VerylToken ; Production { - lhs: 427, - production: &[ParseType::N(429)], + lhs: 434, + production: &[ParseType::N(436)], }, - // 224 - Operator10: Operator10Token : crate::veryl_token::VerylToken ; + // 226 - Operator09: Operator09Token : crate::veryl_token::VerylToken ; Production { - lhs: 430, - production: &[ParseType::N(432)], + lhs: 437, + production: &[ParseType::N(439)], }, - // 225 - Operator11: Operator11Token : crate::veryl_token::VerylToken ; + // 227 - Operator10: Operator10Token : crate::veryl_token::VerylToken ; Production { - lhs: 433, - production: &[ParseType::N(435)], + lhs: 440, + production: &[ParseType::N(442)], }, - // 226 - UnaryOperator: UnaryOperatorToken : crate::veryl_token::VerylToken ; + // 228 - Operator11: Operator11Token : crate::veryl_token::VerylToken ; Production { - lhs: 570, - production: &[ParseType::N(572)], + lhs: 443, + production: &[ParseType::N(445)], }, - // 227 - Colon: ColonToken : crate::veryl_token::VerylToken ; + // 229 - UnaryOperator: UnaryOperatorToken : crate::veryl_token::VerylToken ; Production { - lhs: 80, - production: &[ParseType::N(85)], + lhs: 580, + production: &[ParseType::N(582)], }, - // 228 - ColonColon: ColonColonToken : crate::veryl_token::VerylToken ; + // 230 - Colon: ColonToken : crate::veryl_token::VerylToken ; Production { lhs: 81, - production: &[ParseType::N(83)], + production: &[ParseType::N(86)], }, - // 229 - Comma: CommaToken : crate::veryl_token::VerylToken ; + // 231 - ColonColon: ColonColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 86, - production: &[ParseType::N(88)], + lhs: 82, + production: &[ParseType::N(84)], }, - // 230 - Dollar: DollarToken : crate::veryl_token::VerylToken ; + // 232 - Comma: CommaToken : crate::veryl_token::VerylToken ; Production { - lhs: 106, - production: &[ParseType::N(108)], + lhs: 87, + production: &[ParseType::N(89)], }, - // 231 - DotDot: DotDotToken : crate::veryl_token::VerylToken ; + // 233 - Dollar: DollarToken : crate::veryl_token::VerylToken ; Production { - lhs: 110, - production: &[ParseType::N(115)], + lhs: 107, + production: &[ParseType::N(109)], }, - // 232 - DotDotEqu: DotDotEquToken : crate::veryl_token::VerylToken ; + // 234 - DotDot: DotDotToken : crate::veryl_token::VerylToken ; Production { lhs: 111, - production: &[ParseType::N(113)], + production: &[ParseType::N(116)], }, - // 233 - Dot: DotToken : crate::veryl_token::VerylToken ; + // 235 - DotDotEqu: DotDotEquToken : crate::veryl_token::VerylToken ; Production { - lhs: 109, - production: &[ParseType::N(117)], + lhs: 112, + production: &[ParseType::N(114)], }, - // 234 - Equ: EquToken : crate::veryl_token::VerylToken ; + // 236 - Dot: DotToken : crate::veryl_token::VerylToken ; Production { - lhs: 133, - production: &[ParseType::N(135)], + lhs: 110, + production: &[ParseType::N(118)], }, - // 235 - Hash: HashToken : crate::veryl_token::VerylToken ; + // 237 - Equ: EquToken : crate::veryl_token::VerylToken ; Production { - lhs: 218, - production: &[ParseType::N(220)], + lhs: 143, + production: &[ParseType::N(145)], }, - // 236 - LAngle: LAngleToken : crate::veryl_token::VerylToken ; + // 238 - Hash: HashToken : crate::veryl_token::VerylToken ; Production { - lhs: 328, - production: &[ParseType::N(330)], + lhs: 228, + production: &[ParseType::N(230)], }, - // 237 - LBrace: LBraceToken : crate::veryl_token::VerylToken ; + // 239 - LAngle: LAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 331, - production: &[ParseType::N(333)], + lhs: 338, + production: &[ParseType::N(340)], }, - // 238 - LBracket: LBracketToken : crate::veryl_token::VerylToken ; + // 240 - LBrace: LBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 334, - production: &[ParseType::N(336)], + lhs: 341, + production: &[ParseType::N(343)], }, - // 239 - LParen: LParenToken : crate::veryl_token::VerylToken ; + // 241 - LBracket: LBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 337, - production: &[ParseType::N(339)], + lhs: 344, + production: &[ParseType::N(346)], }, - // 240 - MinusColon: MinusColonToken : crate::veryl_token::VerylToken ; + // 242 - LParen: LParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 356, - production: &[ParseType::N(358)], + lhs: 347, + production: &[ParseType::N(349)], }, - // 241 - MinusGT: MinusGTToken : crate::veryl_token::VerylToken ; + // 243 - MinusColon: MinusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 359, - production: &[ParseType::N(361)], + lhs: 366, + production: &[ParseType::N(368)], }, - // 242 - PlusColon: PlusColonToken : crate::veryl_token::VerylToken ; + // 244 - MinusGT: MinusGTToken : crate::veryl_token::VerylToken ; Production { - lhs: 457, - production: &[ParseType::N(459)], + lhs: 369, + production: &[ParseType::N(371)], }, - // 243 - RAngle: RAngleToken : crate::veryl_token::VerylToken ; + // 245 - PlusColon: PlusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 477, - production: &[ParseType::N(479)], + lhs: 467, + production: &[ParseType::N(469)], }, - // 244 - RBrace: RBraceToken : crate::veryl_token::VerylToken ; + // 246 - RAngle: RAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 480, - production: &[ParseType::N(482)], + lhs: 487, + production: &[ParseType::N(489)], }, - // 245 - RBracket: RBracketToken : crate::veryl_token::VerylToken ; + // 247 - RBrace: RBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 483, - production: &[ParseType::N(485)], + lhs: 490, + production: &[ParseType::N(492)], }, - // 246 - RParen: RParenToken : crate::veryl_token::VerylToken ; + // 248 - RBracket: RBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 486, - production: &[ParseType::N(488)], + lhs: 493, + production: &[ParseType::N(495)], }, - // 247 - Semicolon: SemicolonToken : crate::veryl_token::VerylToken ; + // 249 - RParen: RParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 516, - production: &[ParseType::N(518)], + lhs: 496, + production: &[ParseType::N(498)], }, - // 248 - Star: StarToken : crate::veryl_token::VerylToken ; + // 250 - Semicolon: SemicolonToken : crate::veryl_token::VerylToken ; Production { - lhs: 522, - production: &[ParseType::N(524)], + lhs: 526, + production: &[ParseType::N(528)], + }, + // 251 - Star: StarToken : crate::veryl_token::VerylToken ; + Production { + lhs: 532, + production: &[ParseType::N(534)], }, - // 249 - AlwaysComb: AlwaysCombToken : crate::veryl_token::VerylToken ; + // 252 - AlwaysComb: AlwaysCombToken : crate::veryl_token::VerylToken ; Production { lhs: 3, production: &[ParseType::N(7)], }, - // 250 - AlwaysFf: AlwaysFfToken : crate::veryl_token::VerylToken ; + // 253 - AlwaysFf: AlwaysFfToken : crate::veryl_token::VerylToken ; Production { lhs: 8, production: &[ParseType::N(19)], }, - // 251 - As: AsToken : crate::veryl_token::VerylToken ; + // 254 - As: AsToken : crate::veryl_token::VerylToken ; Production { - lhs: 28, - production: &[ParseType::N(30)], + lhs: 29, + production: &[ParseType::N(31)], }, - // 252 - Assign: AssignToken : crate::veryl_token::VerylToken ; + // 255 - Assign: AssignToken : crate::veryl_token::VerylToken ; Production { - lhs: 31, - production: &[ParseType::N(34)], + lhs: 32, + production: &[ParseType::N(35)], }, - // 253 - AsyncHigh: AsyncHighToken : crate::veryl_token::VerylToken ; + // 256 - AsyncHigh: AsyncHighToken : crate::veryl_token::VerylToken ; Production { - lhs: 40, - production: &[ParseType::N(42)], + lhs: 41, + production: &[ParseType::N(43)], }, - // 254 - AsyncLow: AsyncLowToken : crate::veryl_token::VerylToken ; + // 257 - AsyncLow: AsyncLowToken : crate::veryl_token::VerylToken ; Production { - lhs: 43, - production: &[ParseType::N(45)], + lhs: 44, + production: &[ParseType::N(46)], }, - // 255 - Bit: BitToken : crate::veryl_token::VerylToken ; + // 258 - Bit: BitToken : crate::veryl_token::VerylToken ; Production { - lhs: 58, - production: &[ParseType::N(60)], + lhs: 59, + production: &[ParseType::N(61)], }, - // 256 - Break: BreakToken : crate::veryl_token::VerylToken ; + // 259 - Break: BreakToken : crate::veryl_token::VerylToken ; Production { - lhs: 61, - production: &[ParseType::N(64)], + lhs: 62, + production: &[ParseType::N(65)], }, - // 257 - Case: CaseToken : crate::veryl_token::VerylToken ; + // 260 - Case: CaseToken : crate::veryl_token::VerylToken ; Production { - lhs: 65, - production: &[ParseType::N(79)], + lhs: 66, + production: &[ParseType::N(80)], }, - // 258 - Defaul: DefaultToken : crate::veryl_token::VerylToken ; + // 261 - Defaul: DefaultToken : crate::veryl_token::VerylToken ; Production { - lhs: 97, - production: &[ParseType::N(99)], + lhs: 98, + production: &[ParseType::N(100)], }, - // 259 - Else: ElseToken : crate::veryl_token::VerylToken ; + // 262 - Else: ElseToken : crate::veryl_token::VerylToken ; Production { - lhs: 118, - production: &[ParseType::N(120)], + lhs: 119, + production: &[ParseType::N(121)], }, - // 260 - Enum: EnumToken : crate::veryl_token::VerylToken ; + // 263 - Embed: EmbedToken : crate::veryl_token::VerylToken ; Production { - lhs: 121, - production: &[ParseType::N(132)], + lhs: 122, + production: &[ParseType::N(130)], }, - // 261 - Export: ExportToken : crate::veryl_token::VerylToken ; + // 264 - Enum: EnumToken : crate::veryl_token::VerylToken ; Production { - lhs: 139, - production: &[ParseType::N(144)], + lhs: 131, + production: &[ParseType::N(142)], }, - // 262 - F32: F32Token : crate::veryl_token::VerylToken ; + // 265 - Export: ExportToken : crate::veryl_token::VerylToken ; Production { - lhs: 183, - production: &[ParseType::N(185)], + lhs: 149, + production: &[ParseType::N(154)], }, - // 263 - F64: F64Token : crate::veryl_token::VerylToken ; + // 266 - F32: F32Token : crate::veryl_token::VerylToken ; Production { - lhs: 186, - production: &[ParseType::N(188)], + lhs: 193, + production: &[ParseType::N(195)], }, - // 264 - Final: FinalToken : crate::veryl_token::VerylToken ; + // 267 - F64: F64Token : crate::veryl_token::VerylToken ; Production { - lhs: 192, - production: &[ParseType::N(196)], + lhs: 196, + production: &[ParseType::N(198)], }, - // 265 - For: ForToken : crate::veryl_token::VerylToken ; + // 268 - Final: FinalToken : crate::veryl_token::VerylToken ; Production { - lhs: 201, + lhs: 202, production: &[ParseType::N(206)], }, - // 266 - Function: FunctionToken : crate::veryl_token::VerylToken ; + // 269 - For: ForToken : crate::veryl_token::VerylToken ; Production { - lhs: 207, - production: &[ParseType::N(217)], + lhs: 211, + production: &[ParseType::N(216)], }, - // 267 - I32: I32Token : crate::veryl_token::VerylToken ; + // 270 - Function: FunctionToken : crate::veryl_token::VerylToken ; Production { - lhs: 225, + lhs: 217, production: &[ParseType::N(227)], }, - // 268 - I64: I64Token : crate::veryl_token::VerylToken ; - Production { - lhs: 228, - production: &[ParseType::N(230)], - }, - // 269 - If: IfToken : crate::veryl_token::VerylToken ; - Production { - lhs: 236, - production: &[ParseType::N(255)], - }, - // 270 - IfReset: IfResetToken : crate::veryl_token::VerylToken ; + // 271 - I32: I32Token : crate::veryl_token::VerylToken ; Production { - lhs: 239, - production: &[ParseType::N(247)], + lhs: 235, + production: &[ParseType::N(237)], }, - // 271 - Import: ImportToken : crate::veryl_token::VerylToken ; + // 272 - I64: I64Token : crate::veryl_token::VerylToken ; Production { - lhs: 256, - production: &[ParseType::N(260)], + lhs: 238, + production: &[ParseType::N(240)], }, - // 272 - In: InToken : crate::veryl_token::VerylToken ; + // 273 - If: IfToken : crate::veryl_token::VerylToken ; Production { - lhs: 261, - production: &[ParseType::N(263)], + lhs: 246, + production: &[ParseType::N(265)], }, - // 273 - Initial: InitialToken : crate::veryl_token::VerylToken ; + // 274 - IfReset: IfResetToken : crate::veryl_token::VerylToken ; Production { - lhs: 264, - production: &[ParseType::N(268)], + lhs: 249, + production: &[ParseType::N(257)], }, - // 274 - Inout: InoutToken : crate::veryl_token::VerylToken ; + // 275 - Import: ImportToken : crate::veryl_token::VerylToken ; Production { - lhs: 269, - production: &[ParseType::N(271)], + lhs: 266, + production: &[ParseType::N(270)], }, - // 275 - Input: InputToken : crate::veryl_token::VerylToken ; + // 276 - In: InToken : crate::veryl_token::VerylToken ; Production { - lhs: 272, - production: &[ParseType::N(274)], + lhs: 271, + production: &[ParseType::N(273)], }, - // 276 - Inside: InsideToken : crate::veryl_token::VerylToken ; + // 277 - Initial: InitialToken : crate::veryl_token::VerylToken ; Production { - lhs: 275, + lhs: 274, production: &[ParseType::N(278)], }, - // 277 - Inst: InstToken : crate::veryl_token::VerylToken ; + // 278 - Inout: InoutToken : crate::veryl_token::VerylToken ; Production { lhs: 279, - production: &[ParseType::N(304)], + production: &[ParseType::N(281)], }, - // 278 - Interface: InterfaceToken : crate::veryl_token::VerylToken ; + // 279 - Input: InputToken : crate::veryl_token::VerylToken ; Production { - lhs: 306, - production: &[ParseType::N(327)], + lhs: 282, + production: &[ParseType::N(284)], }, - // 279 - Let: LetToken : crate::veryl_token::VerylToken ; + // 280 - Inside: InsideToken : crate::veryl_token::VerylToken ; Production { - lhs: 340, - production: &[ParseType::N(344)], + lhs: 285, + production: &[ParseType::N(288)], }, - // 280 - Local: LocalToken : crate::veryl_token::VerylToken ; + // 281 - Inst: InstToken : crate::veryl_token::VerylToken ; Production { - lhs: 345, - production: &[ParseType::N(349)], + lhs: 289, + production: &[ParseType::N(314)], + }, + // 282 - Interface: InterfaceToken : crate::veryl_token::VerylToken ; + Production { + lhs: 316, + production: &[ParseType::N(337)], }, - // 281 - Logic: LogicToken : crate::veryl_token::VerylToken ; + // 283 - Let: LetToken : crate::veryl_token::VerylToken ; Production { lhs: 350, - production: &[ParseType::N(352)], + production: &[ParseType::N(354)], }, - // 282 - Lsb: LsbToken : crate::veryl_token::VerylToken ; + // 284 - Local: LocalToken : crate::veryl_token::VerylToken ; Production { - lhs: 353, - production: &[ParseType::N(355)], + lhs: 355, + production: &[ParseType::N(359)], }, - // 283 - Modport: ModportToken : crate::veryl_token::VerylToken ; + // 285 - Logic: LogicToken : crate::veryl_token::VerylToken ; Production { - lhs: 362, - production: &[ParseType::N(372)], + lhs: 360, + production: &[ParseType::N(362)], }, - // 284 - Module: ModuleToken : crate::veryl_token::VerylToken ; + // 286 - Lsb: LsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 373, - production: &[ParseType::N(395)], + lhs: 363, + production: &[ParseType::N(365)], }, - // 285 - Msb: MsbToken : crate::veryl_token::VerylToken ; + // 287 - Modport: ModportToken : crate::veryl_token::VerylToken ; Production { - lhs: 396, - production: &[ParseType::N(398)], + lhs: 372, + production: &[ParseType::N(382)], }, - // 286 - Negedge: NegedgeToken : crate::veryl_token::VerylToken ; + // 288 - Module: ModuleToken : crate::veryl_token::VerylToken ; Production { - lhs: 399, - production: &[ParseType::N(401)], + lhs: 383, + production: &[ParseType::N(405)], }, - // 287 - Output: OutputToken : crate::veryl_token::VerylToken ; + // 289 - Msb: MsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 436, - production: &[ParseType::N(438)], + lhs: 406, + production: &[ParseType::N(408)], }, - // 288 - Outside: OutsideToken : crate::veryl_token::VerylToken ; + // 290 - Negedge: NegedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 439, - production: &[ParseType::N(442)], + lhs: 409, + production: &[ParseType::N(411)], }, - // 289 - Package: PackageToken : crate::veryl_token::VerylToken ; + // 291 - Output: OutputToken : crate::veryl_token::VerylToken ; Production { - lhs: 443, - production: &[ParseType::N(453)], + lhs: 446, + production: &[ParseType::N(448)], }, - // 290 - Param: ParamToken : crate::veryl_token::VerylToken ; + // 292 - Outside: OutsideToken : crate::veryl_token::VerylToken ; Production { - lhs: 454, - production: &[ParseType::N(456)], + lhs: 449, + production: &[ParseType::N(452)], }, - // 291 - Posedge: PosedgeToken : crate::veryl_token::VerylToken ; + // 293 - Package: PackageToken : crate::veryl_token::VerylToken ; Production { - lhs: 471, - production: &[ParseType::N(473)], + lhs: 453, + production: &[ParseType::N(463)], }, - // 292 - Pub: PubToken : crate::veryl_token::VerylToken ; + // 294 - Param: ParamToken : crate::veryl_token::VerylToken ; Production { - lhs: 474, - production: &[ParseType::N(476)], + lhs: 464, + production: &[ParseType::N(466)], }, - // 293 - Ref: RefToken : crate::veryl_token::VerylToken ; + // 295 - Posedge: PosedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 497, - production: &[ParseType::N(499)], + lhs: 481, + production: &[ParseType::N(483)], }, - // 294 - Repeat: RepeatToken : crate::veryl_token::VerylToken ; + // 296 - Pub: PubToken : crate::veryl_token::VerylToken ; Production { - lhs: 500, - production: &[ParseType::N(502)], + lhs: 484, + production: &[ParseType::N(486)], }, - // 295 - Return: ReturnToken : crate::veryl_token::VerylToken ; + // 297 - Ref: RefToken : crate::veryl_token::VerylToken ; Production { - lhs: 503, - production: &[ParseType::N(506)], + lhs: 507, + production: &[ParseType::N(509)], }, - // 296 - Signed: SignedToken : crate::veryl_token::VerylToken ; + // 298 - Repeat: RepeatToken : crate::veryl_token::VerylToken ; Production { - lhs: 519, - production: &[ParseType::N(521)], + lhs: 510, + production: &[ParseType::N(512)], }, - // 297 - Step: StepToken : crate::veryl_token::VerylToken ; + // 299 - Return: ReturnToken : crate::veryl_token::VerylToken ; Production { - lhs: 528, - production: &[ParseType::N(530)], + lhs: 513, + production: &[ParseType::N(516)], }, - // 298 - Strin: StringToken : crate::veryl_token::VerylToken ; + // 300 - Signed: SignedToken : crate::veryl_token::VerylToken ; Production { - lhs: 531, - production: &[ParseType::N(536)], + lhs: 529, + production: &[ParseType::N(531)], }, - // 299 - Struct: StructToken : crate::veryl_token::VerylToken ; + // 301 - Step: StepToken : crate::veryl_token::VerylToken ; Production { - lhs: 537, - production: &[ParseType::N(539)], + lhs: 538, + production: &[ParseType::N(540)], }, - // 300 - SyncHigh: SyncHighToken : crate::veryl_token::VerylToken ; + // 302 - Strin: StringToken : crate::veryl_token::VerylToken ; Production { - lhs: 549, - production: &[ParseType::N(551)], + lhs: 541, + production: &[ParseType::N(546)], }, - // 301 - SyncLow: SyncLowToken : crate::veryl_token::VerylToken ; + // 303 - Struct: StructToken : crate::veryl_token::VerylToken ; Production { - lhs: 552, - production: &[ParseType::N(554)], + lhs: 547, + production: &[ParseType::N(549)], }, - // 302 - Tri: TriToken : crate::veryl_token::VerylToken ; + // 304 - SyncHigh: SyncHighToken : crate::veryl_token::VerylToken ; Production { - lhs: 555, - production: &[ParseType::N(557)], + lhs: 559, + production: &[ParseType::N(561)], }, - // 303 - Type: TypeToken : crate::veryl_token::VerylToken ; + // 305 - SyncLow: SyncLowToken : crate::veryl_token::VerylToken ; Production { - lhs: 558, - production: &[ParseType::N(563)], + lhs: 562, + production: &[ParseType::N(564)], }, - // 304 - U32: U32Token : crate::veryl_token::VerylToken ; + // 306 - Tri: TriToken : crate::veryl_token::VerylToken ; Production { - lhs: 564, - production: &[ParseType::N(566)], + lhs: 565, + production: &[ParseType::N(567)], }, - // 305 - U64: U64Token : crate::veryl_token::VerylToken ; + // 307 - Type: TypeToken : crate::veryl_token::VerylToken ; Production { - lhs: 567, - production: &[ParseType::N(569)], + lhs: 568, + production: &[ParseType::N(573)], }, - // 306 - Union: UnionToken : crate::veryl_token::VerylToken ; + // 308 - U32: U32Token : crate::veryl_token::VerylToken ; Production { - lhs: 573, - production: &[ParseType::N(575)], + lhs: 574, + production: &[ParseType::N(576)], }, - // 307 - Var: VarToken : crate::veryl_token::VerylToken ; + // 309 - U64: U64Token : crate::veryl_token::VerylToken ; Production { - lhs: 576, + lhs: 577, production: &[ParseType::N(579)], }, - // 308 - Identifier: IdentifierToken : crate::veryl_token::VerylToken ; + // 310 - Union: UnionToken : crate::veryl_token::VerylToken ; Production { - lhs: 231, - production: &[ParseType::N(235)], + lhs: 583, + production: &[ParseType::N(585)], }, - // 309 - Number: IntegralNumber; + // 311 - Var: VarToken : crate::veryl_token::VerylToken ; Production { - lhs: 402, - production: &[ParseType::N(305)], + lhs: 586, + production: &[ParseType::N(589)], }, - // 310 - Number: RealNumber; + // 312 - Identifier: IdentifierToken : crate::veryl_token::VerylToken ; Production { - lhs: 402, - production: &[ParseType::N(496)], + lhs: 241, + production: &[ParseType::N(245)], }, - // 311 - IntegralNumber: Based; + // 313 - Number: IntegralNumber; Production { - lhs: 305, - production: &[ParseType::N(55)], + lhs: 412, + production: &[ParseType::N(315)], }, - // 312 - IntegralNumber: BaseLess; + // 314 - Number: RealNumber; Production { - lhs: 305, - production: &[ParseType::N(52)], + lhs: 412, + production: &[ParseType::N(506)], }, - // 313 - IntegralNumber: AllBit; + // 315 - IntegralNumber: Based; Production { - lhs: 305, + lhs: 315, + production: &[ParseType::N(56)], + }, + // 316 - IntegralNumber: BaseLess; + Production { + lhs: 315, + production: &[ParseType::N(53)], + }, + // 317 - IntegralNumber: AllBit; + Production { + lhs: 315, production: &[ParseType::N(0)], }, - // 314 - RealNumber: FixedPoint; + // 318 - RealNumber: FixedPoint; Production { - lhs: 496, - production: &[ParseType::N(197)], + lhs: 506, + production: &[ParseType::N(207)], }, - // 315 - RealNumber: Exponent; + // 319 - RealNumber: Exponent; Production { - lhs: 496, - production: &[ParseType::N(136)], + lhs: 506, + production: &[ParseType::N(146)], }, - // 316 - HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; + // 320 - HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; Production { - lhs: 221, - production: &[ParseType::N(223), ParseType::N(222), ParseType::N(231)], + lhs: 231, + production: &[ParseType::N(233), ParseType::N(232), ParseType::N(241)], }, - // 317 - HierarchicalIdentifierList0: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; + // 321 - HierarchicalIdentifierList0: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; Production { - lhs: 223, + lhs: 233, production: &[ - ParseType::N(223), - ParseType::N(224), - ParseType::N(231), - ParseType::N(109), + ParseType::N(233), + ParseType::N(234), + ParseType::N(241), + ParseType::N(110), ], }, - // 318 - HierarchicalIdentifierList0List: Select HierarchicalIdentifierList0List; + // 322 - HierarchicalIdentifierList0List: Select HierarchicalIdentifierList0List; Production { - lhs: 224, - production: &[ParseType::N(224), ParseType::N(513)], + lhs: 234, + production: &[ParseType::N(234), ParseType::N(523)], }, - // 319 - HierarchicalIdentifierList0List: ; + // 323 - HierarchicalIdentifierList0List: ; Production { - lhs: 224, + lhs: 234, production: &[], }, - // 320 - HierarchicalIdentifierList0: ; + // 324 - HierarchicalIdentifierList0: ; Production { - lhs: 223, + lhs: 233, production: &[], }, - // 321 - HierarchicalIdentifierList: Select HierarchicalIdentifierList; + // 325 - HierarchicalIdentifierList: Select HierarchicalIdentifierList; Production { - lhs: 222, - production: &[ParseType::N(222), ParseType::N(513)], + lhs: 232, + production: &[ParseType::N(232), ParseType::N(523)], }, - // 322 - HierarchicalIdentifierList: ; + // 326 - HierarchicalIdentifierList: ; Production { - lhs: 222, + lhs: 232, production: &[], }, - // 323 - ScopedIdentifier: ScopedIdentifierOpt /* Option */ Identifier ScopedIdentifierList /* Vec */; + // 327 - ScopedIdentifier: ScopedIdentifierOpt /* Option */ Identifier ScopedIdentifierList /* Vec */; Production { - lhs: 510, - production: &[ParseType::N(511), ParseType::N(231), ParseType::N(512)], + lhs: 520, + production: &[ParseType::N(521), ParseType::N(241), ParseType::N(522)], }, - // 324 - ScopedIdentifierList: ColonColon Identifier ScopedIdentifierList; + // 328 - ScopedIdentifierList: ColonColon Identifier ScopedIdentifierList; Production { - lhs: 511, - production: &[ParseType::N(511), ParseType::N(231), ParseType::N(81)], + lhs: 521, + production: &[ParseType::N(521), ParseType::N(241), ParseType::N(82)], }, - // 325 - ScopedIdentifierList: ; + // 329 - ScopedIdentifierList: ; Production { - lhs: 511, + lhs: 521, production: &[], }, - // 326 - ScopedIdentifierOpt: Dollar; + // 330 - ScopedIdentifierOpt: Dollar; Production { - lhs: 512, - production: &[ParseType::N(106)], + lhs: 522, + production: &[ParseType::N(107)], }, - // 327 - ScopedIdentifierOpt: ; + // 331 - ScopedIdentifierOpt: ; Production { - lhs: 512, + lhs: 522, production: &[], }, - // 328 - ExpressionIdentifier: ExpressionIdentifierOpt /* Option */ Identifier ExpressionIdentifierGroup; + // 332 - ExpressionIdentifier: ExpressionIdentifierOpt /* Option */ Identifier ExpressionIdentifierGroup; Production { - lhs: 172, - production: &[ParseType::N(173), ParseType::N(231), ParseType::N(178)], + lhs: 182, + production: &[ParseType::N(183), ParseType::N(241), ParseType::N(188)], }, - // 329 - ExpressionIdentifierGroup: ExpressionIdentifierScoped; + // 333 - ExpressionIdentifierGroup: ExpressionIdentifierScoped; Production { - lhs: 173, - production: &[ParseType::N(179)], + lhs: 183, + production: &[ParseType::N(189)], }, - // 330 - ExpressionIdentifierGroup: ExpressionIdentifierMember; + // 334 - ExpressionIdentifierGroup: ExpressionIdentifierMember; Production { - lhs: 173, - production: &[ParseType::N(174)], + lhs: 183, + production: &[ParseType::N(184)], }, - // 331 - ExpressionIdentifierOpt: Dollar; + // 335 - ExpressionIdentifierOpt: Dollar; Production { - lhs: 178, - production: &[ParseType::N(106)], + lhs: 188, + production: &[ParseType::N(107)], }, - // 332 - ExpressionIdentifierOpt: ; + // 336 - ExpressionIdentifierOpt: ; Production { - lhs: 178, + lhs: 188, production: &[], }, - // 333 - ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; + // 337 - ExpressionIdentifierScoped: ColonColon Identifier ExpressionIdentifierScopedList /* Vec */ ExpressionIdentifierScopedList0 /* Vec */; Production { - lhs: 179, + lhs: 189, production: &[ - ParseType::N(181), - ParseType::N(180), - ParseType::N(231), - ParseType::N(81), + ParseType::N(191), + ParseType::N(190), + ParseType::N(241), + ParseType::N(82), ], }, - // 334 - ExpressionIdentifierScopedList0: Select ExpressionIdentifierScopedList0; + // 338 - ExpressionIdentifierScopedList0: Select ExpressionIdentifierScopedList0; Production { - lhs: 181, - production: &[ParseType::N(181), ParseType::N(513)], + lhs: 191, + production: &[ParseType::N(191), ParseType::N(523)], }, - // 335 - ExpressionIdentifierScopedList0: ; + // 339 - ExpressionIdentifierScopedList0: ; Production { - lhs: 181, + lhs: 191, production: &[], }, - // 336 - ExpressionIdentifierScopedList: ColonColon Identifier ExpressionIdentifierScopedList; + // 340 - ExpressionIdentifierScopedList: ColonColon Identifier ExpressionIdentifierScopedList; Production { - lhs: 180, - production: &[ParseType::N(180), ParseType::N(231), ParseType::N(81)], + lhs: 190, + production: &[ParseType::N(190), ParseType::N(241), ParseType::N(82)], }, - // 337 - ExpressionIdentifierScopedList: ; + // 341 - ExpressionIdentifierScopedList: ; Production { - lhs: 180, + lhs: 190, production: &[], }, - // 338 - ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; + // 342 - ExpressionIdentifierMember: ExpressionIdentifierMemberList /* Vec */ ExpressionIdentifierMemberList0 /* Vec */; Production { - lhs: 174, - production: &[ParseType::N(176), ParseType::N(175)], + lhs: 184, + production: &[ParseType::N(186), ParseType::N(185)], }, - // 339 - ExpressionIdentifierMemberList0: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; + // 343 - ExpressionIdentifierMemberList0: Dot Identifier ExpressionIdentifierMemberList0List /* Vec */ ExpressionIdentifierMemberList0; Production { - lhs: 176, + lhs: 186, production: &[ - ParseType::N(176), - ParseType::N(177), - ParseType::N(231), - ParseType::N(109), + ParseType::N(186), + ParseType::N(187), + ParseType::N(241), + ParseType::N(110), ], }, - // 340 - ExpressionIdentifierMemberList0List: Select ExpressionIdentifierMemberList0List; + // 344 - ExpressionIdentifierMemberList0List: Select ExpressionIdentifierMemberList0List; Production { - lhs: 177, - production: &[ParseType::N(177), ParseType::N(513)], + lhs: 187, + production: &[ParseType::N(187), ParseType::N(523)], }, - // 341 - ExpressionIdentifierMemberList0List: ; + // 345 - ExpressionIdentifierMemberList0List: ; Production { - lhs: 177, + lhs: 187, production: &[], }, - // 342 - ExpressionIdentifierMemberList0: ; + // 346 - ExpressionIdentifierMemberList0: ; Production { - lhs: 176, + lhs: 186, production: &[], }, - // 343 - ExpressionIdentifierMemberList: Select ExpressionIdentifierMemberList; + // 347 - ExpressionIdentifierMemberList: Select ExpressionIdentifierMemberList; Production { - lhs: 175, - production: &[ParseType::N(175), ParseType::N(513)], + lhs: 185, + production: &[ParseType::N(185), ParseType::N(523)], }, - // 344 - ExpressionIdentifierMemberList: ; + // 348 - ExpressionIdentifierMemberList: ; Production { - lhs: 175, + lhs: 185, production: &[], }, - // 345 - Expression: Expression01 ExpressionList /* Vec */; + // 349 - Expression: Expression01 ExpressionList /* Vec */; Production { - lhs: 145, - production: &[ParseType::N(182), ParseType::N(146)], + lhs: 155, + production: &[ParseType::N(192), ParseType::N(156)], }, - // 346 - ExpressionList: Operator01 Expression01 ExpressionList; + // 350 - ExpressionList: Operator01 Expression01 ExpressionList; Production { - lhs: 182, - production: &[ParseType::N(182), ParseType::N(146), ParseType::N(403)], + lhs: 192, + production: &[ParseType::N(192), ParseType::N(156), ParseType::N(413)], }, - // 347 - ExpressionList: ; + // 351 - ExpressionList: ; Production { - lhs: 182, + lhs: 192, production: &[], }, - // 348 - Expression01: Expression02 Expression01List /* Vec */; + // 352 - Expression01: Expression02 Expression01List /* Vec */; Production { - lhs: 146, - production: &[ParseType::N(147), ParseType::N(148)], + lhs: 156, + production: &[ParseType::N(157), ParseType::N(158)], }, - // 349 - Expression01List: Operator02 Expression02 Expression01List; + // 353 - Expression01List: Operator02 Expression02 Expression01List; Production { - lhs: 147, - production: &[ParseType::N(147), ParseType::N(148), ParseType::N(406)], + lhs: 157, + production: &[ParseType::N(157), ParseType::N(158), ParseType::N(416)], }, - // 350 - Expression01List: ; + // 354 - Expression01List: ; Production { - lhs: 147, + lhs: 157, production: &[], }, - // 351 - Expression02: Expression03 Expression02List /* Vec */; + // 355 - Expression02: Expression03 Expression02List /* Vec */; Production { - lhs: 148, - production: &[ParseType::N(149), ParseType::N(150)], + lhs: 158, + production: &[ParseType::N(159), ParseType::N(160)], }, - // 352 - Expression02List: Operator03 Expression03 Expression02List; + // 356 - Expression02List: Operator03 Expression03 Expression02List; Production { - lhs: 149, - production: &[ParseType::N(149), ParseType::N(150), ParseType::N(409)], + lhs: 159, + production: &[ParseType::N(159), ParseType::N(160), ParseType::N(419)], }, - // 353 - Expression02List: ; + // 357 - Expression02List: ; Production { - lhs: 149, + lhs: 159, production: &[], }, - // 354 - Expression03: Expression04 Expression03List /* Vec */; + // 358 - Expression03: Expression04 Expression03List /* Vec */; Production { - lhs: 150, - production: &[ParseType::N(151), ParseType::N(152)], + lhs: 160, + production: &[ParseType::N(161), ParseType::N(162)], }, - // 355 - Expression03List: Operator04 Expression04 Expression03List; + // 359 - Expression03List: Operator04 Expression04 Expression03List; Production { - lhs: 151, - production: &[ParseType::N(151), ParseType::N(152), ParseType::N(412)], + lhs: 161, + production: &[ParseType::N(161), ParseType::N(162), ParseType::N(422)], }, - // 356 - Expression03List: ; + // 360 - Expression03List: ; Production { - lhs: 151, + lhs: 161, production: &[], }, - // 357 - Expression04: Expression05 Expression04List /* Vec */; + // 361 - Expression04: Expression05 Expression04List /* Vec */; Production { - lhs: 152, - production: &[ParseType::N(153), ParseType::N(154)], + lhs: 162, + production: &[ParseType::N(163), ParseType::N(164)], }, - // 358 - Expression04List: Operator05 Expression05 Expression04List; + // 362 - Expression04List: Operator05 Expression05 Expression04List; Production { - lhs: 153, - production: &[ParseType::N(153), ParseType::N(154), ParseType::N(415)], + lhs: 163, + production: &[ParseType::N(163), ParseType::N(164), ParseType::N(425)], }, - // 359 - Expression04List: ; + // 363 - Expression04List: ; Production { - lhs: 153, + lhs: 163, production: &[], }, - // 360 - Expression05: Expression06 Expression05List /* Vec */; + // 364 - Expression05: Expression06 Expression05List /* Vec */; Production { - lhs: 154, - production: &[ParseType::N(155), ParseType::N(156)], + lhs: 164, + production: &[ParseType::N(165), ParseType::N(166)], }, - // 361 - Expression05List: Operator06 Expression06 Expression05List; + // 365 - Expression05List: Operator06 Expression06 Expression05List; Production { - lhs: 155, - production: &[ParseType::N(155), ParseType::N(156), ParseType::N(418)], + lhs: 165, + production: &[ParseType::N(165), ParseType::N(166), ParseType::N(428)], }, - // 362 - Expression05List: ; + // 366 - Expression05List: ; Production { - lhs: 155, + lhs: 165, production: &[], }, - // 363 - Expression06: Expression07 Expression06List /* Vec */; + // 367 - Expression06: Expression07 Expression06List /* Vec */; Production { - lhs: 156, - production: &[ParseType::N(157), ParseType::N(158)], + lhs: 166, + production: &[ParseType::N(167), ParseType::N(168)], }, - // 364 - Expression06List: Operator07 Expression07 Expression06List; + // 368 - Expression06List: Operator07 Expression07 Expression06List; Production { - lhs: 157, - production: &[ParseType::N(157), ParseType::N(158), ParseType::N(421)], + lhs: 167, + production: &[ParseType::N(167), ParseType::N(168), ParseType::N(431)], }, - // 365 - Expression06List: ; + // 369 - Expression06List: ; Production { - lhs: 157, + lhs: 167, production: &[], }, - // 366 - Expression07: Expression08 Expression07List /* Vec */; + // 370 - Expression07: Expression08 Expression07List /* Vec */; Production { - lhs: 158, - production: &[ParseType::N(159), ParseType::N(160)], + lhs: 168, + production: &[ParseType::N(169), ParseType::N(170)], }, - // 367 - Expression07List: Operator08 Expression08 Expression07List; + // 371 - Expression07List: Operator08 Expression08 Expression07List; Production { - lhs: 159, - production: &[ParseType::N(159), ParseType::N(160), ParseType::N(424)], + lhs: 169, + production: &[ParseType::N(169), ParseType::N(170), ParseType::N(434)], }, - // 368 - Expression07List: ; + // 372 - Expression07List: ; Production { - lhs: 159, + lhs: 169, production: &[], }, - // 369 - Expression08: Expression09 Expression08List /* Vec */; + // 373 - Expression08: Expression09 Expression08List /* Vec */; Production { - lhs: 160, - production: &[ParseType::N(161), ParseType::N(162)], + lhs: 170, + production: &[ParseType::N(171), ParseType::N(172)], }, - // 370 - Expression08List: Operator09 Expression09 Expression08List; + // 374 - Expression08List: Operator09 Expression09 Expression08List; Production { - lhs: 161, - production: &[ParseType::N(161), ParseType::N(162), ParseType::N(427)], + lhs: 171, + production: &[ParseType::N(171), ParseType::N(172), ParseType::N(437)], }, - // 371 - Expression08List: ; + // 375 - Expression08List: ; Production { - lhs: 161, + lhs: 171, production: &[], }, - // 372 - Expression09: Expression10 Expression09List /* Vec */; + // 376 - Expression09: Expression10 Expression09List /* Vec */; Production { - lhs: 162, - production: &[ParseType::N(163), ParseType::N(165)], + lhs: 172, + production: &[ParseType::N(173), ParseType::N(175)], }, - // 373 - Expression09List: Expression09ListGroup Expression10 Expression09List; + // 377 - Expression09List: Expression09ListGroup Expression10 Expression09List; Production { - lhs: 163, - production: &[ParseType::N(163), ParseType::N(165), ParseType::N(164)], + lhs: 173, + production: &[ParseType::N(173), ParseType::N(175), ParseType::N(174)], }, - // 374 - Expression09ListGroup: Operator10; + // 378 - Expression09ListGroup: Operator10; Production { - lhs: 164, - production: &[ParseType::N(430)], + lhs: 174, + production: &[ParseType::N(440)], }, - // 375 - Expression09ListGroup: Star; + // 379 - Expression09ListGroup: Star; Production { - lhs: 164, - production: &[ParseType::N(522)], + lhs: 174, + production: &[ParseType::N(532)], }, - // 376 - Expression09List: ; + // 380 - Expression09List: ; Production { - lhs: 163, + lhs: 173, production: &[], }, - // 377 - Expression10: Expression11 Expression10List /* Vec */; + // 381 - Expression10: Expression11 Expression10List /* Vec */; Production { - lhs: 165, - production: &[ParseType::N(166), ParseType::N(167)], + lhs: 175, + production: &[ParseType::N(176), ParseType::N(177)], }, - // 378 - Expression10List: Operator11 Expression11 Expression10List; + // 382 - Expression10List: Operator11 Expression11 Expression10List; Production { - lhs: 166, - production: &[ParseType::N(166), ParseType::N(167), ParseType::N(433)], + lhs: 176, + production: &[ParseType::N(176), ParseType::N(177), ParseType::N(443)], }, - // 379 - Expression10List: ; + // 383 - Expression10List: ; Production { - lhs: 166, + lhs: 176, production: &[], }, - // 380 - Expression11: Expression12 Expression11List /* Vec */; + // 384 - Expression11: Expression12 Expression11List /* Vec */; Production { - lhs: 167, - production: &[ParseType::N(168), ParseType::N(169)], + lhs: 177, + production: &[ParseType::N(178), ParseType::N(179)], }, - // 381 - Expression11List: As ScopedIdentifier Expression11List; + // 385 - Expression11List: As ScopedIdentifier Expression11List; Production { - lhs: 168, - production: &[ParseType::N(168), ParseType::N(510), ParseType::N(28)], + lhs: 178, + production: &[ParseType::N(178), ParseType::N(520), ParseType::N(29)], }, - // 382 - Expression11List: ; + // 386 - Expression11List: ; Production { - lhs: 168, + lhs: 178, production: &[], }, - // 383 - Expression12: Expression12List /* Vec */ Factor; + // 387 - Expression12: Expression12List /* Vec */ Factor; Production { - lhs: 169, - production: &[ParseType::N(189), ParseType::N(170)], + lhs: 179, + production: &[ParseType::N(199), ParseType::N(180)], }, - // 384 - Expression12List: Expression12ListGroup Expression12List; + // 388 - Expression12List: Expression12ListGroup Expression12List; Production { - lhs: 170, - production: &[ParseType::N(170), ParseType::N(171)], + lhs: 180, + production: &[ParseType::N(180), ParseType::N(181)], }, - // 385 - Expression12ListGroup: UnaryOperator; + // 389 - Expression12ListGroup: UnaryOperator; Production { - lhs: 171, - production: &[ParseType::N(570)], + lhs: 181, + production: &[ParseType::N(580)], }, - // 386 - Expression12ListGroup: Operator09; + // 390 - Expression12ListGroup: Operator09; Production { - lhs: 171, - production: &[ParseType::N(427)], + lhs: 181, + production: &[ParseType::N(437)], }, - // 387 - Expression12ListGroup: Operator05; + // 391 - Expression12ListGroup: Operator05; Production { - lhs: 171, - production: &[ParseType::N(415)], + lhs: 181, + production: &[ParseType::N(425)], }, - // 388 - Expression12ListGroup: Operator03; + // 392 - Expression12ListGroup: Operator03; Production { - lhs: 171, - production: &[ParseType::N(409)], + lhs: 181, + production: &[ParseType::N(419)], }, - // 389 - Expression12ListGroup: Operator04; + // 393 - Expression12ListGroup: Operator04; Production { - lhs: 171, - production: &[ParseType::N(412)], + lhs: 181, + production: &[ParseType::N(422)], }, - // 390 - Expression12List: ; + // 394 - Expression12List: ; Production { - lhs: 170, + lhs: 180, production: &[], }, - // 391 - Factor: Number; + // 395 - Factor: Number; Production { - lhs: 189, - production: &[ParseType::N(402)], + lhs: 199, + production: &[ParseType::N(412)], }, - // 392 - Factor: ExpressionIdentifier FactorOpt /* Option */; + // 396 - Factor: ExpressionIdentifier FactorOpt /* Option */; Production { - lhs: 189, - production: &[ParseType::N(191), ParseType::N(172)], + lhs: 199, + production: &[ParseType::N(201), ParseType::N(182)], }, - // 393 - Factor: LParen Expression RParen; + // 397 - Factor: LParen Expression RParen; Production { - lhs: 189, - production: &[ParseType::N(486), ParseType::N(145), ParseType::N(337)], + lhs: 199, + production: &[ParseType::N(496), ParseType::N(155), ParseType::N(347)], }, - // 394 - Factor: LBrace ConcatenationList RBrace; + // 398 - Factor: LBrace ConcatenationList RBrace; Production { - lhs: 189, - production: &[ParseType::N(480), ParseType::N(94), ParseType::N(331)], + lhs: 199, + production: &[ParseType::N(490), ParseType::N(95), ParseType::N(341)], }, - // 395 - Factor: IfExpression; + // 399 - Factor: IfExpression; Production { - lhs: 189, - production: &[ParseType::N(237)], + lhs: 199, + production: &[ParseType::N(247)], }, - // 396 - Factor: CaseExpression; + // 400 - Factor: CaseExpression; Production { - lhs: 189, - production: &[ParseType::N(66)], + lhs: 199, + production: &[ParseType::N(67)], }, - // 397 - Factor: StringLiteral; + // 401 - Factor: StringLiteral; Production { - lhs: 189, - production: &[ParseType::N(532)], + lhs: 199, + production: &[ParseType::N(542)], }, - // 398 - Factor: FactorGroup; + // 402 - Factor: FactorGroup; Production { - lhs: 189, - production: &[ParseType::N(190)], + lhs: 199, + production: &[ParseType::N(200)], }, - // 399 - FactorGroup: Msb; + // 403 - FactorGroup: Msb; Production { - lhs: 190, - production: &[ParseType::N(396)], + lhs: 200, + production: &[ParseType::N(406)], }, - // 400 - FactorGroup: Lsb; + // 404 - FactorGroup: Lsb; Production { - lhs: 190, - production: &[ParseType::N(353)], + lhs: 200, + production: &[ParseType::N(363)], }, - // 401 - Factor: InsideExpression; + // 405 - Factor: InsideExpression; Production { - lhs: 189, - production: &[ParseType::N(276)], + lhs: 199, + production: &[ParseType::N(286)], }, - // 402 - Factor: OutsideExpression; + // 406 - Factor: OutsideExpression; Production { - lhs: 189, - production: &[ParseType::N(440)], + lhs: 199, + production: &[ParseType::N(450)], }, - // 403 - FactorOpt: FunctionCall; + // 407 - FactorOpt: FunctionCall; Production { - lhs: 191, - production: &[ParseType::N(208)], + lhs: 201, + production: &[ParseType::N(218)], }, - // 404 - FactorOpt: ; + // 408 - FactorOpt: ; Production { - lhs: 191, + lhs: 201, production: &[], }, - // 405 - FunctionCall: LParen FunctionCallOpt /* Option */ RParen; + // 409 - FunctionCall: LParen FunctionCallOpt /* Option */ RParen; Production { - lhs: 208, - production: &[ParseType::N(486), ParseType::N(209), ParseType::N(337)], + lhs: 218, + production: &[ParseType::N(496), ParseType::N(219), ParseType::N(347)], }, - // 406 - FunctionCallOpt: ArgumentList; + // 410 - FunctionCallOpt: ArgumentList; Production { - lhs: 209, - production: &[ParseType::N(21)], + lhs: 219, + production: &[ParseType::N(22)], }, - // 407 - FunctionCallOpt: ; + // 411 - FunctionCallOpt: ; Production { - lhs: 209, + lhs: 219, production: &[], }, - // 408 - ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; - Production { - lhs: 21, - production: &[ParseType::N(23), ParseType::N(22), ParseType::N(20)], - }, - // 409 - ArgumentListList: Comma ArgumentItem ArgumentListList; - Production { - lhs: 22, - production: &[ParseType::N(22), ParseType::N(20), ParseType::N(86)], - }, - // 410 - ArgumentListList: ; + // 412 - ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; Production { lhs: 22, - production: &[], + production: &[ParseType::N(24), ParseType::N(23), ParseType::N(21)], }, - // 411 - ArgumentListOpt: Comma; + // 413 - ArgumentListList: Comma ArgumentItem ArgumentListList; Production { lhs: 23, - production: &[ParseType::N(86)], + production: &[ParseType::N(23), ParseType::N(21), ParseType::N(87)], }, - // 412 - ArgumentListOpt: ; + // 414 - ArgumentListList: ; Production { lhs: 23, production: &[], }, - // 413 - ArgumentItem: Expression; + // 415 - ArgumentListOpt: Comma; Production { - lhs: 20, - production: &[ParseType::N(145)], + lhs: 24, + production: &[ParseType::N(87)], }, - // 414 - ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; + // 416 - ArgumentListOpt: ; Production { - lhs: 94, - production: &[ParseType::N(96), ParseType::N(95), ParseType::N(92)], + lhs: 24, + production: &[], }, - // 415 - ConcatenationListList: Comma ConcatenationItem ConcatenationListList; + // 417 - ArgumentItem: Expression; Production { - lhs: 95, - production: &[ParseType::N(95), ParseType::N(92), ParseType::N(86)], + lhs: 21, + production: &[ParseType::N(155)], }, - // 416 - ConcatenationListList: ; + // 418 - ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; Production { lhs: 95, - production: &[], + production: &[ParseType::N(97), ParseType::N(96), ParseType::N(93)], }, - // 417 - ConcatenationListOpt: Comma; + // 419 - ConcatenationListList: Comma ConcatenationItem ConcatenationListList; Production { lhs: 96, - production: &[ParseType::N(86)], + production: &[ParseType::N(96), ParseType::N(93), ParseType::N(87)], }, - // 418 - ConcatenationListOpt: ; + // 420 - ConcatenationListList: ; Production { lhs: 96, production: &[], }, - // 419 - ConcatenationItem: Expression ConcatenationItemOpt /* Option */; + // 421 - ConcatenationListOpt: Comma; Production { - lhs: 92, - production: &[ParseType::N(93), ParseType::N(145)], + lhs: 97, + production: &[ParseType::N(87)], }, - // 420 - ConcatenationItemOpt: Repeat Expression; + // 422 - ConcatenationListOpt: ; Production { - lhs: 93, - production: &[ParseType::N(145), ParseType::N(500)], + lhs: 97, + production: &[], }, - // 421 - ConcatenationItemOpt: ; + // 423 - ConcatenationItem: Expression ConcatenationItemOpt /* Option */; Production { lhs: 93, + production: &[ParseType::N(94), ParseType::N(155)], + }, + // 424 - ConcatenationItemOpt: Repeat Expression; + Production { + lhs: 94, + production: &[ParseType::N(155), ParseType::N(510)], + }, + // 425 - ConcatenationItemOpt: ; + Production { + lhs: 94, production: &[], }, - // 422 - IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; + // 426 - IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; Production { - lhs: 237, + lhs: 247, production: &[ - ParseType::N(480), - ParseType::N(145), - ParseType::N(331), - ParseType::N(118), - ParseType::N(238), - ParseType::N(480), - ParseType::N(145), - ParseType::N(331), - ParseType::N(145), - ParseType::N(236), + ParseType::N(490), + ParseType::N(155), + ParseType::N(341), + ParseType::N(119), + ParseType::N(248), + ParseType::N(490), + ParseType::N(155), + ParseType::N(341), + ParseType::N(155), + ParseType::N(246), ], }, - // 423 - IfExpressionList: Else If Expression LBrace Expression RBrace IfExpressionList; + // 427 - IfExpressionList: Else If Expression LBrace Expression RBrace IfExpressionList; Production { - lhs: 238, + lhs: 248, production: &[ - ParseType::N(238), - ParseType::N(480), - ParseType::N(145), - ParseType::N(331), - ParseType::N(145), - ParseType::N(236), - ParseType::N(118), + ParseType::N(248), + ParseType::N(490), + ParseType::N(155), + ParseType::N(341), + ParseType::N(155), + ParseType::N(246), + ParseType::N(119), ], }, - // 424 - IfExpressionList: ; + // 428 - IfExpressionList: ; Production { - lhs: 238, + lhs: 248, production: &[], }, - // 425 - CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; + // 429 - CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; Production { - lhs: 66, + lhs: 67, production: &[ - ParseType::N(480), - ParseType::N(70), - ParseType::N(145), - ParseType::N(80), - ParseType::N(97), + ParseType::N(490), + ParseType::N(71), + ParseType::N(155), + ParseType::N(81), + ParseType::N(98), + ParseType::N(69), + ParseType::N(87), + ParseType::N(155), + ParseType::N(81), ParseType::N(68), - ParseType::N(86), - ParseType::N(145), - ParseType::N(80), - ParseType::N(67), - ParseType::N(145), - ParseType::N(331), - ParseType::N(145), - ParseType::N(65), + ParseType::N(155), + ParseType::N(341), + ParseType::N(155), + ParseType::N(66), ], }, - // 426 - CaseExpressionList0: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; + // 430 - CaseExpressionList0: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; Production { - lhs: 68, + lhs: 69, production: &[ - ParseType::N(68), - ParseType::N(86), - ParseType::N(145), - ParseType::N(80), ParseType::N(69), - ParseType::N(145), + ParseType::N(87), + ParseType::N(155), + ParseType::N(81), + ParseType::N(70), + ParseType::N(155), ], }, - // 427 - CaseExpressionList0List: Comma Expression CaseExpressionList0List; + // 431 - CaseExpressionList0List: Comma Expression CaseExpressionList0List; Production { - lhs: 69, - production: &[ParseType::N(69), ParseType::N(145), ParseType::N(86)], + lhs: 70, + production: &[ParseType::N(70), ParseType::N(155), ParseType::N(87)], }, - // 428 - CaseExpressionList0List: ; + // 432 - CaseExpressionList0List: ; Production { - lhs: 69, + lhs: 70, production: &[], }, - // 429 - CaseExpressionList0: ; + // 433 - CaseExpressionList0: ; Production { - lhs: 68, + lhs: 69, production: &[], }, - // 430 - CaseExpressionList: Comma Expression CaseExpressionList; + // 434 - CaseExpressionList: Comma Expression CaseExpressionList; Production { - lhs: 67, - production: &[ParseType::N(67), ParseType::N(145), ParseType::N(86)], + lhs: 68, + production: &[ParseType::N(68), ParseType::N(155), ParseType::N(87)], }, - // 431 - CaseExpressionList: ; + // 435 - CaseExpressionList: ; Production { - lhs: 67, + lhs: 68, production: &[], }, - // 432 - CaseExpressionOpt: Comma; + // 436 - CaseExpressionOpt: Comma; Production { - lhs: 70, - production: &[ParseType::N(86)], + lhs: 71, + production: &[ParseType::N(87)], }, - // 433 - CaseExpressionOpt: ; + // 437 - CaseExpressionOpt: ; Production { - lhs: 70, + lhs: 71, production: &[], }, - // 434 - TypeExpression: ScalarType; + // 438 - TypeExpression: ScalarType; Production { - lhs: 560, - production: &[ParseType::N(507)], + lhs: 570, + production: &[ParseType::N(517)], }, - // 435 - TypeExpression: Type LParen Expression RParen; + // 439 - TypeExpression: Type LParen Expression RParen; Production { - lhs: 560, + lhs: 570, production: &[ - ParseType::N(486), - ParseType::N(145), - ParseType::N(337), - ParseType::N(558), + ParseType::N(496), + ParseType::N(155), + ParseType::N(347), + ParseType::N(568), ], }, - // 436 - InsideExpression: Inside Expression LBrace RangeList RBrace; + // 440 - InsideExpression: Inside Expression LBrace RangeList RBrace; Production { - lhs: 276, + lhs: 286, production: &[ - ParseType::N(480), - ParseType::N(491), - ParseType::N(331), - ParseType::N(145), - ParseType::N(275), + ParseType::N(490), + ParseType::N(501), + ParseType::N(341), + ParseType::N(155), + ParseType::N(285), ], }, - // 437 - OutsideExpression: Outside Expression LBrace RangeList RBrace; + // 441 - OutsideExpression: Outside Expression LBrace RangeList RBrace; Production { - lhs: 440, + lhs: 450, production: &[ - ParseType::N(480), - ParseType::N(491), - ParseType::N(331), - ParseType::N(145), - ParseType::N(439), + ParseType::N(490), + ParseType::N(501), + ParseType::N(341), + ParseType::N(155), + ParseType::N(449), ], }, - // 438 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; + // 442 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; Production { - lhs: 491, - production: &[ParseType::N(493), ParseType::N(492), ParseType::N(490)], + lhs: 501, + production: &[ParseType::N(503), ParseType::N(502), ParseType::N(500)], }, - // 439 - RangeListList: Comma RangeItem RangeListList; + // 443 - RangeListList: Comma RangeItem RangeListList; Production { - lhs: 492, - production: &[ParseType::N(492), ParseType::N(490), ParseType::N(86)], + lhs: 502, + production: &[ParseType::N(502), ParseType::N(500), ParseType::N(87)], }, - // 440 - RangeListList: ; + // 444 - RangeListList: ; Production { - lhs: 492, + lhs: 502, production: &[], }, - // 441 - RangeListOpt: Comma; + // 445 - RangeListOpt: Comma; Production { - lhs: 493, - production: &[ParseType::N(86)], + lhs: 503, + production: &[ParseType::N(87)], }, - // 442 - RangeListOpt: ; + // 446 - RangeListOpt: ; Production { - lhs: 493, + lhs: 503, production: &[], }, - // 443 - RangeItem: Range; + // 447 - RangeItem: Range; Production { - lhs: 490, - production: &[ParseType::N(489)], + lhs: 500, + production: &[ParseType::N(499)], }, - // 444 - Select: LBracket Expression SelectOpt /* Option */ RBracket; + // 448 - Select: LBracket Expression SelectOpt /* Option */ RBracket; Production { - lhs: 513, + lhs: 523, production: &[ - ParseType::N(483), - ParseType::N(515), - ParseType::N(145), - ParseType::N(334), + ParseType::N(493), + ParseType::N(525), + ParseType::N(155), + ParseType::N(344), ], }, - // 445 - SelectOpt: SelectOperator Expression; + // 449 - SelectOpt: SelectOperator Expression; Production { - lhs: 515, - production: &[ParseType::N(145), ParseType::N(514)], + lhs: 525, + production: &[ParseType::N(155), ParseType::N(524)], }, - // 446 - SelectOpt: ; + // 450 - SelectOpt: ; Production { - lhs: 515, + lhs: 525, production: &[], }, - // 447 - SelectOperator: Colon; + // 451 - SelectOperator: Colon; Production { - lhs: 514, - production: &[ParseType::N(80)], + lhs: 524, + production: &[ParseType::N(81)], }, - // 448 - SelectOperator: PlusColon; + // 452 - SelectOperator: PlusColon; Production { - lhs: 514, - production: &[ParseType::N(457)], + lhs: 524, + production: &[ParseType::N(467)], }, - // 449 - SelectOperator: MinusColon; + // 453 - SelectOperator: MinusColon; Production { - lhs: 514, - production: &[ParseType::N(356)], + lhs: 524, + production: &[ParseType::N(366)], }, - // 450 - SelectOperator: Step; + // 454 - SelectOperator: Step; Production { - lhs: 514, - production: &[ParseType::N(528)], + lhs: 524, + production: &[ParseType::N(538)], }, - // 451 - Width: LAngle Expression WidthList /* Vec */ RAngle; + // 455 - Width: LAngle Expression WidthList /* Vec */ RAngle; Production { - lhs: 585, + lhs: 595, production: &[ - ParseType::N(477), - ParseType::N(586), - ParseType::N(145), - ParseType::N(328), + ParseType::N(487), + ParseType::N(596), + ParseType::N(155), + ParseType::N(338), ], }, - // 452 - WidthList: Comma Expression WidthList; + // 456 - WidthList: Comma Expression WidthList; Production { - lhs: 586, - production: &[ParseType::N(586), ParseType::N(145), ParseType::N(86)], + lhs: 596, + production: &[ParseType::N(596), ParseType::N(155), ParseType::N(87)], }, - // 453 - WidthList: ; + // 457 - WidthList: ; Production { - lhs: 586, + lhs: 596, production: &[], }, - // 454 - Array: LBracket Expression ArrayList /* Vec */ RBracket; + // 458 - Array: LBracket Expression ArrayList /* Vec */ RBracket; Production { - lhs: 24, + lhs: 25, production: &[ - ParseType::N(483), - ParseType::N(25), - ParseType::N(145), - ParseType::N(334), + ParseType::N(493), + ParseType::N(26), + ParseType::N(155), + ParseType::N(344), ], }, - // 455 - ArrayList: Comma Expression ArrayList; + // 459 - ArrayList: Comma Expression ArrayList; Production { - lhs: 25, - production: &[ParseType::N(25), ParseType::N(145), ParseType::N(86)], + lhs: 26, + production: &[ParseType::N(26), ParseType::N(155), ParseType::N(87)], }, - // 456 - ArrayList: ; + // 460 - ArrayList: ; Production { - lhs: 25, + lhs: 26, production: &[], }, - // 457 - Range: Expression RangeOpt /* Option */; + // 461 - Range: Expression RangeOpt /* Option */; Production { - lhs: 489, - production: &[ParseType::N(495), ParseType::N(145)], + lhs: 499, + production: &[ParseType::N(505), ParseType::N(155)], }, - // 458 - RangeOpt: RangeOperator Expression; + // 462 - RangeOpt: RangeOperator Expression; Production { - lhs: 495, - production: &[ParseType::N(145), ParseType::N(494)], + lhs: 505, + production: &[ParseType::N(155), ParseType::N(504)], }, - // 459 - RangeOpt: ; + // 463 - RangeOpt: ; Production { - lhs: 495, + lhs: 505, production: &[], }, - // 460 - RangeOperator: DotDot; + // 464 - RangeOperator: DotDot; Production { - lhs: 494, - production: &[ParseType::N(110)], + lhs: 504, + production: &[ParseType::N(111)], }, - // 461 - RangeOperator: DotDotEqu; + // 465 - RangeOperator: DotDotEqu; Production { - lhs: 494, - production: &[ParseType::N(111)], + lhs: 504, + production: &[ParseType::N(112)], }, - // 462 - FixedType: U32; + // 466 - FixedType: U32; Production { - lhs: 200, - production: &[ParseType::N(564)], + lhs: 210, + production: &[ParseType::N(574)], }, - // 463 - FixedType: U64; + // 467 - FixedType: U64; Production { - lhs: 200, - production: &[ParseType::N(567)], + lhs: 210, + production: &[ParseType::N(577)], }, - // 464 - FixedType: I32; + // 468 - FixedType: I32; Production { - lhs: 200, - production: &[ParseType::N(225)], + lhs: 210, + production: &[ParseType::N(235)], }, - // 465 - FixedType: I64; + // 469 - FixedType: I64; Production { - lhs: 200, - production: &[ParseType::N(228)], + lhs: 210, + production: &[ParseType::N(238)], }, - // 466 - FixedType: F32; + // 470 - FixedType: F32; Production { - lhs: 200, - production: &[ParseType::N(183)], + lhs: 210, + production: &[ParseType::N(193)], }, - // 467 - FixedType: F64; + // 471 - FixedType: F64; Production { - lhs: 200, - production: &[ParseType::N(186)], + lhs: 210, + production: &[ParseType::N(196)], }, - // 468 - FixedType: Strin; + // 472 - FixedType: Strin; Production { - lhs: 200, - production: &[ParseType::N(531)], + lhs: 210, + production: &[ParseType::N(541)], }, - // 469 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; + // 473 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; Production { - lhs: 580, - production: &[ParseType::N(582), ParseType::N(581)], + lhs: 590, + production: &[ParseType::N(592), ParseType::N(591)], }, - // 470 - VariableTypeGroup: Logic; + // 474 - VariableTypeGroup: Logic; Production { - lhs: 581, - production: &[ParseType::N(350)], + lhs: 591, + production: &[ParseType::N(360)], }, - // 471 - VariableTypeGroup: Bit; + // 475 - VariableTypeGroup: Bit; Production { - lhs: 581, - production: &[ParseType::N(58)], + lhs: 591, + production: &[ParseType::N(59)], }, - // 472 - VariableTypeGroup: ScopedIdentifier; + // 476 - VariableTypeGroup: ScopedIdentifier; Production { - lhs: 581, - production: &[ParseType::N(510)], + lhs: 591, + production: &[ParseType::N(520)], }, - // 473 - VariableTypeOpt: Width; + // 477 - VariableTypeOpt: Width; Production { - lhs: 582, - production: &[ParseType::N(585)], + lhs: 592, + production: &[ParseType::N(595)], }, - // 474 - VariableTypeOpt: ; + // 478 - VariableTypeOpt: ; Production { - lhs: 582, + lhs: 592, production: &[], }, - // 475 - TypeModifier: Tri; + // 479 - TypeModifier: Tri; Production { - lhs: 561, - production: &[ParseType::N(555)], + lhs: 571, + production: &[ParseType::N(565)], }, - // 476 - TypeModifier: Signed; + // 480 - TypeModifier: Signed; Production { - lhs: 561, - production: &[ParseType::N(519)], + lhs: 571, + production: &[ParseType::N(529)], }, - // 477 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; + // 481 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; Production { - lhs: 507, - production: &[ParseType::N(508), ParseType::N(509)], + lhs: 517, + production: &[ParseType::N(518), ParseType::N(519)], }, - // 478 - ScalarTypeGroup: VariableType; + // 482 - ScalarTypeGroup: VariableType; Production { - lhs: 508, - production: &[ParseType::N(580)], + lhs: 518, + production: &[ParseType::N(590)], }, - // 479 - ScalarTypeGroup: FixedType; + // 483 - ScalarTypeGroup: FixedType; Production { - lhs: 508, - production: &[ParseType::N(200)], + lhs: 518, + production: &[ParseType::N(210)], }, - // 480 - ScalarTypeList: TypeModifier ScalarTypeList; + // 484 - ScalarTypeList: TypeModifier ScalarTypeList; Production { - lhs: 509, - production: &[ParseType::N(509), ParseType::N(561)], + lhs: 519, + production: &[ParseType::N(519), ParseType::N(571)], }, - // 481 - ScalarTypeList: ; + // 485 - ScalarTypeList: ; Production { - lhs: 509, + lhs: 519, production: &[], }, - // 482 - ArrayType: ScalarType ArrayTypeOpt /* Option */; + // 486 - ArrayType: ScalarType ArrayTypeOpt /* Option */; Production { - lhs: 26, - production: &[ParseType::N(27), ParseType::N(507)], + lhs: 27, + production: &[ParseType::N(28), ParseType::N(517)], }, - // 483 - ArrayTypeOpt: Array; + // 487 - ArrayTypeOpt: Array; Production { - lhs: 27, - production: &[ParseType::N(24)], + lhs: 28, + production: &[ParseType::N(25)], }, - // 484 - ArrayTypeOpt: ; + // 488 - ArrayTypeOpt: ; Production { - lhs: 27, + lhs: 28, production: &[], }, - // 485 - Statement: LetStatement; + // 489 - Statement: LetStatement; Production { - lhs: 527, - production: &[ParseType::N(342)], + lhs: 537, + production: &[ParseType::N(352)], }, - // 486 - Statement: IdentifierStatement; + // 490 - Statement: IdentifierStatement; Production { - lhs: 527, - production: &[ParseType::N(232)], + lhs: 537, + production: &[ParseType::N(242)], }, - // 487 - Statement: IfStatement; + // 491 - Statement: IfStatement; Production { - lhs: 527, - production: &[ParseType::N(248)], + lhs: 537, + production: &[ParseType::N(258)], }, - // 488 - Statement: IfResetStatement; + // 492 - Statement: IfResetStatement; Production { - lhs: 527, - production: &[ParseType::N(240)], + lhs: 537, + production: &[ParseType::N(250)], }, - // 489 - Statement: ReturnStatement; + // 493 - Statement: ReturnStatement; Production { - lhs: 527, - production: &[ParseType::N(504)], + lhs: 537, + production: &[ParseType::N(514)], }, - // 490 - Statement: BreakStatement; + // 494 - Statement: BreakStatement; Production { - lhs: 527, - production: &[ParseType::N(62)], + lhs: 537, + production: &[ParseType::N(63)], }, - // 491 - Statement: ForStatement; + // 495 - Statement: ForStatement; Production { - lhs: 527, - production: &[ParseType::N(202)], + lhs: 537, + production: &[ParseType::N(212)], }, - // 492 - Statement: CaseStatement; + // 496 - Statement: CaseStatement; Production { - lhs: 527, - production: &[ParseType::N(76)], + lhs: 537, + production: &[ParseType::N(77)], }, - // 493 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 497 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; Production { - lhs: 342, + lhs: 352, production: &[ - ParseType::N(516), - ParseType::N(145), - ParseType::N(133), - ParseType::N(26), - ParseType::N(80), - ParseType::N(231), - ParseType::N(340), + ParseType::N(526), + ParseType::N(155), + ParseType::N(143), + ParseType::N(27), + ParseType::N(81), + ParseType::N(241), + ParseType::N(350), ], }, - // 494 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; + // 498 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; Production { - lhs: 232, - production: &[ParseType::N(516), ParseType::N(233), ParseType::N(172)], + lhs: 242, + production: &[ParseType::N(526), ParseType::N(243), ParseType::N(182)], }, - // 495 - IdentifierStatementGroup: FunctionCall; + // 499 - IdentifierStatementGroup: FunctionCall; Production { - lhs: 233, - production: &[ParseType::N(208)], + lhs: 243, + production: &[ParseType::N(218)], }, - // 496 - IdentifierStatementGroup: Assignment; + // 500 - IdentifierStatementGroup: Assignment; Production { - lhs: 233, - production: &[ParseType::N(35)], + lhs: 243, + production: &[ParseType::N(36)], }, - // 497 - Assignment: AssignmentGroup Expression; + // 501 - Assignment: AssignmentGroup Expression; Production { - lhs: 35, - production: &[ParseType::N(145), ParseType::N(36)], + lhs: 36, + production: &[ParseType::N(155), ParseType::N(37)], }, - // 498 - AssignmentGroup: Equ; + // 502 - AssignmentGroup: Equ; Production { - lhs: 36, - production: &[ParseType::N(133)], + lhs: 37, + production: &[ParseType::N(143)], }, - // 499 - AssignmentGroup: AssignmentOperator; + // 503 - AssignmentGroup: AssignmentOperator; Production { - lhs: 36, - production: &[ParseType::N(37)], + lhs: 37, + production: &[ParseType::N(38)], }, - // 500 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; + // 504 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; Production { - lhs: 248, + lhs: 258, production: &[ - ParseType::N(252), - ParseType::N(250), - ParseType::N(480), - ParseType::N(249), - ParseType::N(331), - ParseType::N(145), - ParseType::N(236), + ParseType::N(262), + ParseType::N(260), + ParseType::N(490), + ParseType::N(259), + ParseType::N(341), + ParseType::N(155), + ParseType::N(246), ], }, - // 501 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; + // 505 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; Production { - lhs: 250, + lhs: 260, production: &[ - ParseType::N(250), - ParseType::N(480), - ParseType::N(251), - ParseType::N(331), - ParseType::N(145), - ParseType::N(236), - ParseType::N(118), + ParseType::N(260), + ParseType::N(490), + ParseType::N(261), + ParseType::N(341), + ParseType::N(155), + ParseType::N(246), + ParseType::N(119), ], }, - // 502 - IfStatementList0List: Statement IfStatementList0List; + // 506 - IfStatementList0List: Statement IfStatementList0List; Production { - lhs: 251, - production: &[ParseType::N(251), ParseType::N(527)], + lhs: 261, + production: &[ParseType::N(261), ParseType::N(537)], }, - // 503 - IfStatementList0List: ; + // 507 - IfStatementList0List: ; Production { - lhs: 251, + lhs: 261, production: &[], }, - // 504 - IfStatementList0: ; + // 508 - IfStatementList0: ; Production { - lhs: 250, + lhs: 260, production: &[], }, - // 505 - IfStatementList: Statement IfStatementList; + // 509 - IfStatementList: Statement IfStatementList; Production { - lhs: 249, - production: &[ParseType::N(249), ParseType::N(527)], + lhs: 259, + production: &[ParseType::N(259), ParseType::N(537)], }, - // 506 - IfStatementList: ; + // 510 - IfStatementList: ; Production { - lhs: 249, + lhs: 259, production: &[], }, - // 507 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; + // 511 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; Production { - lhs: 252, + lhs: 262, production: &[ - ParseType::N(480), - ParseType::N(253), - ParseType::N(331), - ParseType::N(118), + ParseType::N(490), + ParseType::N(263), + ParseType::N(341), + ParseType::N(119), ], }, - // 508 - IfStatementOptList: Statement IfStatementOptList; + // 512 - IfStatementOptList: Statement IfStatementOptList; Production { - lhs: 253, - production: &[ParseType::N(253), ParseType::N(527)], + lhs: 263, + production: &[ParseType::N(263), ParseType::N(537)], }, - // 509 - IfStatementOptList: ; + // 513 - IfStatementOptList: ; Production { - lhs: 253, + lhs: 263, production: &[], }, - // 510 - IfStatementOpt: ; + // 514 - IfStatementOpt: ; Production { - lhs: 252, + lhs: 262, production: &[], }, - // 511 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; + // 515 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; Production { - lhs: 240, + lhs: 250, production: &[ - ParseType::N(244), - ParseType::N(242), - ParseType::N(480), - ParseType::N(241), - ParseType::N(331), - ParseType::N(239), + ParseType::N(254), + ParseType::N(252), + ParseType::N(490), + ParseType::N(251), + ParseType::N(341), + ParseType::N(249), ], }, - // 512 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; + // 516 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; Production { - lhs: 242, + lhs: 252, production: &[ - ParseType::N(242), - ParseType::N(480), - ParseType::N(243), - ParseType::N(331), - ParseType::N(145), - ParseType::N(236), - ParseType::N(118), + ParseType::N(252), + ParseType::N(490), + ParseType::N(253), + ParseType::N(341), + ParseType::N(155), + ParseType::N(246), + ParseType::N(119), ], }, - // 513 - IfResetStatementList0List: Statement IfResetStatementList0List; + // 517 - IfResetStatementList0List: Statement IfResetStatementList0List; Production { - lhs: 243, - production: &[ParseType::N(243), ParseType::N(527)], + lhs: 253, + production: &[ParseType::N(253), ParseType::N(537)], }, - // 514 - IfResetStatementList0List: ; + // 518 - IfResetStatementList0List: ; Production { - lhs: 243, + lhs: 253, production: &[], }, - // 515 - IfResetStatementList0: ; + // 519 - IfResetStatementList0: ; Production { - lhs: 242, + lhs: 252, production: &[], }, - // 516 - IfResetStatementList: Statement IfResetStatementList; + // 520 - IfResetStatementList: Statement IfResetStatementList; Production { - lhs: 241, - production: &[ParseType::N(241), ParseType::N(527)], + lhs: 251, + production: &[ParseType::N(251), ParseType::N(537)], }, - // 517 - IfResetStatementList: ; + // 521 - IfResetStatementList: ; Production { - lhs: 241, + lhs: 251, production: &[], }, - // 518 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; + // 522 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; Production { - lhs: 244, + lhs: 254, production: &[ - ParseType::N(480), - ParseType::N(245), - ParseType::N(331), - ParseType::N(118), + ParseType::N(490), + ParseType::N(255), + ParseType::N(341), + ParseType::N(119), ], }, - // 519 - IfResetStatementOptList: Statement IfResetStatementOptList; + // 523 - IfResetStatementOptList: Statement IfResetStatementOptList; Production { - lhs: 245, - production: &[ParseType::N(245), ParseType::N(527)], + lhs: 255, + production: &[ParseType::N(255), ParseType::N(537)], }, - // 520 - IfResetStatementOptList: ; + // 524 - IfResetStatementOptList: ; Production { - lhs: 245, + lhs: 255, production: &[], }, - // 521 - IfResetStatementOpt: ; + // 525 - IfResetStatementOpt: ; Production { - lhs: 244, + lhs: 254, production: &[], }, - // 522 - ReturnStatement: Return Expression Semicolon; + // 526 - ReturnStatement: Return Expression Semicolon; Production { - lhs: 504, - production: &[ParseType::N(516), ParseType::N(145), ParseType::N(503)], + lhs: 514, + production: &[ParseType::N(526), ParseType::N(155), ParseType::N(513)], }, - // 523 - BreakStatement: Break Semicolon; + // 527 - BreakStatement: Break Semicolon; Production { - lhs: 62, - production: &[ParseType::N(516), ParseType::N(61)], + lhs: 63, + production: &[ParseType::N(526), ParseType::N(62)], }, - // 524 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; + // 528 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; Production { - lhs: 202, + lhs: 212, production: &[ - ParseType::N(480), - ParseType::N(203), - ParseType::N(331), - ParseType::N(204), - ParseType::N(489), - ParseType::N(261), - ParseType::N(507), - ParseType::N(80), - ParseType::N(231), - ParseType::N(201), + ParseType::N(490), + ParseType::N(213), + ParseType::N(341), + ParseType::N(214), + ParseType::N(499), + ParseType::N(271), + ParseType::N(517), + ParseType::N(81), + ParseType::N(241), + ParseType::N(211), ], }, - // 525 - ForStatementList: Statement ForStatementList; + // 529 - ForStatementList: Statement ForStatementList; Production { - lhs: 203, - production: &[ParseType::N(203), ParseType::N(527)], + lhs: 213, + production: &[ParseType::N(213), ParseType::N(537)], }, - // 526 - ForStatementList: ; + // 530 - ForStatementList: ; Production { - lhs: 203, + lhs: 213, production: &[], }, - // 527 - ForStatementOpt: Step AssignmentOperator Expression; + // 531 - ForStatementOpt: Step AssignmentOperator Expression; Production { - lhs: 204, - production: &[ParseType::N(145), ParseType::N(37), ParseType::N(528)], + lhs: 214, + production: &[ParseType::N(155), ParseType::N(38), ParseType::N(538)], }, - // 528 - ForStatementOpt: ; + // 532 - ForStatementOpt: ; Production { - lhs: 204, + lhs: 214, production: &[], }, - // 529 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; + // 533 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; Production { - lhs: 76, + lhs: 77, production: &[ - ParseType::N(480), - ParseType::N(77), - ParseType::N(331), - ParseType::N(145), - ParseType::N(65), + ParseType::N(490), + ParseType::N(78), + ParseType::N(341), + ParseType::N(155), + ParseType::N(66), ], }, - // 530 - CaseStatementList: CaseItem CaseStatementList; + // 534 - CaseStatementList: CaseItem CaseStatementList; Production { - lhs: 77, - production: &[ParseType::N(77), ParseType::N(71)], + lhs: 78, + production: &[ParseType::N(78), ParseType::N(72)], }, - // 531 - CaseStatementList: ; + // 535 - CaseStatementList: ; Production { - lhs: 77, + lhs: 78, production: &[], }, - // 532 - CaseItem: CaseItemGroup Colon CaseItemGroup0; + // 536 - CaseItem: CaseItemGroup Colon CaseItemGroup0; Production { - lhs: 71, - production: &[ParseType::N(73), ParseType::N(80), ParseType::N(72)], + lhs: 72, + production: &[ParseType::N(74), ParseType::N(81), ParseType::N(73)], }, - // 533 - CaseItemGroup0: Statement; + // 537 - CaseItemGroup0: Statement; Production { - lhs: 73, - production: &[ParseType::N(527)], + lhs: 74, + production: &[ParseType::N(537)], }, - // 534 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; + // 538 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; Production { - lhs: 73, - production: &[ParseType::N(480), ParseType::N(74), ParseType::N(331)], + lhs: 74, + production: &[ParseType::N(490), ParseType::N(75), ParseType::N(341)], }, - // 535 - CaseItemGroup0List: Statement CaseItemGroup0List; + // 539 - CaseItemGroup0List: Statement CaseItemGroup0List; Production { - lhs: 74, - production: &[ParseType::N(74), ParseType::N(527)], + lhs: 75, + production: &[ParseType::N(75), ParseType::N(537)], }, - // 536 - CaseItemGroup0List: ; + // 540 - CaseItemGroup0List: ; Production { - lhs: 74, + lhs: 75, production: &[], }, - // 537 - CaseItemGroup: Expression CaseItemGroupList /* Vec */; + // 541 - CaseItemGroup: Expression CaseItemGroupList /* Vec */; Production { - lhs: 72, - production: &[ParseType::N(75), ParseType::N(145)], + lhs: 73, + production: &[ParseType::N(76), ParseType::N(155)], }, - // 538 - CaseItemGroupList: Comma Expression CaseItemGroupList; + // 542 - CaseItemGroupList: Comma Expression CaseItemGroupList; Production { - lhs: 75, - production: &[ParseType::N(75), ParseType::N(145), ParseType::N(86)], + lhs: 76, + production: &[ParseType::N(76), ParseType::N(155), ParseType::N(87)], }, - // 539 - CaseItemGroupList: ; + // 543 - CaseItemGroupList: ; Production { - lhs: 75, + lhs: 76, production: &[], }, - // 540 - CaseItemGroup: Defaul; + // 544 - CaseItemGroup: Defaul; Production { - lhs: 72, - production: &[ParseType::N(97)], + lhs: 73, + production: &[ParseType::N(98)], }, - // 541 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; + // 545 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; Production { - lhs: 46, + lhs: 47, production: &[ - ParseType::N(483), - ParseType::N(51), - ParseType::N(231), - ParseType::N(334), - ParseType::N(218), + ParseType::N(493), + ParseType::N(52), + ParseType::N(241), + ParseType::N(344), + ParseType::N(228), ], }, - // 542 - AttributeOpt: LParen AttributeList RParen; + // 546 - AttributeOpt: LParen AttributeList RParen; Production { - lhs: 51, - production: &[ParseType::N(486), ParseType::N(48), ParseType::N(337)], + lhs: 52, + production: &[ParseType::N(496), ParseType::N(49), ParseType::N(347)], }, - // 543 - AttributeOpt: ; + // 547 - AttributeOpt: ; Production { - lhs: 51, + lhs: 52, production: &[], }, - // 544 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; + // 548 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; Production { - lhs: 48, - production: &[ParseType::N(50), ParseType::N(49), ParseType::N(47)], + lhs: 49, + production: &[ParseType::N(51), ParseType::N(50), ParseType::N(48)], }, - // 545 - AttributeListList: Comma AttributeItem AttributeListList; + // 549 - AttributeListList: Comma AttributeItem AttributeListList; Production { - lhs: 49, - production: &[ParseType::N(49), ParseType::N(47), ParseType::N(86)], + lhs: 50, + production: &[ParseType::N(50), ParseType::N(48), ParseType::N(87)], }, - // 546 - AttributeListList: ; + // 550 - AttributeListList: ; Production { - lhs: 49, + lhs: 50, production: &[], }, - // 547 - AttributeListOpt: Comma; + // 551 - AttributeListOpt: Comma; Production { - lhs: 50, - production: &[ParseType::N(86)], + lhs: 51, + production: &[ParseType::N(87)], }, - // 548 - AttributeListOpt: ; + // 552 - AttributeListOpt: ; Production { - lhs: 50, + lhs: 51, production: &[], }, - // 549 - AttributeItem: Identifier; + // 553 - AttributeItem: Identifier; Production { - lhs: 47, - production: &[ParseType::N(231)], + lhs: 48, + production: &[ParseType::N(241)], }, - // 550 - AttributeItem: StringLiteral; + // 554 - AttributeItem: StringLiteral; Production { - lhs: 47, - production: &[ParseType::N(532)], + lhs: 48, + production: &[ParseType::N(542)], }, - // 551 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 555 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; Production { - lhs: 341, + lhs: 351, production: &[ - ParseType::N(516), - ParseType::N(145), - ParseType::N(133), - ParseType::N(26), - ParseType::N(80), - ParseType::N(231), - ParseType::N(340), + ParseType::N(526), + ParseType::N(155), + ParseType::N(143), + ParseType::N(27), + ParseType::N(81), + ParseType::N(241), + ParseType::N(350), ], }, - // 552 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; + // 556 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; Production { - lhs: 577, + lhs: 587, production: &[ - ParseType::N(516), - ParseType::N(26), - ParseType::N(80), - ParseType::N(231), - ParseType::N(576), + ParseType::N(526), + ParseType::N(27), + ParseType::N(81), + ParseType::N(241), + ParseType::N(586), ], }, - // 553 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; + // 557 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; Production { - lhs: 346, + lhs: 356, production: &[ - ParseType::N(516), - ParseType::N(347), - ParseType::N(80), - ParseType::N(231), - ParseType::N(345), + ParseType::N(526), + ParseType::N(357), + ParseType::N(81), + ParseType::N(241), + ParseType::N(355), ], }, - // 554 - LocalDeclarationGroup: ArrayType Equ Expression; + // 558 - LocalDeclarationGroup: ArrayType Equ Expression; Production { - lhs: 347, - production: &[ParseType::N(145), ParseType::N(133), ParseType::N(26)], + lhs: 357, + production: &[ParseType::N(155), ParseType::N(143), ParseType::N(27)], }, - // 555 - LocalDeclarationGroup: Type Equ TypeExpression; + // 559 - LocalDeclarationGroup: Type Equ TypeExpression; Production { - lhs: 347, - production: &[ParseType::N(560), ParseType::N(133), ParseType::N(558)], + lhs: 357, + production: &[ParseType::N(570), ParseType::N(143), ParseType::N(568)], }, - // 556 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; + // 560 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; Production { - lhs: 559, + lhs: 569, production: &[ - ParseType::N(516), - ParseType::N(26), - ParseType::N(133), - ParseType::N(231), - ParseType::N(558), + ParseType::N(526), + ParseType::N(27), + ParseType::N(143), + ParseType::N(241), + ParseType::N(568), ], }, - // 557 - AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; + // 561 - AlwaysFfDeclaration: AlwaysFf LParen AlwaysFfClock AlwaysFfDeclarationOpt /* Option */ RParen LBrace AlwaysFfDeclarationList /* Vec */ RBrace; Production { lhs: 12, production: &[ - ParseType::N(480), + ParseType::N(490), ParseType::N(13), - ParseType::N(331), - ParseType::N(486), + ParseType::N(341), + ParseType::N(496), ParseType::N(14), ParseType::N(9), - ParseType::N(337), + ParseType::N(347), ParseType::N(8), ], }, - // 558 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; + // 562 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; Production { lhs: 13, - production: &[ParseType::N(13), ParseType::N(527)], + production: &[ParseType::N(13), ParseType::N(537)], }, - // 559 - AlwaysFfDeclarationList: ; + // 563 - AlwaysFfDeclarationList: ; Production { lhs: 13, production: &[], }, - // 560 - AlwaysFfDeclarationOpt: Comma AlwaysFfReset; + // 564 - AlwaysFfDeclarationOpt: Comma AlwaysFfReset; Production { lhs: 14, - production: &[ParseType::N(15), ParseType::N(86)], + production: &[ParseType::N(15), ParseType::N(87)], }, - // 561 - AlwaysFfDeclarationOpt: ; + // 565 - AlwaysFfDeclarationOpt: ; Production { lhs: 14, production: &[], }, - // 562 - AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; + // 566 - AlwaysFfClock: AlwaysFfClockOpt /* Option */ HierarchicalIdentifier; Production { lhs: 9, - production: &[ParseType::N(221), ParseType::N(10)], + production: &[ParseType::N(231), ParseType::N(10)], }, - // 563 - AlwaysFfClockOpt: AlwaysFfClockOptGroup; + // 567 - AlwaysFfClockOpt: AlwaysFfClockOptGroup; Production { lhs: 10, production: &[ParseType::N(11)], }, - // 564 - AlwaysFfClockOptGroup: Posedge; + // 568 - AlwaysFfClockOptGroup: Posedge; Production { lhs: 11, - production: &[ParseType::N(471)], + production: &[ParseType::N(481)], }, - // 565 - AlwaysFfClockOptGroup: Negedge; + // 569 - AlwaysFfClockOptGroup: Negedge; Production { lhs: 11, - production: &[ParseType::N(399)], + production: &[ParseType::N(409)], }, - // 566 - AlwaysFfClockOpt: ; + // 570 - AlwaysFfClockOpt: ; Production { lhs: 10, production: &[], }, - // 567 - AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; + // 571 - AlwaysFfReset: AlwaysFfResetOpt /* Option */ HierarchicalIdentifier; Production { lhs: 15, - production: &[ParseType::N(221), ParseType::N(16)], + production: &[ParseType::N(231), ParseType::N(16)], }, - // 568 - AlwaysFfResetOpt: AlwaysFfResetOptGroup; + // 572 - AlwaysFfResetOpt: AlwaysFfResetOptGroup; Production { lhs: 16, production: &[ParseType::N(17)], }, - // 569 - AlwaysFfResetOptGroup: AsyncLow; + // 573 - AlwaysFfResetOptGroup: AsyncLow; Production { lhs: 17, - production: &[ParseType::N(43)], + production: &[ParseType::N(44)], }, - // 570 - AlwaysFfResetOptGroup: AsyncHigh; + // 574 - AlwaysFfResetOptGroup: AsyncHigh; Production { lhs: 17, - production: &[ParseType::N(40)], + production: &[ParseType::N(41)], }, - // 571 - AlwaysFfResetOptGroup: SyncLow; + // 575 - AlwaysFfResetOptGroup: SyncLow; Production { lhs: 17, - production: &[ParseType::N(552)], + production: &[ParseType::N(562)], }, - // 572 - AlwaysFfResetOptGroup: SyncHigh; + // 576 - AlwaysFfResetOptGroup: SyncHigh; Production { lhs: 17, - production: &[ParseType::N(549)], + production: &[ParseType::N(559)], }, - // 573 - AlwaysFfResetOpt: ; + // 577 - AlwaysFfResetOpt: ; Production { lhs: 16, production: &[], }, - // 574 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; + // 578 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; Production { lhs: 4, production: &[ - ParseType::N(480), + ParseType::N(490), ParseType::N(5), - ParseType::N(331), + ParseType::N(341), ParseType::N(3), ], }, - // 575 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; + // 579 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; Production { lhs: 5, - production: &[ParseType::N(5), ParseType::N(527)], + production: &[ParseType::N(5), ParseType::N(537)], }, - // 576 - AlwaysCombDeclarationList: ; + // 580 - AlwaysCombDeclarationList: ; Production { lhs: 5, production: &[], }, - // 577 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; + // 581 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; Production { - lhs: 32, + lhs: 33, production: &[ - ParseType::N(516), - ParseType::N(145), - ParseType::N(133), - ParseType::N(221), - ParseType::N(31), + ParseType::N(526), + ParseType::N(155), + ParseType::N(143), + ParseType::N(231), + ParseType::N(32), ], }, - // 578 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; + // 582 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; Production { - lhs: 363, + lhs: 373, production: &[ - ParseType::N(480), - ParseType::N(368), - ParseType::N(331), - ParseType::N(231), - ParseType::N(362), + ParseType::N(490), + ParseType::N(378), + ParseType::N(341), + ParseType::N(241), + ParseType::N(372), ], }, - // 579 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; + // 583 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; Production { - lhs: 368, - production: &[ParseType::N(370), ParseType::N(369), ParseType::N(364)], + lhs: 378, + production: &[ParseType::N(380), ParseType::N(379), ParseType::N(374)], }, - // 580 - ModportListList: Comma ModportGroup ModportListList; + // 584 - ModportListList: Comma ModportGroup ModportListList; Production { - lhs: 369, - production: &[ParseType::N(369), ParseType::N(364), ParseType::N(86)], + lhs: 379, + production: &[ParseType::N(379), ParseType::N(374), ParseType::N(87)], }, - // 581 - ModportListList: ; + // 585 - ModportListList: ; Production { - lhs: 369, + lhs: 379, production: &[], }, - // 582 - ModportListOpt: Comma; + // 586 - ModportListOpt: Comma; Production { - lhs: 370, - production: &[ParseType::N(86)], + lhs: 380, + production: &[ParseType::N(87)], }, - // 583 - ModportListOpt: ; + // 587 - ModportListOpt: ; Production { - lhs: 370, + lhs: 380, production: &[], }, - // 584 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; + // 588 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; Production { - lhs: 364, - production: &[ParseType::N(365), ParseType::N(366)], + lhs: 374, + production: &[ParseType::N(375), ParseType::N(376)], }, - // 585 - ModportGroupGroup: LBrace ModportList RBrace; + // 589 - ModportGroupGroup: LBrace ModportList RBrace; Production { - lhs: 365, - production: &[ParseType::N(480), ParseType::N(368), ParseType::N(331)], + lhs: 375, + production: &[ParseType::N(490), ParseType::N(378), ParseType::N(341)], }, - // 586 - ModportGroupGroup: ModportItem; + // 590 - ModportGroupGroup: ModportItem; Production { - lhs: 365, - production: &[ParseType::N(367)], + lhs: 375, + production: &[ParseType::N(377)], }, - // 587 - ModportGroupList: Attribute ModportGroupList; + // 591 - ModportGroupList: Attribute ModportGroupList; Production { - lhs: 366, - production: &[ParseType::N(366), ParseType::N(46)], + lhs: 376, + production: &[ParseType::N(376), ParseType::N(47)], }, - // 588 - ModportGroupList: ; + // 592 - ModportGroupList: ; Production { - lhs: 366, + lhs: 376, production: &[], }, - // 589 - ModportItem: Identifier Colon Direction; + // 593 - ModportItem: Identifier Colon Direction; Production { - lhs: 367, - production: &[ParseType::N(105), ParseType::N(80), ParseType::N(231)], + lhs: 377, + production: &[ParseType::N(106), ParseType::N(81), ParseType::N(241)], }, - // 590 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; + // 594 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; Production { - lhs: 122, + lhs: 132, production: &[ - ParseType::N(480), - ParseType::N(128), - ParseType::N(331), - ParseType::N(507), - ParseType::N(80), - ParseType::N(231), - ParseType::N(121), + ParseType::N(490), + ParseType::N(138), + ParseType::N(341), + ParseType::N(517), + ParseType::N(81), + ParseType::N(241), + ParseType::N(131), ], }, - // 591 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; + // 595 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; Production { - lhs: 128, - production: &[ParseType::N(130), ParseType::N(129), ParseType::N(123)], + lhs: 138, + production: &[ParseType::N(140), ParseType::N(139), ParseType::N(133)], }, - // 592 - EnumListList: Comma EnumGroup EnumListList; + // 596 - EnumListList: Comma EnumGroup EnumListList; Production { - lhs: 129, - production: &[ParseType::N(129), ParseType::N(123), ParseType::N(86)], + lhs: 139, + production: &[ParseType::N(139), ParseType::N(133), ParseType::N(87)], }, - // 593 - EnumListList: ; + // 597 - EnumListList: ; Production { - lhs: 129, + lhs: 139, production: &[], }, - // 594 - EnumListOpt: Comma; + // 598 - EnumListOpt: Comma; Production { - lhs: 130, - production: &[ParseType::N(86)], + lhs: 140, + production: &[ParseType::N(87)], }, - // 595 - EnumListOpt: ; + // 599 - EnumListOpt: ; Production { - lhs: 130, + lhs: 140, production: &[], }, - // 596 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; + // 600 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; Production { - lhs: 123, - production: &[ParseType::N(124), ParseType::N(125)], + lhs: 133, + production: &[ParseType::N(134), ParseType::N(135)], }, - // 597 - EnumGroupGroup: LBrace EnumList RBrace; + // 601 - EnumGroupGroup: LBrace EnumList RBrace; Production { - lhs: 124, - production: &[ParseType::N(480), ParseType::N(128), ParseType::N(331)], + lhs: 134, + production: &[ParseType::N(490), ParseType::N(138), ParseType::N(341)], }, - // 598 - EnumGroupGroup: EnumItem; + // 602 - EnumGroupGroup: EnumItem; Production { - lhs: 124, - production: &[ParseType::N(126)], + lhs: 134, + production: &[ParseType::N(136)], }, - // 599 - EnumGroupList: Attribute EnumGroupList; + // 603 - EnumGroupList: Attribute EnumGroupList; Production { - lhs: 125, - production: &[ParseType::N(125), ParseType::N(46)], + lhs: 135, + production: &[ParseType::N(135), ParseType::N(47)], }, - // 600 - EnumGroupList: ; + // 604 - EnumGroupList: ; Production { - lhs: 125, + lhs: 135, production: &[], }, - // 601 - EnumItem: Identifier EnumItemOpt /* Option */; + // 605 - EnumItem: Identifier EnumItemOpt /* Option */; Production { - lhs: 126, - production: &[ParseType::N(127), ParseType::N(231)], + lhs: 136, + production: &[ParseType::N(137), ParseType::N(241)], }, - // 602 - EnumItemOpt: Equ Expression; + // 606 - EnumItemOpt: Equ Expression; Production { - lhs: 127, - production: &[ParseType::N(145), ParseType::N(133)], + lhs: 137, + production: &[ParseType::N(155), ParseType::N(143)], }, - // 603 - EnumItemOpt: ; + // 607 - EnumItemOpt: ; Production { - lhs: 127, + lhs: 137, production: &[], }, - // 604 - StructUnion: Struct; + // 608 - StructUnion: Struct; Production { - lhs: 540, - production: &[ParseType::N(537)], + lhs: 550, + production: &[ParseType::N(547)], }, - // 605 - StructUnion: Union; + // 609 - StructUnion: Union; Production { - lhs: 540, - production: &[ParseType::N(573)], + lhs: 550, + production: &[ParseType::N(583)], }, - // 606 - StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; + // 610 - StructUnionDeclaration: StructUnion Identifier LBrace StructUnionList RBrace; Production { - lhs: 541, + lhs: 551, production: &[ - ParseType::N(480), - ParseType::N(546), - ParseType::N(331), - ParseType::N(231), - ParseType::N(540), + ParseType::N(490), + ParseType::N(556), + ParseType::N(341), + ParseType::N(241), + ParseType::N(550), ], }, - // 607 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; + // 611 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; Production { - lhs: 546, - production: &[ParseType::N(548), ParseType::N(547), ParseType::N(542)], + lhs: 556, + production: &[ParseType::N(558), ParseType::N(557), ParseType::N(552)], }, - // 608 - StructUnionListList: Comma StructUnionGroup StructUnionListList; + // 612 - StructUnionListList: Comma StructUnionGroup StructUnionListList; Production { - lhs: 547, - production: &[ParseType::N(547), ParseType::N(542), ParseType::N(86)], + lhs: 557, + production: &[ParseType::N(557), ParseType::N(552), ParseType::N(87)], }, - // 609 - StructUnionListList: ; + // 613 - StructUnionListList: ; Production { - lhs: 547, + lhs: 557, production: &[], }, - // 610 - StructUnionListOpt: Comma; + // 614 - StructUnionListOpt: Comma; Production { - lhs: 548, - production: &[ParseType::N(86)], + lhs: 558, + production: &[ParseType::N(87)], }, - // 611 - StructUnionListOpt: ; + // 615 - StructUnionListOpt: ; Production { - lhs: 548, + lhs: 558, production: &[], }, - // 612 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; + // 616 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; Production { - lhs: 542, - production: &[ParseType::N(543), ParseType::N(544)], + lhs: 552, + production: &[ParseType::N(553), ParseType::N(554)], }, - // 613 - StructUnionGroupGroup: LBrace StructUnionList RBrace; + // 617 - StructUnionGroupGroup: LBrace StructUnionList RBrace; Production { - lhs: 543, - production: &[ParseType::N(480), ParseType::N(546), ParseType::N(331)], + lhs: 553, + production: &[ParseType::N(490), ParseType::N(556), ParseType::N(341)], }, - // 614 - StructUnionGroupGroup: StructUnionItem; + // 618 - StructUnionGroupGroup: StructUnionItem; Production { - lhs: 543, - production: &[ParseType::N(545)], + lhs: 553, + production: &[ParseType::N(555)], }, - // 615 - StructUnionGroupList: Attribute StructUnionGroupList; + // 619 - StructUnionGroupList: Attribute StructUnionGroupList; Production { - lhs: 544, - production: &[ParseType::N(544), ParseType::N(46)], + lhs: 554, + production: &[ParseType::N(554), ParseType::N(47)], }, - // 616 - StructUnionGroupList: ; + // 620 - StructUnionGroupList: ; Production { - lhs: 544, + lhs: 554, production: &[], }, - // 617 - StructUnionItem: Identifier Colon ScalarType; + // 621 - StructUnionItem: Identifier Colon ScalarType; Production { - lhs: 545, - production: &[ParseType::N(507), ParseType::N(80), ParseType::N(231)], + lhs: 555, + production: &[ParseType::N(517), ParseType::N(81), ParseType::N(241)], }, - // 618 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; + // 622 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; Production { - lhs: 265, + lhs: 275, production: &[ - ParseType::N(480), - ParseType::N(266), - ParseType::N(331), - ParseType::N(264), + ParseType::N(490), + ParseType::N(276), + ParseType::N(341), + ParseType::N(274), ], }, - // 619 - InitialDeclarationList: Statement InitialDeclarationList; + // 623 - InitialDeclarationList: Statement InitialDeclarationList; Production { - lhs: 266, - production: &[ParseType::N(266), ParseType::N(527)], + lhs: 276, + production: &[ParseType::N(276), ParseType::N(537)], }, - // 620 - InitialDeclarationList: ; + // 624 - InitialDeclarationList: ; Production { - lhs: 266, + lhs: 276, production: &[], }, - // 621 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; + // 625 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; Production { - lhs: 193, + lhs: 203, production: &[ - ParseType::N(480), - ParseType::N(194), - ParseType::N(331), - ParseType::N(192), + ParseType::N(490), + ParseType::N(204), + ParseType::N(341), + ParseType::N(202), ], }, - // 622 - FinalDeclarationList: Statement FinalDeclarationList; + // 626 - FinalDeclarationList: Statement FinalDeclarationList; Production { - lhs: 194, - production: &[ParseType::N(194), ParseType::N(527)], + lhs: 204, + production: &[ParseType::N(204), ParseType::N(537)], }, - // 623 - FinalDeclarationList: ; + // 627 - FinalDeclarationList: ; Production { - lhs: 194, + lhs: 204, production: &[], }, - // 624 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; + // 628 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; Production { - lhs: 280, + lhs: 290, production: &[ - ParseType::N(516), - ParseType::N(283), - ParseType::N(282), - ParseType::N(281), - ParseType::N(510), - ParseType::N(80), - ParseType::N(231), - ParseType::N(279), + ParseType::N(526), + ParseType::N(293), + ParseType::N(292), + ParseType::N(291), + ParseType::N(520), + ParseType::N(81), + ParseType::N(241), + ParseType::N(289), ], }, - // 625 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; + // 629 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; Production { - lhs: 283, - production: &[ParseType::N(486), ParseType::N(284), ParseType::N(337)], + lhs: 293, + production: &[ParseType::N(496), ParseType::N(294), ParseType::N(347)], }, - // 626 - InstDeclarationOpt2: InstPortList; + // 630 - InstDeclarationOpt2: InstPortList; Production { - lhs: 284, - production: &[ParseType::N(300)], + lhs: 294, + production: &[ParseType::N(310)], }, - // 627 - InstDeclarationOpt2: ; + // 631 - InstDeclarationOpt2: ; Production { - lhs: 284, + lhs: 294, production: &[], }, - // 628 - InstDeclarationOpt1: ; + // 632 - InstDeclarationOpt1: ; Production { - lhs: 283, + lhs: 293, production: &[], }, - // 629 - InstDeclarationOpt0: InstParameter; + // 633 - InstDeclarationOpt0: InstParameter; Production { - lhs: 282, - production: &[ParseType::N(285)], + lhs: 292, + production: &[ParseType::N(295)], }, - // 630 - InstDeclarationOpt0: ; + // 634 - InstDeclarationOpt0: ; Production { - lhs: 282, + lhs: 292, production: &[], }, - // 631 - InstDeclarationOpt: Array; + // 635 - InstDeclarationOpt: Array; Production { - lhs: 281, - production: &[ParseType::N(24)], + lhs: 291, + production: &[ParseType::N(25)], }, - // 632 - InstDeclarationOpt: ; + // 636 - InstDeclarationOpt: ; Production { - lhs: 281, + lhs: 291, production: &[], }, - // 633 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; + // 637 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; Production { - lhs: 285, + lhs: 295, production: &[ - ParseType::N(486), - ParseType::N(294), - ParseType::N(337), - ParseType::N(218), + ParseType::N(496), + ParseType::N(304), + ParseType::N(347), + ParseType::N(228), ], }, - // 634 - InstParameterOpt: InstParameterList; + // 638 - InstParameterOpt: InstParameterList; Production { - lhs: 294, - production: &[ParseType::N(291)], + lhs: 304, + production: &[ParseType::N(301)], }, - // 635 - InstParameterOpt: ; + // 639 - InstParameterOpt: ; Production { - lhs: 294, + lhs: 304, production: &[], }, - // 636 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; + // 640 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; Production { - lhs: 291, - production: &[ParseType::N(293), ParseType::N(292), ParseType::N(286)], + lhs: 301, + production: &[ParseType::N(303), ParseType::N(302), ParseType::N(296)], }, - // 637 - InstParameterListList: Comma InstParameterGroup InstParameterListList; + // 641 - InstParameterListList: Comma InstParameterGroup InstParameterListList; Production { - lhs: 292, - production: &[ParseType::N(292), ParseType::N(286), ParseType::N(86)], + lhs: 302, + production: &[ParseType::N(302), ParseType::N(296), ParseType::N(87)], }, - // 638 - InstParameterListList: ; + // 642 - InstParameterListList: ; Production { - lhs: 292, + lhs: 302, production: &[], }, - // 639 - InstParameterListOpt: Comma; + // 643 - InstParameterListOpt: Comma; Production { - lhs: 293, - production: &[ParseType::N(86)], + lhs: 303, + production: &[ParseType::N(87)], }, - // 640 - InstParameterListOpt: ; + // 644 - InstParameterListOpt: ; Production { - lhs: 293, + lhs: 303, production: &[], }, - // 641 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; + // 645 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; Production { - lhs: 286, - production: &[ParseType::N(287), ParseType::N(288)], + lhs: 296, + production: &[ParseType::N(297), ParseType::N(298)], }, - // 642 - InstParameterGroupGroup: LBrace InstParameterList RBrace; + // 646 - InstParameterGroupGroup: LBrace InstParameterList RBrace; Production { - lhs: 287, - production: &[ParseType::N(480), ParseType::N(291), ParseType::N(331)], + lhs: 297, + production: &[ParseType::N(490), ParseType::N(301), ParseType::N(341)], }, - // 643 - InstParameterGroupGroup: InstParameterItem; + // 647 - InstParameterGroupGroup: InstParameterItem; Production { - lhs: 287, - production: &[ParseType::N(289)], + lhs: 297, + production: &[ParseType::N(299)], }, - // 644 - InstParameterGroupList: Attribute InstParameterGroupList; + // 648 - InstParameterGroupList: Attribute InstParameterGroupList; Production { - lhs: 288, - production: &[ParseType::N(288), ParseType::N(46)], + lhs: 298, + production: &[ParseType::N(298), ParseType::N(47)], }, - // 645 - InstParameterGroupList: ; + // 649 - InstParameterGroupList: ; Production { - lhs: 288, + lhs: 298, production: &[], }, - // 646 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; + // 650 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; Production { - lhs: 289, - production: &[ParseType::N(290), ParseType::N(231)], + lhs: 299, + production: &[ParseType::N(300), ParseType::N(241)], }, - // 647 - InstParameterItemOpt: Colon Expression; + // 651 - InstParameterItemOpt: Colon Expression; Production { - lhs: 290, - production: &[ParseType::N(145), ParseType::N(80)], + lhs: 300, + production: &[ParseType::N(155), ParseType::N(81)], }, - // 648 - InstParameterItemOpt: ; + // 652 - InstParameterItemOpt: ; Production { - lhs: 290, + lhs: 300, production: &[], }, - // 649 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; + // 653 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; Production { - lhs: 300, - production: &[ParseType::N(302), ParseType::N(301), ParseType::N(295)], + lhs: 310, + production: &[ParseType::N(312), ParseType::N(311), ParseType::N(305)], }, - // 650 - InstPortListList: Comma InstPortGroup InstPortListList; + // 654 - InstPortListList: Comma InstPortGroup InstPortListList; Production { - lhs: 301, - production: &[ParseType::N(301), ParseType::N(295), ParseType::N(86)], + lhs: 311, + production: &[ParseType::N(311), ParseType::N(305), ParseType::N(87)], }, - // 651 - InstPortListList: ; + // 655 - InstPortListList: ; Production { - lhs: 301, + lhs: 311, production: &[], }, - // 652 - InstPortListOpt: Comma; + // 656 - InstPortListOpt: Comma; Production { - lhs: 302, - production: &[ParseType::N(86)], + lhs: 312, + production: &[ParseType::N(87)], }, - // 653 - InstPortListOpt: ; + // 657 - InstPortListOpt: ; Production { - lhs: 302, + lhs: 312, production: &[], }, - // 654 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; + // 658 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; Production { - lhs: 295, - production: &[ParseType::N(296), ParseType::N(297)], + lhs: 305, + production: &[ParseType::N(306), ParseType::N(307)], }, - // 655 - InstPortGroupGroup: LBrace InstPortList RBrace; + // 659 - InstPortGroupGroup: LBrace InstPortList RBrace; Production { - lhs: 296, - production: &[ParseType::N(480), ParseType::N(300), ParseType::N(331)], + lhs: 306, + production: &[ParseType::N(490), ParseType::N(310), ParseType::N(341)], }, - // 656 - InstPortGroupGroup: InstPortItem; + // 660 - InstPortGroupGroup: InstPortItem; Production { - lhs: 296, - production: &[ParseType::N(298)], + lhs: 306, + production: &[ParseType::N(308)], }, - // 657 - InstPortGroupList: Attribute InstPortGroupList; + // 661 - InstPortGroupList: Attribute InstPortGroupList; Production { - lhs: 297, - production: &[ParseType::N(297), ParseType::N(46)], + lhs: 307, + production: &[ParseType::N(307), ParseType::N(47)], }, - // 658 - InstPortGroupList: ; + // 662 - InstPortGroupList: ; Production { - lhs: 297, + lhs: 307, production: &[], }, - // 659 - InstPortItem: Identifier InstPortItemOpt /* Option */; + // 663 - InstPortItem: Identifier InstPortItemOpt /* Option */; Production { - lhs: 298, - production: &[ParseType::N(299), ParseType::N(231)], + lhs: 308, + production: &[ParseType::N(309), ParseType::N(241)], }, - // 660 - InstPortItemOpt: Colon Expression; + // 664 - InstPortItemOpt: Colon Expression; Production { - lhs: 299, - production: &[ParseType::N(145), ParseType::N(80)], + lhs: 309, + production: &[ParseType::N(155), ParseType::N(81)], }, - // 661 - InstPortItemOpt: ; + // 665 - InstPortItemOpt: ; Production { - lhs: 299, + lhs: 309, production: &[], }, - // 662 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; + // 666 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; Production { - lhs: 587, + lhs: 597, production: &[ - ParseType::N(486), - ParseType::N(597), - ParseType::N(337), - ParseType::N(218), + ParseType::N(496), + ParseType::N(607), + ParseType::N(347), + ParseType::N(228), ], }, - // 663 - WithParameterOpt: WithParameterList; + // 667 - WithParameterOpt: WithParameterList; Production { - lhs: 597, - production: &[ParseType::N(594)], + lhs: 607, + production: &[ParseType::N(604)], }, - // 664 - WithParameterOpt: ; + // 668 - WithParameterOpt: ; Production { - lhs: 597, + lhs: 607, production: &[], }, - // 665 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; + // 669 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; Production { - lhs: 594, - production: &[ParseType::N(596), ParseType::N(595), ParseType::N(588)], + lhs: 604, + production: &[ParseType::N(606), ParseType::N(605), ParseType::N(598)], }, - // 666 - WithParameterListList: Comma WithParameterGroup WithParameterListList; + // 670 - WithParameterListList: Comma WithParameterGroup WithParameterListList; Production { - lhs: 595, - production: &[ParseType::N(595), ParseType::N(588), ParseType::N(86)], + lhs: 605, + production: &[ParseType::N(605), ParseType::N(598), ParseType::N(87)], }, - // 667 - WithParameterListList: ; + // 671 - WithParameterListList: ; Production { - lhs: 595, + lhs: 605, production: &[], }, - // 668 - WithParameterListOpt: Comma; + // 672 - WithParameterListOpt: Comma; Production { - lhs: 596, - production: &[ParseType::N(86)], + lhs: 606, + production: &[ParseType::N(87)], }, - // 669 - WithParameterListOpt: ; + // 673 - WithParameterListOpt: ; Production { - lhs: 596, + lhs: 606, production: &[], }, - // 670 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; + // 674 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; Production { - lhs: 588, - production: &[ParseType::N(589), ParseType::N(590)], + lhs: 598, + production: &[ParseType::N(599), ParseType::N(600)], }, - // 671 - WithParameterGroupGroup: LBrace WithParameterList RBrace; + // 675 - WithParameterGroupGroup: LBrace WithParameterList RBrace; Production { - lhs: 589, - production: &[ParseType::N(480), ParseType::N(594), ParseType::N(331)], + lhs: 599, + production: &[ParseType::N(490), ParseType::N(604), ParseType::N(341)], }, - // 672 - WithParameterGroupGroup: WithParameterItem; + // 676 - WithParameterGroupGroup: WithParameterItem; Production { - lhs: 589, - production: &[ParseType::N(591)], + lhs: 599, + production: &[ParseType::N(601)], }, - // 673 - WithParameterGroupList: Attribute WithParameterGroupList; + // 677 - WithParameterGroupList: Attribute WithParameterGroupList; Production { - lhs: 590, - production: &[ParseType::N(590), ParseType::N(46)], + lhs: 600, + production: &[ParseType::N(600), ParseType::N(47)], }, - // 674 - WithParameterGroupList: ; + // 678 - WithParameterGroupList: ; Production { - lhs: 590, + lhs: 600, production: &[], }, - // 675 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; + // 679 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; Production { - lhs: 591, + lhs: 601, production: &[ - ParseType::N(593), - ParseType::N(80), - ParseType::N(231), - ParseType::N(592), + ParseType::N(603), + ParseType::N(81), + ParseType::N(241), + ParseType::N(602), ], }, - // 676 - WithParameterItemGroup0: ArrayType Equ Expression; + // 680 - WithParameterItemGroup0: ArrayType Equ Expression; Production { - lhs: 593, - production: &[ParseType::N(145), ParseType::N(133), ParseType::N(26)], + lhs: 603, + production: &[ParseType::N(155), ParseType::N(143), ParseType::N(27)], }, - // 677 - WithParameterItemGroup0: Type Equ TypeExpression; + // 681 - WithParameterItemGroup0: Type Equ TypeExpression; Production { - lhs: 593, - production: &[ParseType::N(560), ParseType::N(133), ParseType::N(558)], + lhs: 603, + production: &[ParseType::N(570), ParseType::N(143), ParseType::N(568)], }, - // 678 - WithParameterItemGroup: Param; + // 682 - WithParameterItemGroup: Param; Production { - lhs: 592, - production: &[ParseType::N(454)], + lhs: 602, + production: &[ParseType::N(464)], }, - // 679 - WithParameterItemGroup: Local; + // 683 - WithParameterItemGroup: Local; Production { - lhs: 592, - production: &[ParseType::N(345)], + lhs: 602, + production: &[ParseType::N(355)], }, - // 680 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; + // 684 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; Production { - lhs: 460, - production: &[ParseType::N(486), ParseType::N(470), ParseType::N(337)], + lhs: 470, + production: &[ParseType::N(496), ParseType::N(480), ParseType::N(347)], }, - // 681 - PortDeclarationOpt: PortDeclarationList; + // 685 - PortDeclarationOpt: PortDeclarationList; Production { - lhs: 470, - production: &[ParseType::N(467)], + lhs: 480, + production: &[ParseType::N(477)], }, - // 682 - PortDeclarationOpt: ; + // 686 - PortDeclarationOpt: ; Production { - lhs: 470, + lhs: 480, production: &[], }, - // 683 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; + // 687 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; Production { - lhs: 467, - production: &[ParseType::N(469), ParseType::N(468), ParseType::N(461)], + lhs: 477, + production: &[ParseType::N(479), ParseType::N(478), ParseType::N(471)], }, - // 684 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; + // 688 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; Production { - lhs: 468, - production: &[ParseType::N(468), ParseType::N(461), ParseType::N(86)], + lhs: 478, + production: &[ParseType::N(478), ParseType::N(471), ParseType::N(87)], }, - // 685 - PortDeclarationListList: ; + // 689 - PortDeclarationListList: ; Production { - lhs: 468, + lhs: 478, production: &[], }, - // 686 - PortDeclarationListOpt: Comma; + // 690 - PortDeclarationListOpt: Comma; Production { - lhs: 469, - production: &[ParseType::N(86)], + lhs: 479, + production: &[ParseType::N(87)], }, - // 687 - PortDeclarationListOpt: ; + // 691 - PortDeclarationListOpt: ; Production { - lhs: 469, + lhs: 479, production: &[], }, - // 688 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; + // 692 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; Production { - lhs: 461, - production: &[ParseType::N(462), ParseType::N(463)], + lhs: 471, + production: &[ParseType::N(472), ParseType::N(473)], }, - // 689 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; + // 693 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; Production { - lhs: 462, - production: &[ParseType::N(480), ParseType::N(467), ParseType::N(331)], + lhs: 472, + production: &[ParseType::N(490), ParseType::N(477), ParseType::N(341)], }, - // 690 - PortDeclarationGroupGroup: PortDeclarationItem; + // 694 - PortDeclarationGroupGroup: PortDeclarationItem; Production { - lhs: 462, - production: &[ParseType::N(464)], + lhs: 472, + production: &[ParseType::N(474)], }, - // 691 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; + // 695 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; Production { - lhs: 463, - production: &[ParseType::N(463), ParseType::N(46)], + lhs: 473, + production: &[ParseType::N(473), ParseType::N(47)], }, - // 692 - PortDeclarationGroupList: ; + // 696 - PortDeclarationGroupList: ; Production { - lhs: 463, + lhs: 473, production: &[], }, - // 693 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; + // 697 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; Production { - lhs: 464, - production: &[ParseType::N(465), ParseType::N(80), ParseType::N(231)], + lhs: 474, + production: &[ParseType::N(475), ParseType::N(81), ParseType::N(241)], }, - // 694 - PortDeclarationItemGroup: Direction ArrayType; + // 698 - PortDeclarationItemGroup: Direction ArrayType; Production { - lhs: 465, - production: &[ParseType::N(26), ParseType::N(105)], + lhs: 475, + production: &[ParseType::N(27), ParseType::N(106)], }, - // 695 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; + // 699 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; Production { - lhs: 465, - production: &[ParseType::N(466), ParseType::N(306)], + lhs: 475, + production: &[ParseType::N(476), ParseType::N(316)], }, - // 696 - PortDeclarationItemOpt: Array; + // 700 - PortDeclarationItemOpt: Array; Production { - lhs: 466, - production: &[ParseType::N(24)], + lhs: 476, + production: &[ParseType::N(25)], }, - // 697 - PortDeclarationItemOpt: ; + // 701 - PortDeclarationItemOpt: ; Production { - lhs: 466, + lhs: 476, production: &[], }, - // 698 - Direction: Input; + // 702 - Direction: Input; Production { - lhs: 105, - production: &[ParseType::N(272)], + lhs: 106, + production: &[ParseType::N(282)], }, - // 699 - Direction: Output; + // 703 - Direction: Output; Production { - lhs: 105, - production: &[ParseType::N(436)], + lhs: 106, + production: &[ParseType::N(446)], }, - // 700 - Direction: Inout; + // 704 - Direction: Inout; Production { - lhs: 105, - production: &[ParseType::N(269)], + lhs: 106, + production: &[ParseType::N(279)], }, - // 701 - Direction: Ref; + // 705 - Direction: Ref; Production { - lhs: 105, - production: &[ParseType::N(497)], + lhs: 106, + production: &[ParseType::N(507)], }, - // 702 - Direction: Modport; + // 706 - Direction: Modport; Production { - lhs: 105, - production: &[ParseType::N(362)], + lhs: 106, + production: &[ParseType::N(372)], }, - // 703 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; + // 707 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; Production { - lhs: 210, + lhs: 220, production: &[ - ParseType::N(480), - ParseType::N(211), - ParseType::N(331), - ParseType::N(214), - ParseType::N(213), - ParseType::N(212), - ParseType::N(231), - ParseType::N(207), + ParseType::N(490), + ParseType::N(221), + ParseType::N(341), + ParseType::N(224), + ParseType::N(223), + ParseType::N(222), + ParseType::N(241), + ParseType::N(217), ], }, - // 704 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; + // 708 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; Production { - lhs: 211, - production: &[ParseType::N(211), ParseType::N(215)], + lhs: 221, + production: &[ParseType::N(221), ParseType::N(225)], }, - // 705 - FunctionDeclarationList: ; + // 709 - FunctionDeclarationList: ; Production { - lhs: 211, + lhs: 221, production: &[], }, - // 706 - FunctionDeclarationOpt1: MinusGT ScalarType; + // 710 - FunctionDeclarationOpt1: MinusGT ScalarType; Production { - lhs: 214, - production: &[ParseType::N(507), ParseType::N(359)], + lhs: 224, + production: &[ParseType::N(517), ParseType::N(369)], }, - // 707 - FunctionDeclarationOpt1: ; + // 711 - FunctionDeclarationOpt1: ; Production { - lhs: 214, + lhs: 224, production: &[], }, - // 708 - FunctionDeclarationOpt0: PortDeclaration; + // 712 - FunctionDeclarationOpt0: PortDeclaration; Production { - lhs: 213, - production: &[ParseType::N(460)], + lhs: 223, + production: &[ParseType::N(470)], }, - // 709 - FunctionDeclarationOpt0: ; + // 713 - FunctionDeclarationOpt0: ; Production { - lhs: 213, + lhs: 223, production: &[], }, - // 710 - FunctionDeclarationOpt: WithParameter; + // 714 - FunctionDeclarationOpt: WithParameter; Production { - lhs: 212, - production: &[ParseType::N(587)], + lhs: 222, + production: &[ParseType::N(597)], }, - // 711 - FunctionDeclarationOpt: ; + // 715 - FunctionDeclarationOpt: ; Production { - lhs: 212, + lhs: 222, production: &[], }, - // 712 - FunctionItem: VarDeclaration; + // 716 - FunctionItem: VarDeclaration; Production { - lhs: 215, - production: &[ParseType::N(577)], + lhs: 225, + production: &[ParseType::N(587)], }, - // 713 - FunctionItem: Statement; + // 717 - FunctionItem: Statement; Production { - lhs: 215, - production: &[ParseType::N(527)], + lhs: 225, + production: &[ParseType::N(537)], }, - // 714 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; + // 718 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; Production { - lhs: 257, + lhs: 267, production: &[ - ParseType::N(516), - ParseType::N(258), - ParseType::N(510), - ParseType::N(256), + ParseType::N(526), + ParseType::N(268), + ParseType::N(520), + ParseType::N(266), ], }, - // 715 - ImportDeclarationOpt: ColonColon Star; + // 719 - ImportDeclarationOpt: ColonColon Star; Production { - lhs: 258, - production: &[ParseType::N(522), ParseType::N(81)], + lhs: 268, + production: &[ParseType::N(532), ParseType::N(82)], }, - // 716 - ImportDeclarationOpt: ; + // 720 - ImportDeclarationOpt: ; Production { - lhs: 258, + lhs: 268, production: &[], }, - // 717 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; + // 721 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; Production { - lhs: 140, - production: &[ParseType::N(516), ParseType::N(141), ParseType::N(139)], + lhs: 150, + production: &[ParseType::N(526), ParseType::N(151), ParseType::N(149)], }, - // 718 - ExportDeclarationGroup: Star; + // 722 - ExportDeclarationGroup: Star; Production { - lhs: 141, - production: &[ParseType::N(522)], + lhs: 151, + production: &[ParseType::N(532)], }, - // 719 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; + // 723 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; Production { - lhs: 141, - production: &[ParseType::N(142), ParseType::N(510)], + lhs: 151, + production: &[ParseType::N(152), ParseType::N(520)], }, - // 720 - ExportDeclarationOpt: ColonColon Star; + // 724 - ExportDeclarationOpt: ColonColon Star; Production { - lhs: 142, - production: &[ParseType::N(522), ParseType::N(81)], + lhs: 152, + production: &[ParseType::N(532), ParseType::N(82)], }, - // 721 - ExportDeclarationOpt: ; + // 725 - ExportDeclarationOpt: ; Production { - lhs: 142, + lhs: 152, production: &[], }, - // 722 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; + // 726 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; Production { - lhs: 374, + lhs: 384, production: &[ - ParseType::N(480), - ParseType::N(375), - ParseType::N(331), - ParseType::N(378), - ParseType::N(377), - ParseType::N(231), - ParseType::N(373), - ParseType::N(376), + ParseType::N(490), + ParseType::N(385), + ParseType::N(341), + ParseType::N(388), + ParseType::N(387), + ParseType::N(241), + ParseType::N(383), + ParseType::N(386), ], }, - // 723 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; + // 727 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; Production { - lhs: 375, - production: &[ParseType::N(375), ParseType::N(381)], + lhs: 385, + production: &[ParseType::N(385), ParseType::N(391)], }, - // 724 - ModuleDeclarationList: ; + // 728 - ModuleDeclarationList: ; Production { - lhs: 375, + lhs: 385, production: &[], }, - // 725 - ModuleDeclarationOpt1: PortDeclaration; + // 729 - ModuleDeclarationOpt1: PortDeclaration; Production { - lhs: 378, - production: &[ParseType::N(460)], + lhs: 388, + production: &[ParseType::N(470)], }, - // 726 - ModuleDeclarationOpt1: ; + // 730 - ModuleDeclarationOpt1: ; Production { - lhs: 378, + lhs: 388, production: &[], }, - // 727 - ModuleDeclarationOpt0: WithParameter; + // 731 - ModuleDeclarationOpt0: WithParameter; Production { - lhs: 377, - production: &[ParseType::N(587)], + lhs: 387, + production: &[ParseType::N(597)], }, - // 728 - ModuleDeclarationOpt0: ; + // 732 - ModuleDeclarationOpt0: ; Production { - lhs: 377, + lhs: 387, production: &[], }, - // 729 - ModuleDeclarationOpt: Pub; + // 733 - ModuleDeclarationOpt: Pub; Production { - lhs: 376, - production: &[ParseType::N(474)], + lhs: 386, + production: &[ParseType::N(484)], }, - // 730 - ModuleDeclarationOpt: ; + // 734 - ModuleDeclarationOpt: ; Production { - lhs: 376, + lhs: 386, production: &[], }, - // 731 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; + // 735 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; Production { - lhs: 385, + lhs: 395, production: &[ - ParseType::N(387), - ParseType::N(386), - ParseType::N(389), - ParseType::N(145), - ParseType::N(236), + ParseType::N(397), + ParseType::N(396), + ParseType::N(399), + ParseType::N(155), + ParseType::N(246), ], }, - // 732 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; + // 736 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; Production { - lhs: 386, + lhs: 396, production: &[ - ParseType::N(386), - ParseType::N(391), - ParseType::N(145), - ParseType::N(236), - ParseType::N(118), + ParseType::N(396), + ParseType::N(401), + ParseType::N(155), + ParseType::N(246), + ParseType::N(119), ], }, - // 733 - ModuleIfDeclarationList: ; + // 737 - ModuleIfDeclarationList: ; Production { - lhs: 386, + lhs: 396, production: &[], }, - // 734 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; + // 738 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; Production { - lhs: 387, - production: &[ParseType::N(391), ParseType::N(118)], + lhs: 397, + production: &[ParseType::N(401), ParseType::N(119)], }, - // 735 - ModuleIfDeclarationOpt: ; + // 739 - ModuleIfDeclarationOpt: ; Production { - lhs: 387, + lhs: 397, production: &[], }, - // 736 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; + // 740 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; Production { - lhs: 379, + lhs: 389, production: &[ - ParseType::N(389), - ParseType::N(380), - ParseType::N(489), - ParseType::N(261), - ParseType::N(231), - ParseType::N(201), + ParseType::N(399), + ParseType::N(390), + ParseType::N(499), + ParseType::N(271), + ParseType::N(241), + ParseType::N(211), ], }, - // 737 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; + // 741 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 380, - production: &[ParseType::N(145), ParseType::N(37), ParseType::N(528)], + lhs: 390, + production: &[ParseType::N(155), ParseType::N(38), ParseType::N(538)], }, - // 738 - ModuleForDeclarationOpt: ; + // 742 - ModuleForDeclarationOpt: ; Production { - lhs: 380, + lhs: 390, production: &[], }, - // 739 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; + // 743 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; Production { - lhs: 389, + lhs: 399, production: &[ - ParseType::N(480), - ParseType::N(390), - ParseType::N(331), - ParseType::N(231), - ParseType::N(80), + ParseType::N(490), + ParseType::N(400), + ParseType::N(341), + ParseType::N(241), + ParseType::N(81), ], }, - // 740 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; + // 744 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; Production { - lhs: 390, - production: &[ParseType::N(390), ParseType::N(381)], + lhs: 400, + production: &[ParseType::N(400), ParseType::N(391)], }, - // 741 - ModuleNamedBlockList: ; + // 745 - ModuleNamedBlockList: ; Production { - lhs: 390, + lhs: 400, production: &[], }, - // 742 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; + // 746 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 391, + lhs: 401, production: &[ - ParseType::N(480), - ParseType::N(392), - ParseType::N(331), - ParseType::N(393), + ParseType::N(490), + ParseType::N(402), + ParseType::N(341), + ParseType::N(403), ], }, - // 743 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; + // 747 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; Production { - lhs: 392, - production: &[ParseType::N(392), ParseType::N(381)], + lhs: 402, + production: &[ParseType::N(402), ParseType::N(391)], }, - // 744 - ModuleOptionalNamedBlockList: ; + // 748 - ModuleOptionalNamedBlockList: ; Production { - lhs: 392, + lhs: 402, production: &[], }, - // 745 - ModuleOptionalNamedBlockOpt: Colon Identifier; + // 749 - ModuleOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 393, - production: &[ParseType::N(231), ParseType::N(80)], + lhs: 403, + production: &[ParseType::N(241), ParseType::N(81)], }, - // 746 - ModuleOptionalNamedBlockOpt: ; + // 750 - ModuleOptionalNamedBlockOpt: ; Production { - lhs: 393, + lhs: 403, production: &[], }, - // 747 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; + // 751 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; Production { - lhs: 381, - production: &[ParseType::N(382), ParseType::N(384)], + lhs: 391, + production: &[ParseType::N(392), ParseType::N(394)], }, - // 748 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; + // 752 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; Production { - lhs: 382, - production: &[ParseType::N(480), ParseType::N(383), ParseType::N(331)], + lhs: 392, + production: &[ParseType::N(490), ParseType::N(393), ParseType::N(341)], }, - // 749 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; + // 753 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; Production { - lhs: 383, - production: &[ParseType::N(383), ParseType::N(381)], + lhs: 393, + production: &[ParseType::N(393), ParseType::N(391)], }, - // 750 - ModuleGroupGroupList: ; + // 754 - ModuleGroupGroupList: ; Production { - lhs: 383, + lhs: 393, production: &[], }, - // 751 - ModuleGroupGroup: ModuleItem; + // 755 - ModuleGroupGroup: ModuleItem; Production { - lhs: 382, - production: &[ParseType::N(388)], + lhs: 392, + production: &[ParseType::N(398)], }, - // 752 - ModuleGroupList: Attribute ModuleGroupList; + // 756 - ModuleGroupList: Attribute ModuleGroupList; Production { - lhs: 384, - production: &[ParseType::N(384), ParseType::N(46)], + lhs: 394, + production: &[ParseType::N(394), ParseType::N(47)], }, - // 753 - ModuleGroupList: ; + // 757 - ModuleGroupList: ; Production { - lhs: 384, + lhs: 394, production: &[], }, - // 754 - ModuleItem: LetDeclaration; + // 758 - ModuleItem: LetDeclaration; Production { - lhs: 388, - production: &[ParseType::N(341)], + lhs: 398, + production: &[ParseType::N(351)], }, - // 755 - ModuleItem: VarDeclaration; + // 759 - ModuleItem: VarDeclaration; Production { - lhs: 388, - production: &[ParseType::N(577)], + lhs: 398, + production: &[ParseType::N(587)], }, - // 756 - ModuleItem: InstDeclaration; + // 760 - ModuleItem: InstDeclaration; Production { - lhs: 388, - production: &[ParseType::N(280)], + lhs: 398, + production: &[ParseType::N(290)], }, - // 757 - ModuleItem: TypeDefDeclaration; + // 761 - ModuleItem: TypeDefDeclaration; Production { - lhs: 388, - production: &[ParseType::N(559)], + lhs: 398, + production: &[ParseType::N(569)], }, - // 758 - ModuleItem: LocalDeclaration; + // 762 - ModuleItem: LocalDeclaration; Production { - lhs: 388, - production: &[ParseType::N(346)], + lhs: 398, + production: &[ParseType::N(356)], }, - // 759 - ModuleItem: AlwaysFfDeclaration; + // 763 - ModuleItem: AlwaysFfDeclaration; Production { - lhs: 388, + lhs: 398, production: &[ParseType::N(12)], }, - // 760 - ModuleItem: AlwaysCombDeclaration; + // 764 - ModuleItem: AlwaysCombDeclaration; Production { - lhs: 388, + lhs: 398, production: &[ParseType::N(4)], }, - // 761 - ModuleItem: AssignDeclaration; + // 765 - ModuleItem: AssignDeclaration; Production { - lhs: 388, - production: &[ParseType::N(32)], + lhs: 398, + production: &[ParseType::N(33)], }, - // 762 - ModuleItem: FunctionDeclaration; + // 766 - ModuleItem: FunctionDeclaration; Production { - lhs: 388, - production: &[ParseType::N(210)], + lhs: 398, + production: &[ParseType::N(220)], }, - // 763 - ModuleItem: ModuleIfDeclaration; + // 767 - ModuleItem: ModuleIfDeclaration; Production { - lhs: 388, - production: &[ParseType::N(385)], + lhs: 398, + production: &[ParseType::N(395)], }, - // 764 - ModuleItem: ModuleForDeclaration; + // 768 - ModuleItem: ModuleForDeclaration; Production { - lhs: 388, - production: &[ParseType::N(379)], + lhs: 398, + production: &[ParseType::N(389)], }, - // 765 - ModuleItem: EnumDeclaration; + // 769 - ModuleItem: EnumDeclaration; Production { - lhs: 388, - production: &[ParseType::N(122)], + lhs: 398, + production: &[ParseType::N(132)], }, - // 766 - ModuleItem: StructUnionDeclaration; + // 770 - ModuleItem: StructUnionDeclaration; Production { - lhs: 388, - production: &[ParseType::N(541)], + lhs: 398, + production: &[ParseType::N(551)], }, - // 767 - ModuleItem: ModuleNamedBlock; + // 771 - ModuleItem: ModuleNamedBlock; Production { - lhs: 388, - production: &[ParseType::N(389)], + lhs: 398, + production: &[ParseType::N(399)], }, - // 768 - ModuleItem: ImportDeclaration; + // 772 - ModuleItem: ImportDeclaration; Production { - lhs: 388, - production: &[ParseType::N(257)], + lhs: 398, + production: &[ParseType::N(267)], }, - // 769 - ModuleItem: InitialDeclaration; + // 773 - ModuleItem: InitialDeclaration; Production { - lhs: 388, - production: &[ParseType::N(265)], + lhs: 398, + production: &[ParseType::N(275)], }, - // 770 - ModuleItem: FinalDeclaration; + // 774 - ModuleItem: FinalDeclaration; Production { - lhs: 388, - production: &[ParseType::N(193)], + lhs: 398, + production: &[ParseType::N(203)], }, - // 771 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; + // 775 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; Production { - lhs: 307, + lhs: 317, production: &[ - ParseType::N(480), - ParseType::N(308), - ParseType::N(331), - ParseType::N(310), - ParseType::N(231), - ParseType::N(306), - ParseType::N(309), + ParseType::N(490), + ParseType::N(318), + ParseType::N(341), + ParseType::N(320), + ParseType::N(241), + ParseType::N(316), + ParseType::N(319), ], }, - // 772 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; + // 776 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; Production { - lhs: 308, - production: &[ParseType::N(308), ParseType::N(313)], + lhs: 318, + production: &[ParseType::N(318), ParseType::N(323)], }, - // 773 - InterfaceDeclarationList: ; + // 777 - InterfaceDeclarationList: ; Production { - lhs: 308, + lhs: 318, production: &[], }, - // 774 - InterfaceDeclarationOpt0: WithParameter; + // 778 - InterfaceDeclarationOpt0: WithParameter; Production { - lhs: 310, - production: &[ParseType::N(587)], + lhs: 320, + production: &[ParseType::N(597)], }, - // 775 - InterfaceDeclarationOpt0: ; + // 779 - InterfaceDeclarationOpt0: ; Production { - lhs: 310, + lhs: 320, production: &[], }, - // 776 - InterfaceDeclarationOpt: Pub; + // 780 - InterfaceDeclarationOpt: Pub; Production { - lhs: 309, - production: &[ParseType::N(474)], + lhs: 319, + production: &[ParseType::N(484)], }, - // 777 - InterfaceDeclarationOpt: ; + // 781 - InterfaceDeclarationOpt: ; Production { - lhs: 309, + lhs: 319, production: &[], }, - // 778 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; + // 782 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; Production { - lhs: 317, + lhs: 327, production: &[ - ParseType::N(319), - ParseType::N(318), - ParseType::N(321), - ParseType::N(145), - ParseType::N(236), + ParseType::N(329), + ParseType::N(328), + ParseType::N(331), + ParseType::N(155), + ParseType::N(246), ], }, - // 779 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; + // 783 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; Production { - lhs: 318, + lhs: 328, production: &[ - ParseType::N(318), - ParseType::N(323), - ParseType::N(145), - ParseType::N(236), - ParseType::N(118), + ParseType::N(328), + ParseType::N(333), + ParseType::N(155), + ParseType::N(246), + ParseType::N(119), ], }, - // 780 - InterfaceIfDeclarationList: ; + // 784 - InterfaceIfDeclarationList: ; Production { - lhs: 318, + lhs: 328, production: &[], }, - // 781 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; + // 785 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; Production { - lhs: 319, - production: &[ParseType::N(323), ParseType::N(118)], + lhs: 329, + production: &[ParseType::N(333), ParseType::N(119)], }, - // 782 - InterfaceIfDeclarationOpt: ; + // 786 - InterfaceIfDeclarationOpt: ; Production { - lhs: 319, + lhs: 329, production: &[], }, - // 783 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; + // 787 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; Production { - lhs: 311, + lhs: 321, production: &[ - ParseType::N(321), - ParseType::N(312), - ParseType::N(489), - ParseType::N(261), - ParseType::N(231), - ParseType::N(201), + ParseType::N(331), + ParseType::N(322), + ParseType::N(499), + ParseType::N(271), + ParseType::N(241), + ParseType::N(211), ], }, - // 784 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; + // 788 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 312, - production: &[ParseType::N(145), ParseType::N(37), ParseType::N(528)], + lhs: 322, + production: &[ParseType::N(155), ParseType::N(38), ParseType::N(538)], }, - // 785 - InterfaceForDeclarationOpt: ; + // 789 - InterfaceForDeclarationOpt: ; Production { - lhs: 312, + lhs: 322, production: &[], }, - // 786 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; + // 790 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; Production { - lhs: 321, + lhs: 331, production: &[ - ParseType::N(480), - ParseType::N(322), - ParseType::N(331), - ParseType::N(231), - ParseType::N(80), + ParseType::N(490), + ParseType::N(332), + ParseType::N(341), + ParseType::N(241), + ParseType::N(81), ], }, - // 787 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; + // 791 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; Production { - lhs: 322, - production: &[ParseType::N(322), ParseType::N(313)], + lhs: 332, + production: &[ParseType::N(332), ParseType::N(323)], }, - // 788 - InterfaceNamedBlockList: ; + // 792 - InterfaceNamedBlockList: ; Production { - lhs: 322, + lhs: 332, production: &[], }, - // 789 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; + // 793 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 323, + lhs: 333, production: &[ - ParseType::N(480), - ParseType::N(324), - ParseType::N(331), - ParseType::N(325), + ParseType::N(490), + ParseType::N(334), + ParseType::N(341), + ParseType::N(335), ], }, - // 790 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; + // 794 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; Production { - lhs: 324, - production: &[ParseType::N(324), ParseType::N(313)], + lhs: 334, + production: &[ParseType::N(334), ParseType::N(323)], }, - // 791 - InterfaceOptionalNamedBlockList: ; + // 795 - InterfaceOptionalNamedBlockList: ; Production { - lhs: 324, + lhs: 334, production: &[], }, - // 792 - InterfaceOptionalNamedBlockOpt: Colon Identifier; + // 796 - InterfaceOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 325, - production: &[ParseType::N(231), ParseType::N(80)], + lhs: 335, + production: &[ParseType::N(241), ParseType::N(81)], }, - // 793 - InterfaceOptionalNamedBlockOpt: ; + // 797 - InterfaceOptionalNamedBlockOpt: ; Production { - lhs: 325, + lhs: 335, production: &[], }, - // 794 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; + // 798 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; Production { - lhs: 313, - production: &[ParseType::N(314), ParseType::N(316)], + lhs: 323, + production: &[ParseType::N(324), ParseType::N(326)], }, - // 795 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; + // 799 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; Production { - lhs: 314, - production: &[ParseType::N(480), ParseType::N(315), ParseType::N(331)], + lhs: 324, + production: &[ParseType::N(490), ParseType::N(325), ParseType::N(341)], }, - // 796 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; + // 800 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; Production { - lhs: 315, - production: &[ParseType::N(315), ParseType::N(313)], + lhs: 325, + production: &[ParseType::N(325), ParseType::N(323)], }, - // 797 - InterfaceGroupGroupList: ; + // 801 - InterfaceGroupGroupList: ; Production { - lhs: 315, + lhs: 325, production: &[], }, - // 798 - InterfaceGroupGroup: InterfaceItem; + // 802 - InterfaceGroupGroup: InterfaceItem; Production { - lhs: 314, - production: &[ParseType::N(320)], + lhs: 324, + production: &[ParseType::N(330)], }, - // 799 - InterfaceGroupList: Attribute InterfaceGroupList; + // 803 - InterfaceGroupList: Attribute InterfaceGroupList; Production { - lhs: 316, - production: &[ParseType::N(316), ParseType::N(46)], + lhs: 326, + production: &[ParseType::N(326), ParseType::N(47)], }, - // 800 - InterfaceGroupList: ; + // 804 - InterfaceGroupList: ; Production { - lhs: 316, + lhs: 326, production: &[], }, - // 801 - InterfaceItem: LetDeclaration; + // 805 - InterfaceItem: LetDeclaration; Production { - lhs: 320, - production: &[ParseType::N(341)], + lhs: 330, + production: &[ParseType::N(351)], }, - // 802 - InterfaceItem: VarDeclaration; + // 806 - InterfaceItem: VarDeclaration; Production { - lhs: 320, - production: &[ParseType::N(577)], + lhs: 330, + production: &[ParseType::N(587)], }, - // 803 - InterfaceItem: LocalDeclaration; + // 807 - InterfaceItem: LocalDeclaration; Production { - lhs: 320, - production: &[ParseType::N(346)], + lhs: 330, + production: &[ParseType::N(356)], }, - // 804 - InterfaceItem: ModportDeclaration; + // 808 - InterfaceItem: ModportDeclaration; Production { - lhs: 320, - production: &[ParseType::N(363)], + lhs: 330, + production: &[ParseType::N(373)], }, - // 805 - InterfaceItem: InterfaceIfDeclaration; + // 809 - InterfaceItem: InterfaceIfDeclaration; Production { - lhs: 320, - production: &[ParseType::N(317)], + lhs: 330, + production: &[ParseType::N(327)], }, - // 806 - InterfaceItem: InterfaceForDeclaration; + // 810 - InterfaceItem: InterfaceForDeclaration; Production { - lhs: 320, - production: &[ParseType::N(311)], + lhs: 330, + production: &[ParseType::N(321)], }, - // 807 - InterfaceItem: TypeDefDeclaration; + // 811 - InterfaceItem: TypeDefDeclaration; Production { - lhs: 320, - production: &[ParseType::N(559)], + lhs: 330, + production: &[ParseType::N(569)], }, - // 808 - InterfaceItem: EnumDeclaration; + // 812 - InterfaceItem: EnumDeclaration; Production { - lhs: 320, - production: &[ParseType::N(122)], + lhs: 330, + production: &[ParseType::N(132)], }, - // 809 - InterfaceItem: StructUnionDeclaration; + // 813 - InterfaceItem: StructUnionDeclaration; Production { - lhs: 320, - production: &[ParseType::N(541)], + lhs: 330, + production: &[ParseType::N(551)], }, - // 810 - InterfaceItem: InterfaceNamedBlock; + // 814 - InterfaceItem: InterfaceNamedBlock; Production { - lhs: 320, - production: &[ParseType::N(321)], + lhs: 330, + production: &[ParseType::N(331)], }, - // 811 - InterfaceItem: FunctionDeclaration; + // 815 - InterfaceItem: FunctionDeclaration; Production { - lhs: 320, - production: &[ParseType::N(210)], + lhs: 330, + production: &[ParseType::N(220)], }, - // 812 - InterfaceItem: ImportDeclaration; + // 816 - InterfaceItem: ImportDeclaration; Production { - lhs: 320, - production: &[ParseType::N(257)], + lhs: 330, + production: &[ParseType::N(267)], }, - // 813 - InterfaceItem: InitialDeclaration; + // 817 - InterfaceItem: InitialDeclaration; Production { - lhs: 320, - production: &[ParseType::N(265)], + lhs: 330, + production: &[ParseType::N(275)], }, - // 814 - InterfaceItem: FinalDeclaration; + // 818 - InterfaceItem: FinalDeclaration; Production { - lhs: 320, - production: &[ParseType::N(193)], + lhs: 330, + production: &[ParseType::N(203)], }, - // 815 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; + // 819 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier LBrace PackageDeclarationList /* Vec */ RBrace; Production { - lhs: 444, + lhs: 454, production: &[ - ParseType::N(480), - ParseType::N(445), - ParseType::N(331), - ParseType::N(231), - ParseType::N(443), - ParseType::N(446), + ParseType::N(490), + ParseType::N(455), + ParseType::N(341), + ParseType::N(241), + ParseType::N(453), + ParseType::N(456), ], }, - // 816 - PackageDeclarationList: PackageGroup PackageDeclarationList; + // 820 - PackageDeclarationList: PackageGroup PackageDeclarationList; Production { - lhs: 445, - production: &[ParseType::N(445), ParseType::N(447)], + lhs: 455, + production: &[ParseType::N(455), ParseType::N(457)], }, - // 817 - PackageDeclarationList: ; + // 821 - PackageDeclarationList: ; Production { - lhs: 445, + lhs: 455, production: &[], }, - // 818 - PackageDeclarationOpt: Pub; + // 822 - PackageDeclarationOpt: Pub; Production { - lhs: 446, - production: &[ParseType::N(474)], + lhs: 456, + production: &[ParseType::N(484)], }, - // 819 - PackageDeclarationOpt: ; + // 823 - PackageDeclarationOpt: ; Production { - lhs: 446, + lhs: 456, production: &[], }, - // 820 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; + // 824 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; Production { - lhs: 447, - production: &[ParseType::N(448), ParseType::N(450)], + lhs: 457, + production: &[ParseType::N(458), ParseType::N(460)], }, - // 821 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; + // 825 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; Production { - lhs: 448, - production: &[ParseType::N(480), ParseType::N(449), ParseType::N(331)], + lhs: 458, + production: &[ParseType::N(490), ParseType::N(459), ParseType::N(341)], }, - // 822 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; + // 826 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; Production { - lhs: 449, - production: &[ParseType::N(449), ParseType::N(447)], + lhs: 459, + production: &[ParseType::N(459), ParseType::N(457)], }, - // 823 - PackageGroupGroupList: ; + // 827 - PackageGroupGroupList: ; Production { - lhs: 449, + lhs: 459, production: &[], }, - // 824 - PackageGroupGroup: PackageItem; + // 828 - PackageGroupGroup: PackageItem; Production { - lhs: 448, - production: &[ParseType::N(451)], + lhs: 458, + production: &[ParseType::N(461)], }, - // 825 - PackageGroupList: Attribute PackageGroupList; + // 829 - PackageGroupList: Attribute PackageGroupList; Production { - lhs: 450, - production: &[ParseType::N(450), ParseType::N(46)], + lhs: 460, + production: &[ParseType::N(460), ParseType::N(47)], }, - // 826 - PackageGroupList: ; + // 830 - PackageGroupList: ; Production { - lhs: 450, + lhs: 460, production: &[], }, - // 827 - PackageItem: VarDeclaration; + // 831 - PackageItem: VarDeclaration; Production { - lhs: 451, - production: &[ParseType::N(577)], + lhs: 461, + production: &[ParseType::N(587)], }, - // 828 - PackageItem: LocalDeclaration; + // 832 - PackageItem: LocalDeclaration; Production { - lhs: 451, - production: &[ParseType::N(346)], + lhs: 461, + production: &[ParseType::N(356)], }, - // 829 - PackageItem: TypeDefDeclaration; + // 833 - PackageItem: TypeDefDeclaration; Production { - lhs: 451, - production: &[ParseType::N(559)], + lhs: 461, + production: &[ParseType::N(569)], }, - // 830 - PackageItem: EnumDeclaration; + // 834 - PackageItem: EnumDeclaration; Production { - lhs: 451, - production: &[ParseType::N(122)], + lhs: 461, + production: &[ParseType::N(132)], }, - // 831 - PackageItem: StructUnionDeclaration; + // 835 - PackageItem: StructUnionDeclaration; Production { - lhs: 451, - production: &[ParseType::N(541)], + lhs: 461, + production: &[ParseType::N(551)], }, - // 832 - PackageItem: FunctionDeclaration; + // 836 - PackageItem: FunctionDeclaration; Production { - lhs: 451, - production: &[ParseType::N(210)], + lhs: 461, + production: &[ParseType::N(220)], }, - // 833 - PackageItem: ImportDeclaration; + // 837 - PackageItem: ImportDeclaration; Production { - lhs: 451, - production: &[ParseType::N(257)], + lhs: 461, + production: &[ParseType::N(267)], }, - // 834 - PackageItem: ExportDeclaration; + // 838 - PackageItem: ExportDeclaration; Production { - lhs: 451, - production: &[ParseType::N(140)], + lhs: 461, + production: &[ParseType::N(150)], }, - // 835 - PackageItem: InitialDeclaration; + // 839 - PackageItem: InitialDeclaration; Production { - lhs: 451, - production: &[ParseType::N(265)], + lhs: 461, + production: &[ParseType::N(275)], }, - // 836 - PackageItem: FinalDeclaration; + // 840 - PackageItem: FinalDeclaration; Production { - lhs: 451, - production: &[ParseType::N(193)], + lhs: 461, + production: &[ParseType::N(203)], }, - // 837 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; + // 841 - EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; Production { - lhs: 100, - production: &[ParseType::N(101), ParseType::N(103)], + lhs: 126, + production: &[ + ParseType::N(123), + ParseType::N(241), + ParseType::N(496), + ParseType::N(241), + ParseType::N(347), + ParseType::N(122), + ], }, - // 838 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; + // 842 - EmbedContent: EmbedContentToken : crate::veryl_token::VerylToken ; Production { - lhs: 101, - production: &[ParseType::N(480), ParseType::N(102), ParseType::N(331)], + lhs: 123, + production: &[ParseType::N(124)], }, - // 839 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; + // 843 - EmbedContentToken: LBraceTerm Push(1) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm Pop; Production { - lhs: 102, - production: &[ParseType::N(102), ParseType::N(100)], + lhs: 124, + production: &[ + ParseType::Pop, + ParseType::N(491), + ParseType::N(491), + ParseType::N(491), + ParseType::N(125), + ParseType::N(342), + ParseType::N(342), + ParseType::Push(1), + ParseType::N(342), + ], }, - // 840 - DescriptionGroupGroupList: ; + // 844 - EmbedContentTokenList: EmbedItem EmbedContentTokenList; Production { - lhs: 102, + lhs: 125, + production: &[ParseType::N(125), ParseType::N(127)], + }, + // 845 - EmbedContentTokenList: ; + Production { + lhs: 125, + production: &[], + }, + // 846 - EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; + Production { + lhs: 127, + production: &[ParseType::N(491), ParseType::N(128), ParseType::N(342)], + }, + // 847 - EmbedItemList: EmbedItem EmbedItemList; + Production { + lhs: 128, + production: &[ParseType::N(128), ParseType::N(127)], + }, + // 848 - EmbedItemList: ; + Production { + lhs: 128, production: &[], }, - // 841 - DescriptionGroupGroup: DescriptionItem; + // 849 - EmbedItem: AnyTerm; + Production { + lhs: 127, + production: &[ParseType::N(20)], + }, + // 850 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; Production { lhs: 101, - production: &[ParseType::N(104)], + production: &[ParseType::N(102), ParseType::N(104)], + }, + // 851 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; + Production { + lhs: 102, + production: &[ParseType::N(490), ParseType::N(103), ParseType::N(341)], }, - // 842 - DescriptionGroupList: Attribute DescriptionGroupList; + // 852 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; Production { lhs: 103, - production: &[ParseType::N(103), ParseType::N(46)], + production: &[ParseType::N(103), ParseType::N(101)], }, - // 843 - DescriptionGroupList: ; + // 853 - DescriptionGroupGroupList: ; Production { lhs: 103, production: &[], }, - // 844 - DescriptionItem: ModuleDeclaration; + // 854 - DescriptionGroupGroup: DescriptionItem; Production { - lhs: 104, - production: &[ParseType::N(374)], + lhs: 102, + production: &[ParseType::N(105)], }, - // 845 - DescriptionItem: InterfaceDeclaration; + // 855 - DescriptionGroupList: Attribute DescriptionGroupList; Production { lhs: 104, - production: &[ParseType::N(307)], + production: &[ParseType::N(104), ParseType::N(47)], }, - // 846 - DescriptionItem: PackageDeclaration; + // 856 - DescriptionGroupList: ; Production { lhs: 104, - production: &[ParseType::N(444)], + production: &[], }, - // 847 - DescriptionItem: ImportDeclaration; + // 857 - DescriptionItem: ModuleDeclaration; Production { - lhs: 104, - production: &[ParseType::N(257)], + lhs: 105, + production: &[ParseType::N(384)], }, - // 848 - Veryl: Start VerylList /* Vec */; + // 858 - DescriptionItem: InterfaceDeclaration; Production { - lhs: 583, - production: &[ParseType::N(584), ParseType::N(525)], + lhs: 105, + production: &[ParseType::N(317)], }, - // 849 - VerylList: DescriptionGroup VerylList; + // 859 - DescriptionItem: PackageDeclaration; Production { - lhs: 584, - production: &[ParseType::N(584), ParseType::N(100)], + lhs: 105, + production: &[ParseType::N(454)], }, - // 850 - VerylList: ; + // 860 - DescriptionItem: ImportDeclaration; Production { - lhs: 584, + lhs: 105, + production: &[ParseType::N(267)], + }, + // 861 - DescriptionItem: EmbedDeclaration; + Production { + lhs: 105, + production: &[ParseType::N(126)], + }, + // 862 - Veryl: Start VerylList /* Vec */; + Production { + lhs: 593, + production: &[ParseType::N(594), ParseType::N(535)], + }, + // 863 - VerylList: DescriptionGroup VerylList; + Production { + lhs: 594, + production: &[ParseType::N(594), ParseType::N(101)], + }, + // 864 - VerylList: ; + Production { + lhs: 594, production: &[], }, ]; static TOKENIZERS: Lazy> = Lazy::new(|| { - vec![( - "INITIAL", - Tokenizer::build(TERMINALS, SCANNER_0.0, SCANNER_0.1).unwrap(), - )] + vec![ + ( + "INITIAL", + Tokenizer::build(TERMINALS, SCANNER_0.0, SCANNER_0.1).unwrap(), + ), + ( + "Embed", + Tokenizer::build(TERMINALS, SCANNER_1.0, SCANNER_1.1).unwrap(), + ), + ] }); pub fn parse<'t, T>( @@ -17941,7 +18193,7 @@ where T: AsRef, { let mut llk_parser = LLKParser::new( - 583, + 593, LOOKAHEAD_AUTOMATA, PRODUCTIONS, TERMINAL_NAMES, diff --git a/crates/parser/src/veryl_token.rs b/crates/parser/src/veryl_token.rs index f47bf0d1..f613e471 100644 --- a/crates/parser/src/veryl_token.rs +++ b/crates/parser/src/veryl_token.rs @@ -340,6 +340,7 @@ token_with_comments!(Break); token_with_comments!(Case); token_with_comments!(Default); token_with_comments!(Else); +token_with_comments!(Embed); token_with_comments!(Enum); token_with_comments!(Export); token_with_comments!(F32); @@ -390,3 +391,49 @@ token_with_comments!(Union); token_with_comments!(Var); token_with_comments!(Identifier); + +fn embed_item_to_string(x: &EmbedItem) -> String { + let mut ret = String::new(); + match x { + EmbedItem::LBraceTermEmbedItemListRBraceTerm(x) => { + ret.push_str(&x.l_brace_term.l_brace_term.to_string()); + for x in &x.embed_item_list { + ret.push_str(&embed_item_to_string(&x.embed_item)); + } + ret.push_str(&x.r_brace_term.r_brace_term.to_string()); + } + EmbedItem::AnyTerm(x) => { + ret.push_str(&x.any_term.any_term.to_string()); + } + } + ret +} + +impl TryFrom<&EmbedContentToken> for VerylToken { + type Error = anyhow::Error; + + fn try_from(x: &EmbedContentToken) -> Result { + let head_token = &x.l_brace_term.l_brace_term; + let line = head_token.line; + let column = head_token.column; + let length = head_token.length; + let pos = head_token.pos; + let source = head_token.source; + + let mut text = x.l_brace_term.l_brace_term.to_string(); + text.push_str(&x.l_brace_term0.l_brace_term.to_string()); + text.push_str(&x.l_brace_term1.l_brace_term.to_string()); + for x in &x.embed_content_token_list { + text.push_str(&embed_item_to_string(&x.embed_item)); + } + text.push_str(&x.r_brace_term.r_brace_term.to_string()); + text.push_str(&x.r_brace_term0.r_brace_term.to_string()); + text.push_str(&x.r_brace_term1.r_brace_term.to_string()); + + let token = Token::new(&text, line, column, length, pos, source); + Ok(VerylToken { + token, + comments: Vec::new(), + }) + } +} diff --git a/crates/parser/src/veryl_walker.rs b/crates/parser/src/veryl_walker.rs index 33c73f4f..d0d5c0fe 100644 --- a/crates/parser/src/veryl_walker.rs +++ b/crates/parser/src/veryl_walker.rs @@ -391,6 +391,13 @@ pub trait VerylWalker { after!(self, r#else, arg); } + /// Semantic action for non-terminal 'Embed' + fn embed(&mut self, arg: &Embed) { + before!(self, embed, arg); + self.veryl_token(&arg.embed_token); + after!(self, embed, arg); + } + /// Semantic action for non-terminal 'Enum' fn r#enum(&mut self, arg: &Enum) { before!(self, r#enum, arg); @@ -2585,6 +2592,25 @@ pub trait VerylWalker { after!(self, package_item, arg); } + /// Semantic action for non-terminal 'EmbedDeclaration' + fn embed_declaration(&mut self, arg: &EmbedDeclaration) { + before!(self, embed_declaration, arg); + self.embed(&arg.embed); + self.l_paren(&arg.l_paren); + self.identifier(&arg.identifier); + self.r_paren(&arg.r_paren); + self.identifier(&arg.identifier0); + self.embed_content(&arg.embed_content); + after!(self, embed_declaration, arg); + } + + /// Semantic action for non-terminal 'EmbedContent' + fn embed_content(&mut self, arg: &EmbedContent) { + before!(self, embed_content, arg); + self.veryl_token(&arg.embed_content_token); + after!(self, embed_content, arg); + } + /// Semantic action for non-terminal 'DescriptionGroup' fn description_group(&mut self, arg: &DescriptionGroup) { before!(self, description_group, arg); @@ -2616,6 +2642,7 @@ pub trait VerylWalker { self.package_declaration(&x.package_declaration) } DescriptionItem::ImportDeclaration(x) => self.import_declaration(&x.import_declaration), + DescriptionItem::EmbedDeclaration(x) => self.embed_declaration(&x.embed_declaration), }; after!(self, description_item, arg); } diff --git a/crates/parser/veryl.par b/crates/parser/veryl.par index 6c968fd6..71cf70b8 100644 --- a/crates/parser/veryl.par +++ b/crates/parser/veryl.par @@ -5,6 +5,11 @@ %user_type VerylToken = crate::veryl_token::VerylToken %user_type Token = crate::veryl_token::Token +%scanner Embed { + %auto_newline_off + %auto_ws_off +} + %% // ---------------------------------------------------------------------------- @@ -46,11 +51,11 @@ DotTerm : '.' EquTerm : '=' : Token; HashTerm : '#' : Token; LAngleTerm : '<' : Token; -LBraceTerm : '{' : Token; +LBraceTerm : '{' : Token; LBracketTerm : '[' : Token; LParenTerm : '(' : Token; RAngleTerm : '>' : Token; -RBraceTerm : '}' : Token; +RBraceTerm : '}' : Token; RBracketTerm : ']' : Token; RParenTerm : ')' : Token; SemicolonTerm : ';' : Token; @@ -65,6 +70,7 @@ BitTerm : /(?-u:\b)bit(?-u:\b)/ CaseTerm : /(?-u:\b)case(?-u:\b)/ : Token; DefaultTerm : /(?-u:\b)default(?-u:\b)/ : Token; ElseTerm : /(?-u:\b)else(?-u:\b)/ : Token; +EmbedTerm : /(?-u:\b)embed(?-u:\b)/ : Token; EnumTerm : /(?-u:\b)enum(?-u:\b)/ : Token; ExportTerm : /(?-u:\b)export(?-u:\b)/ : Token; F32Term : /(?-u:\b)f32(?-u:\b)/ : Token; @@ -115,6 +121,7 @@ U64Term : /(?-u:\b)u64(?-u:\b)/ UnionTerm : /(?-u:\b)union(?-u:\b)/ : Token; VarTerm : /(?-u:\b)var(?-u:\b)/ : Token; IdentifierTerm : /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; +AnyTerm : /[^{}]*/ : Token; // ---------------------------------------------------------------------------- // Token @@ -179,6 +186,7 @@ BitToken : BitTerm : Token Comments; CaseToken : CaseTerm : Token Comments; DefaultToken : DefaultTerm : Token Comments; ElseToken : ElseTerm : Token Comments; +EmbedToken : EmbedTerm : Token Comments; EnumToken : EnumTerm : Token Comments; ExportToken : ExportTerm : Token Comments; F32Token : F32Term : Token Comments; @@ -299,6 +307,7 @@ Break : BreakToken : VerylToken; Case : CaseToken : VerylToken; Defaul : DefaultToken : VerylToken; // avoid to conflict with Rust's Default trait Else : ElseToken : VerylToken; +Embed : EmbedToken : VerylToken; Enum : EnumToken : VerylToken; Export : ExportToken : VerylToken; F32 : F32Token : VerylToken; @@ -725,6 +734,19 @@ PackageItem: VarDeclaration | FinalDeclaration ; +// ---------------------------------------------------------------------------- +// Embed +// ---------------------------------------------------------------------------- + +EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; + +EmbedContent: EmbedContentToken: VerylToken; + +EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm { EmbedItem } RBraceTerm RBraceTerm RBraceTerm %pop(); + +EmbedItem: LBraceTerm { EmbedItem } RBraceTerm + | AnyTerm; + // ---------------------------------------------------------------------------- // Description // ---------------------------------------------------------------------------- @@ -735,6 +757,7 @@ DescriptionItem: ModuleDeclaration | InterfaceDeclaration | PackageDeclaration | ImportDeclaration + | EmbedDeclaration ; // ---------------------------------------------------------------------------- diff --git a/doc b/doc index c850b0e2..9bf3b85c 160000 --- a/doc +++ b/doc @@ -1 +1 @@ -Subproject commit c850b0e22ad5050c2cd79c2f6817c89d7b89970e +Subproject commit 9bf3b85c70e7e097263a186ffb2ffd5e75219c70 diff --git a/support/highlightjs/src/languages/veryl.js b/support/highlightjs/src/languages/veryl.js index 3f982d79..c01b33a9 100644 --- a/support/highlightjs/src/languages/veryl.js +++ b/support/highlightjs/src/languages/veryl.js @@ -13,7 +13,7 @@ module.exports = function (hljs) case_insensitive: false, keywords: { - keyword: 'module interface function modport package enum struct param local posedge negedge async_high async_low sync_high sync_low always_ff always_comb assign return as var inst import export logic bit tri signed u32 u64 i32 i64 f32 f64 input output inout ref if if_reset else for in case for in step repeat initial final inside outside default pub let break', + keyword: 'module interface function modport package enum struct param local posedge negedge async_high async_low sync_high sync_low always_ff always_comb assign return as var inst import export logic bit tri signed u32 u64 i32 i64 f32 f64 input output inout ref if if_reset else for in case for in step repeat initial final inside outside default pub let break embed', literal: '' }, contains: diff --git a/support/vim b/support/vim index 2ec7dcf0..5c0b1dab 160000 --- a/support/vim +++ b/support/vim @@ -1 +1 @@ -Subproject commit 2ec7dcf05a53f854d8bc472c9a7bff0da5cef7de +Subproject commit 5c0b1dab3da112a139227494b8cfe96491aef6b5 diff --git a/support/vscode/syntaxes/veryl.tmLanguage.json b/support/vscode/syntaxes/veryl.tmLanguage.json index 83217948..ccccbfe3 100644 --- a/support/vscode/syntaxes/veryl.tmLanguage.json +++ b/support/vscode/syntaxes/veryl.tmLanguage.json @@ -30,7 +30,7 @@ }, { "name": "keyword.other.veryl", - "match": "\\b(module|interface|function|modport|package|param|local|posedge|negedge|async_high|async_low|sync_high|sync_low|always_ff|always_comb|assign|return|break|var|inst|import|export|as|initial|final|pub|let)\\b" + "match": "\\b(module|interface|function|modport|package|param|local|posedge|negedge|async_high|async_low|sync_high|sync_low|always_ff|always_comb|assign|return|break|var|inst|import|export|as|initial|final|pub|let|embed)\\b" } ] }, diff --git a/testcases/sv/47_embed.sv b/testcases/sv/47_embed.sv new file mode 100644 index 00000000..59860301 --- /dev/null +++ b/testcases/sv/47_embed.sv @@ -0,0 +1,9 @@ +module veryl_testcase_Module47; +endmodule + +module test; + initial begin + $display("hello"); + end +endmodule + diff --git a/testcases/veryl/47_embed.veryl b/testcases/veryl/47_embed.veryl new file mode 100644 index 00000000..c7ef5168 --- /dev/null +++ b/testcases/veryl/47_embed.veryl @@ -0,0 +1,9 @@ +module Module47 {} + +embed (inline) sv{{{ +module test; + initial begin + $display("hello"); + end +endmodule +}}}