diff --git a/crates/analyzer/src/analyzer_error.rs b/crates/analyzer/src/analyzer_error.rs index 30e33df6..7ab57c9f 100644 --- a/crates/analyzer/src/analyzer_error.rs +++ b/crates/analyzer/src/analyzer_error.rs @@ -323,6 +323,20 @@ pub enum AnalyzerError { error_location: SourceSpan, }, + #[diagnostic( + severity(Error), + code(invalid_case_condition_non_elaborative), + help(""), + url("") + )] + #[error("Case condition value cannot be used because it is not evaluable at elaboration time")] + InvalidCaseConditionNonElaborative { + #[source_code] + input: NamedSource, + #[label("Error location")] + error_location: SourceSpan, + }, + #[diagnostic( severity(Error), code(missing_default_argument), @@ -1010,6 +1024,13 @@ impl AnalyzerError { } } + pub fn invalid_case_condition_non_elaborative(source: &str, token: &TokenRange) -> Self { + AnalyzerError::InvalidCaseConditionNonElaborative { + input: AnalyzerError::named_source(source, token), + error_location: token.into(), + } + } + pub fn invalid_modport_variable_item( identifier: &str, source: &str, diff --git a/crates/analyzer/src/evaluator.rs b/crates/analyzer/src/evaluator.rs index db99da17..42ca6b79 100644 --- a/crates/analyzer/src/evaluator.rs +++ b/crates/analyzer/src/evaluator.rs @@ -650,6 +650,7 @@ impl Evaluator { } Factor::IfExpression(x) => self.if_expression(&x.if_expression), Factor::CaseExpression(x) => self.case_expression(&x.case_expression), + Factor::SwitchExpression(x) => self.switch_expression(&x.switch_expression), Factor::StringLiteral(_) => Evaluated::Unknown, Factor::FactorGroup(_) => Evaluated::Unknown, Factor::InsideExpression(_) => Evaluated::Unknown, @@ -787,4 +788,8 @@ impl Evaluator { fn case_expression(&mut self, _arg: &CaseExpression) -> Evaluated { Evaluated::Unknown } + + fn switch_expression(&mut self, _arg: &SwitchExpression) -> Evaluated { + Evaluated::Unknown + } } diff --git a/crates/analyzer/src/handlers/check_expression.rs b/crates/analyzer/src/handlers/check_expression.rs index b44aeb56..91c9f99a 100644 --- a/crates/analyzer/src/handlers/check_expression.rs +++ b/crates/analyzer/src/handlers/check_expression.rs @@ -1,4 +1,5 @@ use crate::analyzer_error::AnalyzerError; +use crate::evaluator::{Evaluated, Evaluator}; use crate::symbol::SymbolKind; use crate::symbol_table; use veryl_parser::veryl_grammar_trait::*; @@ -11,6 +12,8 @@ pub struct CheckExpression<'a> { pub errors: Vec, text: &'a str, point: HandlerPoint, + case_condition_depth: usize, + evaluator: Evaluator, } impl<'a> CheckExpression<'a> { @@ -29,6 +32,30 @@ impl<'a> Handler for CheckExpression<'a> { } impl<'a> VerylGrammarTrait for CheckExpression<'a> { + fn case_condition(&mut self, _arg: &CaseCondition) -> Result<(), ParolError> { + match self.point { + HandlerPoint::Before => self.case_condition_depth += 1, + HandlerPoint::After => self.case_condition_depth -= 1, + } + Ok(()) + } + + fn expression(&mut self, arg: &Expression) -> Result<(), ParolError> { + if let HandlerPoint::Before = self.point { + if self.case_condition_depth >= 1 { + let result = matches!(self.evaluator.expression(arg), Evaluated::Variable { .. }); + if result { + self.errors + .push(AnalyzerError::invalid_case_condition_non_elaborative( + self.text, + &arg.into(), + )); + } + } + } + Ok(()) + } + fn factor(&mut self, arg: &Factor) -> Result<(), ParolError> { if let HandlerPoint::Before = self.point { if let Factor::ExpressionIdentifierFactorOpt(x) = arg { diff --git a/crates/analyzer/src/tests.rs b/crates/analyzer/src/tests.rs index e03ea977..d18d8b57 100644 --- a/crates/analyzer/src/tests.rs +++ b/crates/analyzer/src/tests.rs @@ -1643,7 +1643,7 @@ fn enum_non_const_exception() { i_clk: input clock, i_rst: input reset, ) { - + enum State: logic<3> { Idle = 3'bxx1, Run0 = 3'b000, @@ -1651,16 +1651,139 @@ fn enum_non_const_exception() { Run2 = 3'b100, Done = 3'b110, } - + var state: State; - + always_ff { if_reset { state = State::Idle; } } - + }"#; let errors = analyze(code); assert!(errors.is_empty()); } + +#[test] +fn invalid_case_condition_expression() { + let code = r#" + module ModuleA ( + i_sel: input logic<3>, + i_a : input logic<4>, + o_b : output logic, + o_c : output logic, + ) { + local ONE: bit <3> = 3'd1; + + always_comb { + case i_sel { + 3'd0 : o_b = i_a[0]; + ONE : o_b = i_a[1]; + 2..=3 : o_b = i_a[2]; + 3'b1xx : o_b = i_a[3]; + default: o_b = i_a[3]; + } + } + + assign o_c = case i_sel { + 3'd0 : i_a[0], + ONE : i_a[1], + 2..=3 : i_a[2], + 3'b1xx : i_a[3], + default: i_a[3], + }; + } + "#; + + let errors = analyze(code); + assert!(errors.is_empty()); + + let code = r#" + module ModuleB ( + i_sel: input logic<2>, + i_a : input logic<3>, + o_b : output logic, + ) { + let c: logic<2> = 2'd0; + + always_comb { + case i_sel { + c : o_b = i_a[0]; + default: o_b = i_a[1]; + } + } + } + "#; + + let errors = analyze(code); + assert!(matches!( + errors[0], + AnalyzerError::InvalidCaseConditionNonElaborative { .. } + )); + + let code = r#" + module ModuleC ( + i_sel: input logic<2>, + i_a : input logic<3>, + o_b : output logic, + ) { + let c: logic<2> = 2'd1; + + always_comb { + case i_sel { + 0..=c : o_b = i_a[0]; + default: o_b = i_a[1]; + } + } + } + "#; + + let errors = analyze(code); + assert!(matches!( + errors[0], + AnalyzerError::InvalidCaseConditionNonElaborative { .. } + )); + + let code = r#" + module ModuleD ( + i_sel: input logic<2>, + i_a : input logic<3>, + o_b : output logic, + ) { + let c: logic<2> = 2'd0; + + assign o_b = case i_sel { + c : i_a[0], + default: i_a[1], + }; + } + "#; + + let errors = analyze(code); + assert!(matches!( + errors[0], + AnalyzerError::InvalidCaseConditionNonElaborative { .. } + )); + + let code = r#" + module ModuleE ( + i_sel: input logic<2>, + i_a : input logic<3>, + o_b : output logic, + ) { + let c: logic<2> = 2'd1; + + assign o_b = case i_sel { + 0..=c : i_a[0], + default: i_a[1], + }; + } + "#; + + let errors = analyze(code); + assert!(matches!( + errors[0], + AnalyzerError::InvalidCaseConditionNonElaborative { .. } + )); +} diff --git a/crates/emitter/src/aligner.rs b/crates/emitter/src/aligner.rs index f254732b..3625912f 100644 --- a/crates/emitter/src/aligner.rs +++ b/crates/emitter/src/aligner.rs @@ -660,12 +660,12 @@ impl VerylWalker for Aligner { fn case_item(&mut self, arg: &CaseItem) { self.aligns[align_kind::EXPRESSION].start_item(); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { + CaseItemGroup::CaseCondition(x) => { + self.range_item(&x.case_condition.range_item); + for x in &x.case_condition.case_condition_list { self.comma(&x.comma); self.space(1); - self.expression(&x.expression); + self.range_item(&x.range_item); } } CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), @@ -684,6 +684,34 @@ impl VerylWalker for Aligner { } } + /// Semantic action for non-terminal 'SwitchItem' + fn switch_item(&mut self, arg: &SwitchItem) { + self.aligns[align_kind::EXPRESSION].start_item(); + match &*arg.switch_item_group { + SwitchItemGroup::SwitchCondition(x) => { + self.expression(&x.switch_condition.expression); + for x in &x.switch_condition.switch_condition_list { + self.comma(&x.comma); + self.space(1); + self.expression(&x.expression); + } + } + SwitchItemGroup::Defaul(x) => self.defaul(&x.defaul), + } + self.aligns[align_kind::EXPRESSION].finish_item(); + self.colon(&arg.colon); + match &*arg.switch_item_group0 { + SwitchItemGroup0::Statement(x) => self.statement(&x.statement), + SwitchItemGroup0::LBraceSwitchItemGroup0ListRBrace(x) => { + self.l_brace(&x.l_brace); + for x in &x.switch_item_group0_list { + self.statement(&x.statement); + } + self.r_brace(&x.r_brace); + } + } + } + /// Semantic action for non-terminal 'LetDeclaration' fn let_declaration(&mut self, arg: &LetDeclaration) { self.r#let(&arg.r#let); diff --git a/crates/emitter/src/emitter.rs b/crates/emitter/src/emitter.rs index 21b71891..5ffdc938 100644 --- a/crates/emitter/src/emitter.rs +++ b/crates/emitter/src/emitter.rs @@ -321,6 +321,24 @@ impl Emitter { } } + fn case_expression_condition(&mut self, lhs: &Expression, rhs: &RangeItem) { + if rhs.range.range_opt.is_some() { + self.str("("); + self.expression(lhs); + self.str(")"); + self.space(1); + self.str("inside {"); + self.range(&rhs.range); + self.str("}"); + } else { + self.expression(lhs); + self.space(1); + self.str("==?"); + self.space(1); + self.range(&rhs.range); + } + } + fn always_ff_implicit_event_list(&mut self, arg: &AlwaysFfDeclaration) { self.str("("); self.always_ff_implicit_clock_event(); @@ -690,6 +708,11 @@ impl VerylWalker for Emitter { self.veryl_token(&arg.param_token.replace("parameter")); } + /// Semantic action for non-terminal 'Switch' + fn switch(&mut self, arg: &Switch) { + self.veryl_token(&arg.switch_token.replace("case")); + } + /// Semantic action for non-terminal 'U32' fn u32(&mut self, arg: &U32) { self.veryl_token(&arg.u32_token.replace("int unsigned")); @@ -1050,54 +1073,93 @@ impl VerylWalker for Emitter { /// Semantic action for non-terminal 'CaseExpression' fn case_expression(&mut self, arg: &CaseExpression) { self.token(&arg.case.case_token.replace("((")); - self.expression(&arg.expression); - self.space(1); - self.str("=="); - self.space(1); - self.expression(&arg.expression0); + self.case_expression_condition(&arg.expression, &arg.case_condition.range_item); self.str(") ? ("); self.newline_push(); - self.expression(&arg.expression1); + self.expression(&arg.expression0); self.newline_pop(); - for x in &arg.case_expression_list { + for x in &arg.case_condition.case_condition_list { self.token(&x.comma.comma_token.replace(")")); self.space(1); self.str(": ("); - self.expression(&arg.expression); - self.space(1); - self.str("=="); - self.space(1); - self.expression(&x.expression); + self.case_expression_condition(&arg.expression, &x.range_item); self.str(") ? ("); self.newline_push(); - self.expression(&arg.expression1); + self.expression(&arg.expression0); self.newline_pop(); } self.str(")"); self.space(1); - for x in &arg.case_expression_list0 { + for x in &arg.case_expression_list { self.str(": ("); - self.expression(&arg.expression); - self.space(1); - self.str("=="); - self.space(1); - self.expression(&x.expression); + self.case_expression_condition(&arg.expression, &x.case_condition.range_item); self.str(") ? ("); self.newline_push(); - self.expression(&x.expression0); + self.expression(&x.expression); self.newline_pop(); - for y in &x.case_expression_list0_list { - self.token(&x.comma.comma_token.replace(")")); + for y in &x.case_condition.case_condition_list { + self.token(&y.comma.comma_token.replace(")")); self.space(1); self.str(": ("); - self.expression(&arg.expression); - self.space(1); - self.str("=="); + self.case_expression_condition(&arg.expression, &y.range_item); + self.str(") ? ("); + self.newline_push(); + self.expression(&x.expression); + self.newline_pop(); + } + self.token(&x.comma.comma_token.replace(")")); + self.space(1); + } + self.str(": ("); + self.newline_push(); + self.expression(&arg.expression1); + self.newline_pop(); + self.token(&arg.r_brace.r_brace_token.replace("))")); + } + + /// Semantic action for non-terminal 'SwitchExpression' + fn switch_expression(&mut self, arg: &SwitchExpression) { + self.token(&arg.switch.switch_token.replace("(((")); + self.expression(&arg.switch_condition.expression); + self.str(")"); + self.space(1); + self.str("== 1'b1) ? ("); + self.newline_push(); + self.expression(&arg.expression); + self.newline_pop(); + for x in &arg.switch_condition.switch_condition_list { + self.token(&x.comma.comma_token.replace(")")); + self.space(1); + self.str(": (("); + self.expression(&x.expression); + self.str(")"); + self.space(1); + self.str("== 1'b1) ? ("); + self.newline_push(); + self.expression(&arg.expression); + self.newline_pop(); + } + self.str(")"); + self.space(1); + for x in &arg.switch_expression_list { + self.str(": (("); + self.expression(&x.switch_condition.expression); + self.str(")"); + self.space(1); + self.str("== 1'b1) ? ("); + self.newline_push(); + self.expression(&x.expression); + self.newline_pop(); + for y in &x.switch_condition.switch_condition_list { + self.token(&y.comma.comma_token.replace(")")); self.space(1); + self.str(": (("); self.expression(&y.expression); - self.str(") ? ("); + self.str(")"); + self.space(1); + self.str("== 1'b1) ? ("); self.newline_push(); - self.expression(&x.expression0); + self.expression(&x.expression); self.newline_pop(); } self.token(&x.comma.comma_token.replace(")")); @@ -1105,7 +1167,7 @@ impl VerylWalker for Emitter { } self.str(": ("); self.newline_push(); - self.expression(&arg.expression2); + self.expression(&arg.expression0); self.newline_pop(); self.token(&arg.r_brace.r_brace_token.replace("))")); } @@ -1583,7 +1645,7 @@ impl VerylWalker for Emitter { self.space(1); self.str("("); self.expression(&arg.expression); - self.token_will_push(&arg.l_brace.l_brace_token.replace(")")); + self.token_will_push(&arg.l_brace.l_brace_token.replace(") inside")); for (i, x) in arg.case_statement_list.iter().enumerate() { self.newline_list(i); self.case_item(&x.case_item); @@ -1596,12 +1658,12 @@ impl VerylWalker for Emitter { fn case_item(&mut self, arg: &CaseItem) { let start = self.dst_column; match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { + CaseItemGroup::CaseCondition(x) => { + self.range_item(&x.case_condition.range_item); + for x in &x.case_condition.case_condition_list { self.comma(&x.comma); self.space(1); - self.expression(&x.expression); + self.range_item(&x.range_item); } } CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), @@ -1634,6 +1696,61 @@ impl VerylWalker for Emitter { self.case_item_indent = None; } + /// Semantic action for non-terminal 'SwitchStatement' + fn switch_statement(&mut self, arg: &SwitchStatement) { + self.switch(&arg.switch); + self.space(1); + self.token_will_push(&arg.l_brace.l_brace_token.replace("(1'b1)")); + for (i, x) in arg.switch_statement_list.iter().enumerate() { + self.newline_list(i); + self.switch_item(&x.switch_item); + } + self.newline_list_post(arg.switch_statement_list.is_empty()); + self.token(&arg.r_brace.r_brace_token.replace("endcase")); + } + + /// Semantic action for non-terminal 'SwitchItem' + fn switch_item(&mut self, arg: &SwitchItem) { + let start = self.dst_column; + match &*arg.switch_item_group { + SwitchItemGroup::SwitchCondition(x) => { + self.expression(&x.switch_condition.expression); + for x in &x.switch_condition.switch_condition_list { + self.comma(&x.comma); + self.space(1); + self.expression(&x.expression); + } + } + SwitchItemGroup::Defaul(x) => self.defaul(&x.defaul), + } + self.colon(&arg.colon); + self.space(1); + self.case_item_indent = Some((self.dst_column - start) as usize); + match &*arg.switch_item_group0 { + SwitchItemGroup0::Statement(x) => self.statement(&x.statement), + SwitchItemGroup0::LBraceSwitchItemGroup0ListRBrace(x) => { + self.token_will_push(&x.l_brace.l_brace_token.replace("begin")); + let mut base = 0; + for (i, x) in x + .switch_item_group0_list + .iter() + .filter(|x| is_let_statement(&x.statement)) + .enumerate() + { + self.newline_list(i); + base += self.statement_variable_declatation_only(&x.statement); + } + for (i, x) in x.switch_item_group0_list.iter().enumerate() { + self.newline_list(base + i); + self.statement(&x.statement); + } + self.newline_list_post(x.switch_item_group0_list.is_empty()); + self.token(&x.r_brace.r_brace_token.replace("end")); + } + } + self.case_item_indent = None; + } + /// Semantic action for non-terminal 'Attribute' fn attribute(&mut self, arg: &Attribute) { let identifier = arg.identifier.identifier_token.to_string(); diff --git a/crates/formatter/src/aligner.rs b/crates/formatter/src/aligner.rs index c2e58ede..65997f48 100644 --- a/crates/formatter/src/aligner.rs +++ b/crates/formatter/src/aligner.rs @@ -324,35 +324,54 @@ impl VerylWalker for Aligner { self.expression(&arg.expression); self.l_brace(&arg.l_brace); self.aligns[align_kind::EXPRESSION].start_item(); + self.case_condition(&arg.case_condition); + self.aligns[align_kind::EXPRESSION].finish_item(); + self.colon(&arg.colon); self.expression(&arg.expression0); + self.comma(&arg.comma); for x in &arg.case_expression_list { + self.aligns[align_kind::EXPRESSION].start_item(); + self.case_condition(&x.case_condition); + self.aligns[align_kind::EXPRESSION].finish_item(); + self.colon(&x.colon); + self.expression(&x.expression); self.comma(&x.comma); - self.space(1); - self.expression(&arg.expression); } + self.aligns[align_kind::EXPRESSION].start_item(); + self.defaul(&arg.defaul); self.aligns[align_kind::EXPRESSION].finish_item(); - self.colon(&arg.colon); + self.colon(&arg.colon0); self.expression(&arg.expression1); + if let Some(ref x) = arg.case_expression_opt { + self.comma(&x.comma); + } + self.r_brace(&arg.r_brace); + } + + /// Semantic action for non-terminal 'SwitchExpression' + fn switch_expression(&mut self, arg: &SwitchExpression) { + self.switch(&arg.switch); + self.l_brace(&arg.l_brace); + self.aligns[align_kind::EXPRESSION].start_item(); + self.switch_condition(&arg.switch_condition); + self.aligns[align_kind::EXPRESSION].finish_item(); + self.colon(&arg.colon); + self.expression(&arg.expression); self.comma(&arg.comma); - for x in &arg.case_expression_list0 { + for x in &arg.switch_expression_list { self.aligns[align_kind::EXPRESSION].start_item(); - self.expression(&x.expression); - for x in &x.case_expression_list0_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } + self.switch_condition(&x.switch_condition); self.aligns[align_kind::EXPRESSION].finish_item(); self.colon(&x.colon); - self.expression(&x.expression0); + self.expression(&x.expression); self.comma(&x.comma); } self.aligns[align_kind::EXPRESSION].start_item(); self.defaul(&arg.defaul); self.aligns[align_kind::EXPRESSION].finish_item(); self.colon(&arg.colon0); - self.expression(&arg.expression2); - if let Some(ref x) = arg.case_expression_opt { + self.expression(&arg.expression0); + if let Some(ref x) = arg.switch_expression_opt { self.comma(&x.comma); } self.r_brace(&arg.r_brace); @@ -525,14 +544,7 @@ impl VerylWalker for Aligner { fn case_item(&mut self, arg: &CaseItem) { self.aligns[align_kind::EXPRESSION].start_item(); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } - } + CaseItemGroup::CaseCondition(x) => self.case_condition(&x.case_condition), CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), } self.aligns[align_kind::EXPRESSION].finish_item(); @@ -549,6 +561,47 @@ impl VerylWalker for Aligner { } } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, arg: &CaseCondition) { + self.range_item(&arg.range_item); + for x in &arg.case_condition_list { + self.comma(&x.comma); + self.space(1); + self.range_item(&x.range_item); + } + } + + /// Semantic action for non-terminal 'SwitchItem' + fn switch_item(&mut self, arg: &SwitchItem) { + self.aligns[align_kind::EXPRESSION].start_item(); + match &*arg.switch_item_group { + SwitchItemGroup::SwitchCondition(x) => self.switch_condition(&x.switch_condition), + SwitchItemGroup::Defaul(x) => self.defaul(&x.defaul), + } + self.aligns[align_kind::EXPRESSION].finish_item(); + self.colon(&arg.colon); + match &*arg.switch_item_group0 { + SwitchItemGroup0::Statement(x) => self.statement(&x.statement), + SwitchItemGroup0::LBraceSwitchItemGroup0ListRBrace(x) => { + self.l_brace(&x.l_brace); + for x in &x.switch_item_group0_list { + self.statement(&x.statement); + } + self.r_brace(&x.r_brace); + } + } + } + + /// Semantic action for non-terminal 'SwitchCondition' + fn switch_condition(&mut self, arg: &SwitchCondition) { + self.expression(&arg.expression); + for x in &arg.switch_condition_list { + self.comma(&x.comma); + self.space(1); + self.expression(&x.expression); + } + } + /// Semantic action for non-terminal 'LetDeclaration' fn let_declaration(&mut self, arg: &LetDeclaration) { self.r#let(&arg.r#let); diff --git a/crates/formatter/src/formatter.rs b/crates/formatter/src/formatter.rs index 12cbd73e..e21b8c74 100644 --- a/crates/formatter/src/formatter.rs +++ b/crates/formatter/src/formatter.rs @@ -455,38 +455,59 @@ impl VerylWalker for Formatter { self.space(1); self.token_will_push(&arg.l_brace.l_brace_token); self.newline_push(); + self.case_condition(&arg.case_condition); + self.colon(&arg.colon); + self.space(1); self.expression(&arg.expression0); + self.comma(&arg.comma); + self.newline(); for x in &arg.case_expression_list { - self.comma(&x.comma); + self.case_condition(&x.case_condition); + self.colon(&x.colon); self.space(1); self.expression(&x.expression); + self.comma(&x.comma); + self.newline(); } - self.colon(&arg.colon); + self.defaul(&arg.defaul); + self.colon(&arg.colon0); self.space(1); self.expression(&arg.expression1); + if let Some(ref x) = arg.case_expression_opt { + self.comma(&x.comma); + } else { + self.str(","); + } + self.newline_pop(); + self.r_brace(&arg.r_brace); + } + + /// Semantic action for non-terminal 'SwitchExpression' + fn switch_expression(&mut self, arg: &SwitchExpression) { + self.switch(&arg.switch); + self.space(1); + self.token_will_push(&arg.l_brace.l_brace_token); + self.newline_push(); + self.switch_condition(&arg.switch_condition); + self.colon(&arg.colon); + self.space(1); + self.expression(&arg.expression); self.comma(&arg.comma); self.newline(); - for x in &arg.case_expression_list0 { - self.expression(&x.expression); - for x in &x.case_expression_list0_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } + for x in &arg.switch_expression_list { + self.switch_condition(&x.switch_condition); self.colon(&x.colon); self.space(1); - self.expression(&x.expression0); + self.expression(&x.expression); self.comma(&x.comma); self.newline(); } self.defaul(&arg.defaul); - self.colon(&arg.colon0); + self.colon(&arg.colon); self.space(1); - self.expression(&arg.expression2); - if let Some(ref x) = arg.case_expression_opt { + self.expression(&arg.expression0); + if let Some(ref x) = arg.switch_expression_opt { self.comma(&x.comma); - } else { - self.str(","); } self.newline_pop(); self.r_brace(&arg.r_brace); @@ -768,14 +789,7 @@ impl VerylWalker for Formatter { fn case_item(&mut self, arg: &CaseItem) { let start = self.column(); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { - self.comma(&x.comma); - self.space(1); - self.expression(&x.expression); - } - } + CaseItemGroup::CaseCondition(x) => self.case_condition(&x.case_condition), CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), } self.colon(&arg.colon); @@ -796,6 +810,64 @@ impl VerylWalker for Formatter { self.case_item_indent.pop(); } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, arg: &CaseCondition) { + self.range_item(&arg.range_item); + for x in &arg.case_condition_list { + self.comma(&x.comma); + self.space(1); + self.range_item(&x.range_item); + } + } + + /// Semantic action for non-terminal 'SwitchStatement' + fn switch_statement(&mut self, arg: &SwitchStatement) { + self.switch(&arg.switch); + self.space(1); + self.token_will_push(&arg.l_brace.l_brace_token); + for (i, x) in arg.switch_statement_list.iter().enumerate() { + self.newline_list(i); + self.switch_item(&x.switch_item); + } + self.newline_list_post(arg.switch_statement_list.is_empty()); + self.r_brace(&arg.r_brace); + } + + /// Semantic action for non-terminal 'SwitchItem' + fn switch_item(&mut self, arg: &SwitchItem) { + let start = self.column(); + match &*arg.switch_item_group { + SwitchItemGroup::SwitchCondition(x) => self.switch_condition(&x.switch_condition), + SwitchItemGroup::Defaul(x) => self.defaul(&x.defaul), + } + self.colon(&arg.colon); + self.space(1); + self.case_item_indent.push(self.column() - start); + match &*arg.switch_item_group0 { + SwitchItemGroup0::Statement(x) => self.statement(&x.statement), + SwitchItemGroup0::LBraceSwitchItemGroup0ListRBrace(x) => { + self.token_will_push(&x.l_brace.l_brace_token); + for (i, x) in x.switch_item_group0_list.iter().enumerate() { + self.newline_list(i); + self.statement(&x.statement); + } + self.newline_list_post(x.switch_item_group0_list.is_empty()); + self.r_brace(&x.r_brace); + } + } + self.case_item_indent.pop(); + } + + /// Semantic action for non-terminal 'SwitchCondition' + fn switch_condition(&mut self, arg: &SwitchCondition) { + self.expression(&arg.expression); + for x in &arg.switch_condition_list { + self.comma(&x.comma); + self.space(1); + self.expression(&x.expression); + } + } + /// Semantic action for non-terminal 'AttributeList' fn attribute_list(&mut self, arg: &AttributeList) { self.attribute_item(&arg.attribute_item); diff --git a/crates/languageserver/src/keyword.rs b/crates/languageserver/src/keyword.rs index 1dafcc76..52c24043 100644 --- a/crates/languageserver/src/keyword.rs +++ b/crates/languageserver/src/keyword.rs @@ -56,6 +56,7 @@ pub const KEYWORDS: &[&str] = &[ "step", "string", "struct", + "switch", "tri", "type", "u32", diff --git a/crates/parser/src/generated/veryl-exp.par b/crates/parser/src/generated/veryl-exp.par index 1df13687..4bc6d19d 100644 --- a/crates/parser/src/generated/veryl-exp.par +++ b/crates/parser/src/generated/veryl-exp.par @@ -112,819 +112,839 @@ /* 97 */ StepTerm: /(?-u:\b)step(?-u:\b)/ : Token; /* 98 */ StringTerm: /(?-u:\b)string(?-u:\b)/ : Token; /* 99 */ StructTerm: /(?-u:\b)struct(?-u:\b)/ : Token; -/* 100 */ TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token; -/* 101 */ TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token; -/* 102 */ U32Term: /(?-u:\b)u32(?-u:\b)/ : Token; -/* 103 */ U64Term: /(?-u:\b)u64(?-u:\b)/ : Token; -/* 104 */ UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token; -/* 105 */ VarTerm: /(?-u:\b)var(?-u:\b)/ : Token; -/* 106 */ DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; -/* 107 */ IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; -/* 108 */ AnyTerm: /[^{}]*/ : Token; -/* 109 */ Comments: CommentsOpt /* Option */; -/* 110 */ CommentsOpt /* Option::Some */: CommentsTerm; -/* 111 */ CommentsOpt /* Option::None */: ; -/* 112 */ StartToken: Comments; -/* 113 */ StringLiteralToken: StringLiteralTerm : Token Comments; -/* 114 */ ExponentToken: ExponentTerm : Token Comments; -/* 115 */ FixedPointToken: FixedPointTerm : Token Comments; -/* 116 */ BasedToken: BasedTerm : Token Comments; -/* 117 */ BaseLessToken: BaseLessTerm : Token Comments; -/* 118 */ AllBitToken: AllBitTerm : Token Comments; -/* 119 */ AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments; -/* 120 */ Operator01Token: Operator01Term : Token Comments; -/* 121 */ Operator02Token: Operator02Term : Token Comments; -/* 122 */ Operator03Token: Operator03Term : Token Comments; -/* 123 */ Operator04Token: Operator04Term : Token Comments; -/* 124 */ Operator05Token: Operator05Term : Token Comments; -/* 125 */ Operator06Token: Operator06Term : Token Comments; -/* 126 */ Operator07Token: Operator07Term : Token Comments; -/* 127 */ Operator08Token: Operator08Term : Token Comments; -/* 128 */ Operator09Token: Operator09Term : Token Comments; -/* 129 */ Operator10Token: Operator10Term : Token Comments; -/* 130 */ Operator11Token: Operator11Term : Token Comments; -/* 131 */ UnaryOperatorToken: UnaryOperatorTerm : Token Comments; -/* 132 */ ColonToken: ColonTerm : Token Comments; -/* 133 */ ColonColonLAngleToken: ColonColonLAngleTerm : Token Comments; -/* 134 */ ColonColonToken: ColonColonTerm : Token Comments; -/* 135 */ CommaToken: CommaTerm : Token Comments; -/* 136 */ DotDotToken: DotDotTerm : Token Comments; -/* 137 */ DotDotEquToken: DotDotEquTerm : Token Comments; -/* 138 */ DotToken: DotTerm : Token Comments; -/* 139 */ EquToken: EquTerm : Token Comments; -/* 140 */ HashToken: HashTerm : Token Comments; -/* 141 */ QuoteLBraceToken: QuoteLBraceTerm : Token Comments; -/* 142 */ LAngleToken: LAngleTerm : Token Comments; -/* 143 */ LBraceToken: LBraceTerm : Token Comments; -/* 144 */ LBracketToken: LBracketTerm : Token Comments; -/* 145 */ LParenToken: LParenTerm : Token Comments; -/* 146 */ MinusColonToken: MinusColonTerm : Token Comments; -/* 147 */ MinusGTToken: MinusGTTerm : Token Comments; -/* 148 */ PlusColonToken: PlusColonTerm : Token Comments; -/* 149 */ RAngleToken: RAngleTerm : Token Comments; -/* 150 */ RBraceToken: RBraceTerm : Token Comments; -/* 151 */ RBracketToken: RBracketTerm : Token Comments; -/* 152 */ RParenToken: RParenTerm : Token Comments; -/* 153 */ SemicolonToken: SemicolonTerm : Token Comments; -/* 154 */ StarToken: StarTerm : Token Comments; -/* 155 */ AlwaysCombToken: AlwaysCombTerm : Token Comments; -/* 156 */ AlwaysFfToken: AlwaysFfTerm : Token Comments; -/* 157 */ AsToken: AsTerm : Token Comments; -/* 158 */ AssignToken: AssignTerm : Token Comments; -/* 159 */ BitToken: BitTerm : Token Comments; -/* 160 */ CaseToken: CaseTerm : Token Comments; -/* 161 */ ClockToken: ClockTerm : Token Comments; -/* 162 */ ClockPosedgeToken: ClockPosedgeTerm : Token Comments; -/* 163 */ ClockNegedgeToken: ClockNegedgeTerm : Token Comments; -/* 164 */ DefaultToken: DefaultTerm : Token Comments; -/* 165 */ ElseToken: ElseTerm : Token Comments; -/* 166 */ EmbedToken: EmbedTerm : Token Comments; -/* 167 */ EnumToken: EnumTerm : Token Comments; -/* 168 */ ExportToken: ExportTerm : Token Comments; -/* 169 */ F32Token: F32Term : Token Comments; -/* 170 */ F64Token: F64Term : Token Comments; -/* 171 */ FinalToken: FinalTerm : Token Comments; -/* 172 */ ForToken: ForTerm : Token Comments; -/* 173 */ FunctionToken: FunctionTerm : Token Comments; -/* 174 */ I32Token: I32Term : Token Comments; -/* 175 */ I64Token: I64Term : Token Comments; -/* 176 */ IfResetToken: IfResetTerm : Token Comments; -/* 177 */ IfToken: IfTerm : Token Comments; -/* 178 */ ImportToken: ImportTerm : Token Comments; -/* 179 */ IncludeToken: IncludeTerm : Token Comments; -/* 180 */ InitialToken: InitialTerm : Token Comments; -/* 181 */ InoutToken: InoutTerm : Token Comments; -/* 182 */ InputToken: InputTerm : Token Comments; -/* 183 */ InsideToken: InsideTerm : Token Comments; -/* 184 */ InstToken: InstTerm : Token Comments; -/* 185 */ InterfaceToken: InterfaceTerm : Token Comments; -/* 186 */ InToken: InTerm : Token Comments; -/* 187 */ LetToken: LetTerm : Token Comments; -/* 188 */ LocalToken: LocalTerm : Token Comments; -/* 189 */ LogicToken: LogicTerm : Token Comments; -/* 190 */ LsbToken: LsbTerm : Token Comments; -/* 191 */ ModportToken: ModportTerm : Token Comments; -/* 192 */ ModuleToken: ModuleTerm : Token Comments; -/* 193 */ MsbToken: MsbTerm : Token Comments; -/* 194 */ OutputToken: OutputTerm : Token Comments; -/* 195 */ OutsideToken: OutsideTerm : Token Comments; -/* 196 */ PackageToken: PackageTerm : Token Comments; -/* 197 */ ParamToken: ParamTerm : Token Comments; -/* 198 */ PubToken: PubTerm : Token Comments; -/* 199 */ RefToken: RefTerm : Token Comments; -/* 200 */ RepeatToken: RepeatTerm : Token Comments; -/* 201 */ ResetToken: ResetTerm : Token Comments; -/* 202 */ ResetAsyncHighToken: ResetAsyncHighTerm : Token Comments; -/* 203 */ ResetAsyncLowToken: ResetAsyncLowTerm : Token Comments; -/* 204 */ ResetSyncHighToken: ResetSyncHighTerm : Token Comments; -/* 205 */ ResetSyncLowToken: ResetSyncLowTerm : Token Comments; -/* 206 */ ReturnToken: ReturnTerm : Token Comments; -/* 207 */ BreakToken: BreakTerm : Token Comments; -/* 208 */ SignedToken: SignedTerm : Token Comments; -/* 209 */ StepToken: StepTerm : Token Comments; -/* 210 */ StringToken: StringTerm : Token Comments; -/* 211 */ StructToken: StructTerm : Token Comments; -/* 212 */ TriToken: TriTerm : Token Comments; -/* 213 */ TypeToken: TypeTerm : Token Comments; -/* 214 */ U32Token: U32Term : Token Comments; -/* 215 */ U64Token: U64Term : Token Comments; -/* 216 */ UnionToken: UnionTerm : Token Comments; -/* 217 */ VarToken: VarTerm : Token Comments; -/* 218 */ DollarIdentifierToken: DollarIdentifierTerm : Token Comments; -/* 219 */ IdentifierToken: IdentifierTerm : Token Comments; -/* 220 */ Start: StartToken : VerylToken; -/* 221 */ StringLiteral: StringLiteralToken : VerylToken; -/* 222 */ Exponent: ExponentToken : VerylToken; -/* 223 */ FixedPoint: FixedPointToken : VerylToken; -/* 224 */ Based: BasedToken : VerylToken; -/* 225 */ BaseLess: BaseLessToken : VerylToken; -/* 226 */ AllBit: AllBitToken : VerylToken; -/* 227 */ AssignmentOperator: AssignmentOperatorToken : VerylToken; -/* 228 */ Operator01: Operator01Token : VerylToken; -/* 229 */ Operator02: Operator02Token : VerylToken; -/* 230 */ Operator03: Operator03Token : VerylToken; -/* 231 */ Operator04: Operator04Token : VerylToken; -/* 232 */ Operator05: Operator05Token : VerylToken; -/* 233 */ Operator06: Operator06Token : VerylToken; -/* 234 */ Operator07: Operator07Token : VerylToken; -/* 235 */ Operator08: Operator08Token : VerylToken; -/* 236 */ Operator09: Operator09Token : VerylToken; -/* 237 */ Operator10: Operator10Token : VerylToken; -/* 238 */ Operator11: Operator11Token : VerylToken; -/* 239 */ UnaryOperator: UnaryOperatorToken : VerylToken; -/* 240 */ Colon: ColonToken : VerylToken; -/* 241 */ ColonColonLAngle: ColonColonLAngleToken : VerylToken; -/* 242 */ ColonColon: ColonColonToken : VerylToken; -/* 243 */ Comma: CommaToken : VerylToken; -/* 244 */ DotDot: DotDotToken : VerylToken; -/* 245 */ DotDotEqu: DotDotEquToken : VerylToken; -/* 246 */ Dot: DotToken : VerylToken; -/* 247 */ Equ: EquToken : VerylToken; -/* 248 */ Hash: HashToken : VerylToken; -/* 249 */ QuoteLBrace: QuoteLBraceToken : VerylToken; -/* 250 */ LAngle: LAngleToken : VerylToken; -/* 251 */ LBrace: LBraceToken : VerylToken; -/* 252 */ LBracket: LBracketToken : VerylToken; -/* 253 */ LParen: LParenToken : VerylToken; -/* 254 */ MinusColon: MinusColonToken : VerylToken; -/* 255 */ MinusGT: MinusGTToken : VerylToken; -/* 256 */ PlusColon: PlusColonToken : VerylToken; -/* 257 */ RAngle: RAngleToken : VerylToken; -/* 258 */ RBrace: RBraceToken : VerylToken; -/* 259 */ RBracket: RBracketToken : VerylToken; -/* 260 */ RParen: RParenToken : VerylToken; -/* 261 */ Semicolon: SemicolonToken : VerylToken; -/* 262 */ Star: StarToken : VerylToken; -/* 263 */ AlwaysComb: AlwaysCombToken : VerylToken; -/* 264 */ AlwaysFf: AlwaysFfToken : VerylToken; -/* 265 */ As: AsToken : VerylToken; -/* 266 */ Assign: AssignToken : VerylToken; -/* 267 */ Bit: BitToken : VerylToken; -/* 268 */ Break: BreakToken : VerylToken; -/* 269 */ Case: CaseToken : VerylToken; -/* 270 */ Clock: ClockToken : VerylToken; -/* 271 */ ClockPosedge: ClockPosedgeToken : VerylToken; -/* 272 */ ClockNegedge: ClockNegedgeToken : VerylToken; -/* 273 */ Defaul: DefaultToken : VerylToken; -/* 274 */ Else: ElseToken : VerylToken; -/* 275 */ Embed: EmbedToken : VerylToken; -/* 276 */ Enum: EnumToken : VerylToken; -/* 277 */ Export: ExportToken : VerylToken; -/* 278 */ F32: F32Token : VerylToken; -/* 279 */ F64: F64Token : VerylToken; -/* 280 */ Final: FinalToken : VerylToken; -/* 281 */ For: ForToken : VerylToken; -/* 282 */ Function: FunctionToken : VerylToken; -/* 283 */ I32: I32Token : VerylToken; -/* 284 */ I64: I64Token : VerylToken; -/* 285 */ If: IfToken : VerylToken; -/* 286 */ IfReset: IfResetToken : VerylToken; -/* 287 */ Import: ImportToken : VerylToken; -/* 288 */ In: InToken : VerylToken; -/* 289 */ Include: IncludeToken : VerylToken; -/* 290 */ Initial: InitialToken : VerylToken; -/* 291 */ Inout: InoutToken : VerylToken; -/* 292 */ Input: InputToken : VerylToken; -/* 293 */ Inside: InsideToken : VerylToken; -/* 294 */ Inst: InstToken : VerylToken; -/* 295 */ Interface: InterfaceToken : VerylToken; -/* 296 */ Let: LetToken : VerylToken; -/* 297 */ Local: LocalToken : VerylToken; -/* 298 */ Logic: LogicToken : VerylToken; -/* 299 */ Lsb: LsbToken : VerylToken; -/* 300 */ Modport: ModportToken : VerylToken; -/* 301 */ Module: ModuleToken : VerylToken; -/* 302 */ Msb: MsbToken : VerylToken; -/* 303 */ Output: OutputToken : VerylToken; -/* 304 */ Outside: OutsideToken : VerylToken; -/* 305 */ Package: PackageToken : VerylToken; -/* 306 */ Param: ParamToken : VerylToken; -/* 307 */ Pub: PubToken : VerylToken; -/* 308 */ Ref: RefToken : VerylToken; -/* 309 */ Repeat: RepeatToken : VerylToken; -/* 310 */ Reset: ResetToken : VerylToken; -/* 311 */ ResetAsyncHigh: ResetAsyncHighToken : VerylToken; -/* 312 */ ResetAsyncLow: ResetAsyncLowToken : VerylToken; -/* 313 */ ResetSyncHigh: ResetSyncHighToken : VerylToken; -/* 314 */ ResetSyncLow: ResetSyncLowToken : VerylToken; -/* 315 */ Return: ReturnToken : VerylToken; -/* 316 */ Signed: SignedToken : VerylToken; -/* 317 */ Step: StepToken : VerylToken; -/* 318 */ Strin: StringToken : VerylToken; -/* 319 */ Struct: StructToken : VerylToken; -/* 320 */ Tri: TriToken : VerylToken; -/* 321 */ Type: TypeToken : VerylToken; -/* 322 */ U32: U32Token : VerylToken; -/* 323 */ U64: U64Token : VerylToken; -/* 324 */ Union: UnionToken : VerylToken; -/* 325 */ Var: VarToken : VerylToken; -/* 326 */ DollarIdentifier: DollarIdentifierToken : VerylToken; -/* 327 */ Identifier: IdentifierToken : VerylToken; -/* 328 */ Number: IntegralNumber; -/* 329 */ Number: RealNumber; -/* 330 */ IntegralNumber: Based; -/* 331 */ IntegralNumber: BaseLess; -/* 332 */ IntegralNumber: AllBit; -/* 333 */ RealNumber: FixedPoint; -/* 334 */ RealNumber: Exponent; -/* 335 */ HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; -/* 336 */ HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; -/* 337 */ HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List; -/* 338 */ HierarchicalIdentifierList0List /* Vec::New */: ; -/* 339 */ HierarchicalIdentifierList0 /* Vec::New */: ; -/* 340 */ HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList; -/* 341 */ HierarchicalIdentifierList /* Vec::New */: ; -/* 342 */ ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; -/* 343 */ ScopedIdentifierGroup: DollarIdentifier; -/* 344 */ ScopedIdentifierGroup: Identifier ScopedIdentifierOpt /* Option */; -/* 345 */ ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierOpt0 /* Option */ ScopedIdentifierList; -/* 346 */ ScopedIdentifierList /* Vec::New */: ; -/* 347 */ ScopedIdentifierOpt0 /* Option::Some */: WithGenericArgument; -/* 348 */ ScopedIdentifierOpt0 /* Option::None */: ; -/* 349 */ ScopedIdentifierOpt /* Option::Some */: WithGenericArgument; -/* 350 */ ScopedIdentifierOpt /* Option::None */: ; -/* 351 */ ExpressionIdentifier: ScopedIdentifier ExpressionIdentifierList /* Vec */ ExpressionIdentifierList0 /* Vec */; -/* 352 */ ExpressionIdentifierList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierList0List /* Vec */ ExpressionIdentifierList0; -/* 353 */ ExpressionIdentifierList0List /* Vec::Push */: Select ExpressionIdentifierList0List; -/* 354 */ ExpressionIdentifierList0List /* Vec::New */: ; -/* 355 */ ExpressionIdentifierList0 /* Vec::New */: ; -/* 356 */ ExpressionIdentifierList /* Vec::Push */: Select ExpressionIdentifierList; -/* 357 */ ExpressionIdentifierList /* Vec::New */: ; -/* 358 */ Expression: Expression01 ExpressionList /* Vec */; -/* 359 */ ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList; -/* 360 */ ExpressionList /* Vec::New */: ; -/* 361 */ Expression01: Expression02 Expression01List /* Vec */; -/* 362 */ Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List; -/* 363 */ Expression01List /* Vec::New */: ; -/* 364 */ Expression02: Expression03 Expression02List /* Vec */; -/* 365 */ Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List; -/* 366 */ Expression02List /* Vec::New */: ; -/* 367 */ Expression03: Expression04 Expression03List /* Vec */; -/* 368 */ Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List; -/* 369 */ Expression03List /* Vec::New */: ; -/* 370 */ Expression04: Expression05 Expression04List /* Vec */; -/* 371 */ Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List; -/* 372 */ Expression04List /* Vec::New */: ; -/* 373 */ Expression05: Expression06 Expression05List /* Vec */; -/* 374 */ Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List; -/* 375 */ Expression05List /* Vec::New */: ; -/* 376 */ Expression06: Expression07 Expression06List /* Vec */; -/* 377 */ Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List; -/* 378 */ Expression06List /* Vec::New */: ; -/* 379 */ Expression07: Expression08 Expression07List /* Vec */; -/* 380 */ Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List; -/* 381 */ Expression07List /* Vec::New */: ; -/* 382 */ Expression08: Expression09 Expression08List /* Vec */; -/* 383 */ Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List; -/* 384 */ Expression08List /* Vec::New */: ; -/* 385 */ Expression09: Expression10 Expression09List /* Vec */; -/* 386 */ Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List; -/* 387 */ Expression09ListGroup: Operator10; -/* 388 */ Expression09ListGroup: Star; -/* 389 */ Expression09List /* Vec::New */: ; -/* 390 */ Expression10: Expression11 Expression10List /* Vec */; -/* 391 */ Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List; -/* 392 */ Expression10List /* Vec::New */: ; -/* 393 */ Expression11: Expression12 Expression11List /* Vec */; -/* 394 */ Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List; -/* 395 */ Expression11List /* Vec::New */: ; -/* 396 */ Expression12: Expression12List /* Vec */ Factor; -/* 397 */ Expression12List /* Vec::Push */: Expression12ListGroup Expression12List; -/* 398 */ Expression12ListGroup: UnaryOperator; -/* 399 */ Expression12ListGroup: Operator09; -/* 400 */ Expression12ListGroup: Operator05; -/* 401 */ Expression12ListGroup: Operator03; -/* 402 */ Expression12ListGroup: Operator04; -/* 403 */ Expression12List /* Vec::New */: ; -/* 404 */ Factor: Number; -/* 405 */ Factor: ExpressionIdentifier FactorOpt /* Option */; -/* 406 */ Factor: LParen Expression RParen; -/* 407 */ Factor: LBrace ConcatenationList RBrace; -/* 408 */ Factor: QuoteLBrace ArrayLiteralList RBrace; -/* 409 */ Factor: IfExpression; -/* 410 */ Factor: CaseExpression; -/* 411 */ Factor: StringLiteral; -/* 412 */ Factor: FactorGroup; -/* 413 */ FactorGroup: Msb; -/* 414 */ FactorGroup: Lsb; -/* 415 */ Factor: InsideExpression; -/* 416 */ Factor: OutsideExpression; -/* 417 */ FactorOpt /* Option::Some */: FunctionCall; -/* 418 */ FactorOpt /* Option::None */: ; -/* 419 */ FunctionCall: LParen FunctionCallOpt /* Option */ RParen; -/* 420 */ FunctionCallOpt /* Option::Some */: ArgumentList; -/* 421 */ FunctionCallOpt /* Option::None */: ; -/* 422 */ ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; -/* 423 */ ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList; -/* 424 */ ArgumentListList /* Vec::New */: ; -/* 425 */ ArgumentListOpt /* Option::Some */: Comma; -/* 426 */ ArgumentListOpt /* Option::None */: ; -/* 427 */ ArgumentItem: Expression; -/* 428 */ ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; -/* 429 */ ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList; -/* 430 */ ConcatenationListList /* Vec::New */: ; -/* 431 */ ConcatenationListOpt /* Option::Some */: Comma; -/* 432 */ ConcatenationListOpt /* Option::None */: ; -/* 433 */ ConcatenationItem: Expression ConcatenationItemOpt /* Option */; -/* 434 */ ConcatenationItemOpt /* Option::Some */: Repeat Expression; -/* 435 */ ConcatenationItemOpt /* Option::None */: ; -/* 436 */ ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; -/* 437 */ ArrayLiteralListList /* Vec::Push */: Comma ArrayLiteralItem ArrayLiteralListList; -/* 438 */ ArrayLiteralListList /* Vec::New */: ; -/* 439 */ ArrayLiteralListOpt /* Option::Some */: Comma; -/* 440 */ ArrayLiteralListOpt /* Option::None */: ; -/* 441 */ ArrayLiteralItem: ArrayLiteralItemGroup; -/* 442 */ ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; -/* 443 */ ArrayLiteralItemGroup: Defaul Colon Expression; -/* 444 */ ArrayLiteralItemOpt /* Option::Some */: Repeat Expression; -/* 445 */ ArrayLiteralItemOpt /* Option::None */: ; -/* 446 */ IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; -/* 447 */ IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList; -/* 448 */ IfExpressionList /* Vec::New */: ; -/* 449 */ CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; -/* 450 */ CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; -/* 451 */ CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List; -/* 452 */ CaseExpressionList0List /* Vec::New */: ; -/* 453 */ CaseExpressionList0 /* Vec::New */: ; -/* 454 */ CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList; +/* 100 */ SwitchTerm: /(?-u:\b)switch(?-u:\b)/ : Token; +/* 101 */ TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token; +/* 102 */ TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token; +/* 103 */ U32Term: /(?-u:\b)u32(?-u:\b)/ : Token; +/* 104 */ U64Term: /(?-u:\b)u64(?-u:\b)/ : Token; +/* 105 */ UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token; +/* 106 */ VarTerm: /(?-u:\b)var(?-u:\b)/ : Token; +/* 107 */ DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; +/* 108 */ IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token; +/* 109 */ AnyTerm: /[^{}]*/ : Token; +/* 110 */ Comments: CommentsOpt /* Option */; +/* 111 */ CommentsOpt /* Option::Some */: CommentsTerm; +/* 112 */ CommentsOpt /* Option::None */: ; +/* 113 */ StartToken: Comments; +/* 114 */ StringLiteralToken: StringLiteralTerm : Token Comments; +/* 115 */ ExponentToken: ExponentTerm : Token Comments; +/* 116 */ FixedPointToken: FixedPointTerm : Token Comments; +/* 117 */ BasedToken: BasedTerm : Token Comments; +/* 118 */ BaseLessToken: BaseLessTerm : Token Comments; +/* 119 */ AllBitToken: AllBitTerm : Token Comments; +/* 120 */ AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments; +/* 121 */ Operator01Token: Operator01Term : Token Comments; +/* 122 */ Operator02Token: Operator02Term : Token Comments; +/* 123 */ Operator03Token: Operator03Term : Token Comments; +/* 124 */ Operator04Token: Operator04Term : Token Comments; +/* 125 */ Operator05Token: Operator05Term : Token Comments; +/* 126 */ Operator06Token: Operator06Term : Token Comments; +/* 127 */ Operator07Token: Operator07Term : Token Comments; +/* 128 */ Operator08Token: Operator08Term : Token Comments; +/* 129 */ Operator09Token: Operator09Term : Token Comments; +/* 130 */ Operator10Token: Operator10Term : Token Comments; +/* 131 */ Operator11Token: Operator11Term : Token Comments; +/* 132 */ UnaryOperatorToken: UnaryOperatorTerm : Token Comments; +/* 133 */ ColonToken: ColonTerm : Token Comments; +/* 134 */ ColonColonLAngleToken: ColonColonLAngleTerm : Token Comments; +/* 135 */ ColonColonToken: ColonColonTerm : Token Comments; +/* 136 */ CommaToken: CommaTerm : Token Comments; +/* 137 */ DotDotToken: DotDotTerm : Token Comments; +/* 138 */ DotDotEquToken: DotDotEquTerm : Token Comments; +/* 139 */ DotToken: DotTerm : Token Comments; +/* 140 */ EquToken: EquTerm : Token Comments; +/* 141 */ HashToken: HashTerm : Token Comments; +/* 142 */ QuoteLBraceToken: QuoteLBraceTerm : Token Comments; +/* 143 */ LAngleToken: LAngleTerm : Token Comments; +/* 144 */ LBraceToken: LBraceTerm : Token Comments; +/* 145 */ LBracketToken: LBracketTerm : Token Comments; +/* 146 */ LParenToken: LParenTerm : Token Comments; +/* 147 */ MinusColonToken: MinusColonTerm : Token Comments; +/* 148 */ MinusGTToken: MinusGTTerm : Token Comments; +/* 149 */ PlusColonToken: PlusColonTerm : Token Comments; +/* 150 */ RAngleToken: RAngleTerm : Token Comments; +/* 151 */ RBraceToken: RBraceTerm : Token Comments; +/* 152 */ RBracketToken: RBracketTerm : Token Comments; +/* 153 */ RParenToken: RParenTerm : Token Comments; +/* 154 */ SemicolonToken: SemicolonTerm : Token Comments; +/* 155 */ StarToken: StarTerm : Token Comments; +/* 156 */ AlwaysCombToken: AlwaysCombTerm : Token Comments; +/* 157 */ AlwaysFfToken: AlwaysFfTerm : Token Comments; +/* 158 */ AsToken: AsTerm : Token Comments; +/* 159 */ AssignToken: AssignTerm : Token Comments; +/* 160 */ BitToken: BitTerm : Token Comments; +/* 161 */ CaseToken: CaseTerm : Token Comments; +/* 162 */ ClockToken: ClockTerm : Token Comments; +/* 163 */ ClockPosedgeToken: ClockPosedgeTerm : Token Comments; +/* 164 */ ClockNegedgeToken: ClockNegedgeTerm : Token Comments; +/* 165 */ DefaultToken: DefaultTerm : Token Comments; +/* 166 */ ElseToken: ElseTerm : Token Comments; +/* 167 */ EmbedToken: EmbedTerm : Token Comments; +/* 168 */ EnumToken: EnumTerm : Token Comments; +/* 169 */ ExportToken: ExportTerm : Token Comments; +/* 170 */ F32Token: F32Term : Token Comments; +/* 171 */ F64Token: F64Term : Token Comments; +/* 172 */ FinalToken: FinalTerm : Token Comments; +/* 173 */ ForToken: ForTerm : Token Comments; +/* 174 */ FunctionToken: FunctionTerm : Token Comments; +/* 175 */ I32Token: I32Term : Token Comments; +/* 176 */ I64Token: I64Term : Token Comments; +/* 177 */ IfResetToken: IfResetTerm : Token Comments; +/* 178 */ IfToken: IfTerm : Token Comments; +/* 179 */ ImportToken: ImportTerm : Token Comments; +/* 180 */ IncludeToken: IncludeTerm : Token Comments; +/* 181 */ InitialToken: InitialTerm : Token Comments; +/* 182 */ InoutToken: InoutTerm : Token Comments; +/* 183 */ InputToken: InputTerm : Token Comments; +/* 184 */ InsideToken: InsideTerm : Token Comments; +/* 185 */ InstToken: InstTerm : Token Comments; +/* 186 */ InterfaceToken: InterfaceTerm : Token Comments; +/* 187 */ InToken: InTerm : Token Comments; +/* 188 */ LetToken: LetTerm : Token Comments; +/* 189 */ LocalToken: LocalTerm : Token Comments; +/* 190 */ LogicToken: LogicTerm : Token Comments; +/* 191 */ LsbToken: LsbTerm : Token Comments; +/* 192 */ ModportToken: ModportTerm : Token Comments; +/* 193 */ ModuleToken: ModuleTerm : Token Comments; +/* 194 */ MsbToken: MsbTerm : Token Comments; +/* 195 */ OutputToken: OutputTerm : Token Comments; +/* 196 */ OutsideToken: OutsideTerm : Token Comments; +/* 197 */ PackageToken: PackageTerm : Token Comments; +/* 198 */ ParamToken: ParamTerm : Token Comments; +/* 199 */ PubToken: PubTerm : Token Comments; +/* 200 */ RefToken: RefTerm : Token Comments; +/* 201 */ RepeatToken: RepeatTerm : Token Comments; +/* 202 */ ResetToken: ResetTerm : Token Comments; +/* 203 */ ResetAsyncHighToken: ResetAsyncHighTerm : Token Comments; +/* 204 */ ResetAsyncLowToken: ResetAsyncLowTerm : Token Comments; +/* 205 */ ResetSyncHighToken: ResetSyncHighTerm : Token Comments; +/* 206 */ ResetSyncLowToken: ResetSyncLowTerm : Token Comments; +/* 207 */ ReturnToken: ReturnTerm : Token Comments; +/* 208 */ BreakToken: BreakTerm : Token Comments; +/* 209 */ SignedToken: SignedTerm : Token Comments; +/* 210 */ StepToken: StepTerm : Token Comments; +/* 211 */ StringToken: StringTerm : Token Comments; +/* 212 */ StructToken: StructTerm : Token Comments; +/* 213 */ SwitchToken: SwitchTerm : Token Comments; +/* 214 */ TriToken: TriTerm : Token Comments; +/* 215 */ TypeToken: TypeTerm : Token Comments; +/* 216 */ U32Token: U32Term : Token Comments; +/* 217 */ U64Token: U64Term : Token Comments; +/* 218 */ UnionToken: UnionTerm : Token Comments; +/* 219 */ VarToken: VarTerm : Token Comments; +/* 220 */ DollarIdentifierToken: DollarIdentifierTerm : Token Comments; +/* 221 */ IdentifierToken: IdentifierTerm : Token Comments; +/* 222 */ Start: StartToken : VerylToken; +/* 223 */ StringLiteral: StringLiteralToken : VerylToken; +/* 224 */ Exponent: ExponentToken : VerylToken; +/* 225 */ FixedPoint: FixedPointToken : VerylToken; +/* 226 */ Based: BasedToken : VerylToken; +/* 227 */ BaseLess: BaseLessToken : VerylToken; +/* 228 */ AllBit: AllBitToken : VerylToken; +/* 229 */ AssignmentOperator: AssignmentOperatorToken : VerylToken; +/* 230 */ Operator01: Operator01Token : VerylToken; +/* 231 */ Operator02: Operator02Token : VerylToken; +/* 232 */ Operator03: Operator03Token : VerylToken; +/* 233 */ Operator04: Operator04Token : VerylToken; +/* 234 */ Operator05: Operator05Token : VerylToken; +/* 235 */ Operator06: Operator06Token : VerylToken; +/* 236 */ Operator07: Operator07Token : VerylToken; +/* 237 */ Operator08: Operator08Token : VerylToken; +/* 238 */ Operator09: Operator09Token : VerylToken; +/* 239 */ Operator10: Operator10Token : VerylToken; +/* 240 */ Operator11: Operator11Token : VerylToken; +/* 241 */ UnaryOperator: UnaryOperatorToken : VerylToken; +/* 242 */ Colon: ColonToken : VerylToken; +/* 243 */ ColonColonLAngle: ColonColonLAngleToken : VerylToken; +/* 244 */ ColonColon: ColonColonToken : VerylToken; +/* 245 */ Comma: CommaToken : VerylToken; +/* 246 */ DotDot: DotDotToken : VerylToken; +/* 247 */ DotDotEqu: DotDotEquToken : VerylToken; +/* 248 */ Dot: DotToken : VerylToken; +/* 249 */ Equ: EquToken : VerylToken; +/* 250 */ Hash: HashToken : VerylToken; +/* 251 */ QuoteLBrace: QuoteLBraceToken : VerylToken; +/* 252 */ LAngle: LAngleToken : VerylToken; +/* 253 */ LBrace: LBraceToken : VerylToken; +/* 254 */ LBracket: LBracketToken : VerylToken; +/* 255 */ LParen: LParenToken : VerylToken; +/* 256 */ MinusColon: MinusColonToken : VerylToken; +/* 257 */ MinusGT: MinusGTToken : VerylToken; +/* 258 */ PlusColon: PlusColonToken : VerylToken; +/* 259 */ RAngle: RAngleToken : VerylToken; +/* 260 */ RBrace: RBraceToken : VerylToken; +/* 261 */ RBracket: RBracketToken : VerylToken; +/* 262 */ RParen: RParenToken : VerylToken; +/* 263 */ Semicolon: SemicolonToken : VerylToken; +/* 264 */ Star: StarToken : VerylToken; +/* 265 */ AlwaysComb: AlwaysCombToken : VerylToken; +/* 266 */ AlwaysFf: AlwaysFfToken : VerylToken; +/* 267 */ As: AsToken : VerylToken; +/* 268 */ Assign: AssignToken : VerylToken; +/* 269 */ Bit: BitToken : VerylToken; +/* 270 */ Break: BreakToken : VerylToken; +/* 271 */ Case: CaseToken : VerylToken; +/* 272 */ Clock: ClockToken : VerylToken; +/* 273 */ ClockPosedge: ClockPosedgeToken : VerylToken; +/* 274 */ ClockNegedge: ClockNegedgeToken : VerylToken; +/* 275 */ Defaul: DefaultToken : VerylToken; +/* 276 */ Else: ElseToken : VerylToken; +/* 277 */ Embed: EmbedToken : VerylToken; +/* 278 */ Enum: EnumToken : VerylToken; +/* 279 */ Export: ExportToken : VerylToken; +/* 280 */ F32: F32Token : VerylToken; +/* 281 */ F64: F64Token : VerylToken; +/* 282 */ Final: FinalToken : VerylToken; +/* 283 */ For: ForToken : VerylToken; +/* 284 */ Function: FunctionToken : VerylToken; +/* 285 */ I32: I32Token : VerylToken; +/* 286 */ I64: I64Token : VerylToken; +/* 287 */ If: IfToken : VerylToken; +/* 288 */ IfReset: IfResetToken : VerylToken; +/* 289 */ Import: ImportToken : VerylToken; +/* 290 */ In: InToken : VerylToken; +/* 291 */ Include: IncludeToken : VerylToken; +/* 292 */ Initial: InitialToken : VerylToken; +/* 293 */ Inout: InoutToken : VerylToken; +/* 294 */ Input: InputToken : VerylToken; +/* 295 */ Inside: InsideToken : VerylToken; +/* 296 */ Inst: InstToken : VerylToken; +/* 297 */ Interface: InterfaceToken : VerylToken; +/* 298 */ Let: LetToken : VerylToken; +/* 299 */ Local: LocalToken : VerylToken; +/* 300 */ Logic: LogicToken : VerylToken; +/* 301 */ Lsb: LsbToken : VerylToken; +/* 302 */ Modport: ModportToken : VerylToken; +/* 303 */ Module: ModuleToken : VerylToken; +/* 304 */ Msb: MsbToken : VerylToken; +/* 305 */ Output: OutputToken : VerylToken; +/* 306 */ Outside: OutsideToken : VerylToken; +/* 307 */ Package: PackageToken : VerylToken; +/* 308 */ Param: ParamToken : VerylToken; +/* 309 */ Pub: PubToken : VerylToken; +/* 310 */ Ref: RefToken : VerylToken; +/* 311 */ Repeat: RepeatToken : VerylToken; +/* 312 */ Reset: ResetToken : VerylToken; +/* 313 */ ResetAsyncHigh: ResetAsyncHighToken : VerylToken; +/* 314 */ ResetAsyncLow: ResetAsyncLowToken : VerylToken; +/* 315 */ ResetSyncHigh: ResetSyncHighToken : VerylToken; +/* 316 */ ResetSyncLow: ResetSyncLowToken : VerylToken; +/* 317 */ Return: ReturnToken : VerylToken; +/* 318 */ Signed: SignedToken : VerylToken; +/* 319 */ Step: StepToken : VerylToken; +/* 320 */ Strin: StringToken : VerylToken; +/* 321 */ Struct: StructToken : VerylToken; +/* 322 */ Switch: SwitchToken : VerylToken; +/* 323 */ Tri: TriToken : VerylToken; +/* 324 */ Type: TypeToken : VerylToken; +/* 325 */ U32: U32Token : VerylToken; +/* 326 */ U64: U64Token : VerylToken; +/* 327 */ Union: UnionToken : VerylToken; +/* 328 */ Var: VarToken : VerylToken; +/* 329 */ DollarIdentifier: DollarIdentifierToken : VerylToken; +/* 330 */ Identifier: IdentifierToken : VerylToken; +/* 331 */ Number: IntegralNumber; +/* 332 */ Number: RealNumber; +/* 333 */ IntegralNumber: Based; +/* 334 */ IntegralNumber: BaseLess; +/* 335 */ IntegralNumber: AllBit; +/* 336 */ RealNumber: FixedPoint; +/* 337 */ RealNumber: Exponent; +/* 338 */ HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; +/* 339 */ HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; +/* 340 */ HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List; +/* 341 */ HierarchicalIdentifierList0List /* Vec::New */: ; +/* 342 */ HierarchicalIdentifierList0 /* Vec::New */: ; +/* 343 */ HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList; +/* 344 */ HierarchicalIdentifierList /* Vec::New */: ; +/* 345 */ ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; +/* 346 */ ScopedIdentifierGroup: DollarIdentifier; +/* 347 */ ScopedIdentifierGroup: Identifier ScopedIdentifierOpt /* Option */; +/* 348 */ ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierOpt0 /* Option */ ScopedIdentifierList; +/* 349 */ ScopedIdentifierList /* Vec::New */: ; +/* 350 */ ScopedIdentifierOpt0 /* Option::Some */: WithGenericArgument; +/* 351 */ ScopedIdentifierOpt0 /* Option::None */: ; +/* 352 */ ScopedIdentifierOpt /* Option::Some */: WithGenericArgument; +/* 353 */ ScopedIdentifierOpt /* Option::None */: ; +/* 354 */ ExpressionIdentifier: ScopedIdentifier ExpressionIdentifierList /* Vec */ ExpressionIdentifierList0 /* Vec */; +/* 355 */ ExpressionIdentifierList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierList0List /* Vec */ ExpressionIdentifierList0; +/* 356 */ ExpressionIdentifierList0List /* Vec::Push */: Select ExpressionIdentifierList0List; +/* 357 */ ExpressionIdentifierList0List /* Vec::New */: ; +/* 358 */ ExpressionIdentifierList0 /* Vec::New */: ; +/* 359 */ ExpressionIdentifierList /* Vec::Push */: Select ExpressionIdentifierList; +/* 360 */ ExpressionIdentifierList /* Vec::New */: ; +/* 361 */ Expression: Expression01 ExpressionList /* Vec */; +/* 362 */ ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList; +/* 363 */ ExpressionList /* Vec::New */: ; +/* 364 */ Expression01: Expression02 Expression01List /* Vec */; +/* 365 */ Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List; +/* 366 */ Expression01List /* Vec::New */: ; +/* 367 */ Expression02: Expression03 Expression02List /* Vec */; +/* 368 */ Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List; +/* 369 */ Expression02List /* Vec::New */: ; +/* 370 */ Expression03: Expression04 Expression03List /* Vec */; +/* 371 */ Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List; +/* 372 */ Expression03List /* Vec::New */: ; +/* 373 */ Expression04: Expression05 Expression04List /* Vec */; +/* 374 */ Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List; +/* 375 */ Expression04List /* Vec::New */: ; +/* 376 */ Expression05: Expression06 Expression05List /* Vec */; +/* 377 */ Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List; +/* 378 */ Expression05List /* Vec::New */: ; +/* 379 */ Expression06: Expression07 Expression06List /* Vec */; +/* 380 */ Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List; +/* 381 */ Expression06List /* Vec::New */: ; +/* 382 */ Expression07: Expression08 Expression07List /* Vec */; +/* 383 */ Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List; +/* 384 */ Expression07List /* Vec::New */: ; +/* 385 */ Expression08: Expression09 Expression08List /* Vec */; +/* 386 */ Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List; +/* 387 */ Expression08List /* Vec::New */: ; +/* 388 */ Expression09: Expression10 Expression09List /* Vec */; +/* 389 */ Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List; +/* 390 */ Expression09ListGroup: Operator10; +/* 391 */ Expression09ListGroup: Star; +/* 392 */ Expression09List /* Vec::New */: ; +/* 393 */ Expression10: Expression11 Expression10List /* Vec */; +/* 394 */ Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List; +/* 395 */ Expression10List /* Vec::New */: ; +/* 396 */ Expression11: Expression12 Expression11List /* Vec */; +/* 397 */ Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List; +/* 398 */ Expression11List /* Vec::New */: ; +/* 399 */ Expression12: Expression12List /* Vec */ Factor; +/* 400 */ Expression12List /* Vec::Push */: Expression12ListGroup Expression12List; +/* 401 */ Expression12ListGroup: UnaryOperator; +/* 402 */ Expression12ListGroup: Operator09; +/* 403 */ Expression12ListGroup: Operator05; +/* 404 */ Expression12ListGroup: Operator03; +/* 405 */ Expression12ListGroup: Operator04; +/* 406 */ Expression12List /* Vec::New */: ; +/* 407 */ Factor: Number; +/* 408 */ Factor: ExpressionIdentifier FactorOpt /* Option */; +/* 409 */ Factor: LParen Expression RParen; +/* 410 */ Factor: LBrace ConcatenationList RBrace; +/* 411 */ Factor: QuoteLBrace ArrayLiteralList RBrace; +/* 412 */ Factor: IfExpression; +/* 413 */ Factor: CaseExpression; +/* 414 */ Factor: SwitchExpression; +/* 415 */ Factor: StringLiteral; +/* 416 */ Factor: FactorGroup; +/* 417 */ FactorGroup: Msb; +/* 418 */ FactorGroup: Lsb; +/* 419 */ Factor: InsideExpression; +/* 420 */ Factor: OutsideExpression; +/* 421 */ FactorOpt /* Option::Some */: FunctionCall; +/* 422 */ FactorOpt /* Option::None */: ; +/* 423 */ FunctionCall: LParen FunctionCallOpt /* Option */ RParen; +/* 424 */ FunctionCallOpt /* Option::Some */: ArgumentList; +/* 425 */ FunctionCallOpt /* Option::None */: ; +/* 426 */ ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; +/* 427 */ ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList; +/* 428 */ ArgumentListList /* Vec::New */: ; +/* 429 */ ArgumentListOpt /* Option::Some */: Comma; +/* 430 */ ArgumentListOpt /* Option::None */: ; +/* 431 */ ArgumentItem: Expression; +/* 432 */ ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; +/* 433 */ ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList; +/* 434 */ ConcatenationListList /* Vec::New */: ; +/* 435 */ ConcatenationListOpt /* Option::Some */: Comma; +/* 436 */ ConcatenationListOpt /* Option::None */: ; +/* 437 */ ConcatenationItem: Expression ConcatenationItemOpt /* Option */; +/* 438 */ ConcatenationItemOpt /* Option::Some */: Repeat Expression; +/* 439 */ ConcatenationItemOpt /* Option::None */: ; +/* 440 */ ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; +/* 441 */ ArrayLiteralListList /* Vec::Push */: Comma ArrayLiteralItem ArrayLiteralListList; +/* 442 */ ArrayLiteralListList /* Vec::New */: ; +/* 443 */ ArrayLiteralListOpt /* Option::Some */: Comma; +/* 444 */ ArrayLiteralListOpt /* Option::None */: ; +/* 445 */ ArrayLiteralItem: ArrayLiteralItemGroup; +/* 446 */ ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; +/* 447 */ ArrayLiteralItemGroup: Defaul Colon Expression; +/* 448 */ ArrayLiteralItemOpt /* Option::Some */: Repeat Expression; +/* 449 */ ArrayLiteralItemOpt /* Option::None */: ; +/* 450 */ IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; +/* 451 */ IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList; +/* 452 */ IfExpressionList /* Vec::New */: ; +/* 453 */ CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma CaseExpressionList /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; +/* 454 */ CaseExpressionList /* Vec::Push */: CaseCondition Colon Expression Comma CaseExpressionList; /* 455 */ CaseExpressionList /* Vec::New */: ; /* 456 */ CaseExpressionOpt /* Option::Some */: Comma; /* 457 */ CaseExpressionOpt /* Option::None */: ; -/* 458 */ TypeExpression: ScalarType; -/* 459 */ TypeExpression: Type LParen Expression RParen; -/* 460 */ InsideExpression: Inside Expression LBrace RangeList RBrace; -/* 461 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; -/* 462 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; -/* 463 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; -/* 464 */ RangeListList /* Vec::New */: ; -/* 465 */ RangeListOpt /* Option::Some */: Comma; -/* 466 */ RangeListOpt /* Option::None */: ; -/* 467 */ RangeItem: Range; -/* 468 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; -/* 469 */ SelectOpt /* Option::Some */: SelectOperator Expression; -/* 470 */ SelectOpt /* Option::None */: ; -/* 471 */ SelectOperator: Colon; -/* 472 */ SelectOperator: PlusColon; -/* 473 */ SelectOperator: MinusColon; -/* 474 */ SelectOperator: Step; -/* 475 */ Width: LAngle Expression WidthList /* Vec */ RAngle; -/* 476 */ WidthList /* Vec::Push */: Comma Expression WidthList; -/* 477 */ WidthList /* Vec::New */: ; -/* 478 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; -/* 479 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; -/* 480 */ ArrayList /* Vec::New */: ; -/* 481 */ Range: Expression RangeOpt /* Option */; -/* 482 */ RangeOpt /* Option::Some */: RangeOperator Expression; -/* 483 */ RangeOpt /* Option::None */: ; -/* 484 */ RangeOperator: DotDot; -/* 485 */ RangeOperator: DotDotEqu; -/* 486 */ FixedType: U32; -/* 487 */ FixedType: U64; -/* 488 */ FixedType: I32; -/* 489 */ FixedType: I64; -/* 490 */ FixedType: F32; -/* 491 */ FixedType: F64; -/* 492 */ FixedType: Strin; -/* 493 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; -/* 494 */ VariableTypeGroup: Clock; -/* 495 */ VariableTypeGroup: ClockPosedge; -/* 496 */ VariableTypeGroup: ClockNegedge; -/* 497 */ VariableTypeGroup: Reset; -/* 498 */ VariableTypeGroup: ResetAsyncHigh; -/* 499 */ VariableTypeGroup: ResetAsyncLow; -/* 500 */ VariableTypeGroup: ResetSyncHigh; -/* 501 */ VariableTypeGroup: ResetSyncLow; -/* 502 */ VariableTypeGroup: Logic; -/* 503 */ VariableTypeGroup: Bit; -/* 504 */ VariableTypeGroup: ScopedIdentifier; -/* 505 */ VariableTypeOpt /* Option::Some */: Width; -/* 506 */ VariableTypeOpt /* Option::None */: ; -/* 507 */ TypeModifier: Tri; -/* 508 */ TypeModifier: Signed; -/* 509 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; -/* 510 */ ScalarTypeGroup: VariableType; -/* 511 */ ScalarTypeGroup: FixedType; -/* 512 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; -/* 513 */ ScalarTypeList /* Vec::New */: ; -/* 514 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; -/* 515 */ ArrayTypeOpt /* Option::Some */: Array; -/* 516 */ ArrayTypeOpt /* Option::None */: ; -/* 517 */ Statement: LetStatement; -/* 518 */ Statement: IdentifierStatement; -/* 519 */ Statement: IfStatement; -/* 520 */ Statement: IfResetStatement; -/* 521 */ Statement: ReturnStatement; -/* 522 */ Statement: BreakStatement; -/* 523 */ Statement: ForStatement; -/* 524 */ Statement: CaseStatement; -/* 525 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 526 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; -/* 527 */ IdentifierStatementGroup: FunctionCall; -/* 528 */ IdentifierStatementGroup: Assignment; -/* 529 */ Assignment: AssignmentGroup Expression; -/* 530 */ AssignmentGroup: Equ; -/* 531 */ AssignmentGroup: AssignmentOperator; -/* 532 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; -/* 533 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; -/* 534 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; -/* 535 */ IfStatementList0List /* Vec::New */: ; -/* 536 */ IfStatementList0 /* Vec::New */: ; -/* 537 */ IfStatementList /* Vec::Push */: Statement IfStatementList; -/* 538 */ IfStatementList /* Vec::New */: ; -/* 539 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; -/* 540 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; -/* 541 */ IfStatementOptList /* Vec::New */: ; -/* 542 */ IfStatementOpt /* Option::None */: ; -/* 543 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; -/* 544 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; -/* 545 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; -/* 546 */ IfResetStatementList0List /* Vec::New */: ; -/* 547 */ IfResetStatementList0 /* Vec::New */: ; -/* 548 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; -/* 549 */ IfResetStatementList /* Vec::New */: ; -/* 550 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; -/* 551 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; -/* 552 */ IfResetStatementOptList /* Vec::New */: ; -/* 553 */ IfResetStatementOpt /* Option::None */: ; -/* 554 */ ReturnStatement: Return Expression Semicolon; -/* 555 */ BreakStatement: Break Semicolon; -/* 556 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; -/* 557 */ ForStatementList /* Vec::Push */: Statement ForStatementList; -/* 558 */ ForStatementList /* Vec::New */: ; -/* 559 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 560 */ ForStatementOpt /* Option::None */: ; -/* 561 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; -/* 562 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; -/* 563 */ CaseStatementList /* Vec::New */: ; -/* 564 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; -/* 565 */ CaseItemGroup0: Statement; -/* 566 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; -/* 567 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; -/* 568 */ CaseItemGroup0List /* Vec::New */: ; -/* 569 */ CaseItemGroup: Expression CaseItemGroupList /* Vec */; -/* 570 */ CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList; -/* 571 */ CaseItemGroupList /* Vec::New */: ; -/* 572 */ CaseItemGroup: Defaul; -/* 573 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; -/* 574 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; -/* 575 */ AttributeOpt /* Option::None */: ; -/* 576 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; -/* 577 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; -/* 578 */ AttributeListList /* Vec::New */: ; -/* 579 */ AttributeListOpt /* Option::Some */: Comma; -/* 580 */ AttributeListOpt /* Option::None */: ; -/* 581 */ AttributeItem: Identifier; -/* 582 */ AttributeItem: StringLiteral; -/* 583 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; -/* 584 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; -/* 585 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; -/* 586 */ LocalDeclarationGroup: ArrayType Equ Expression; -/* 587 */ LocalDeclarationGroup: Type Equ TypeExpression; -/* 588 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; -/* 589 */ AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; -/* 590 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; -/* 591 */ AlwaysFfDeclarationList /* Vec::New */: ; -/* 592 */ AlwaysFfDeclarationOpt /* Option::Some */: AlwayfFfEventList; -/* 593 */ AlwaysFfDeclarationOpt /* Option::None */: ; -/* 594 */ AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; -/* 595 */ AlwayfFfEventListOpt /* Option::Some */: Comma AlwaysFfReset; -/* 596 */ AlwayfFfEventListOpt /* Option::None */: ; -/* 597 */ AlwaysFfClock: HierarchicalIdentifier; -/* 598 */ AlwaysFfReset: HierarchicalIdentifier; -/* 599 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; -/* 600 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; -/* 601 */ AlwaysCombDeclarationList /* Vec::New */: ; -/* 602 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; -/* 603 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; -/* 604 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; -/* 605 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; -/* 606 */ ModportListList /* Vec::New */: ; -/* 607 */ ModportListOpt /* Option::Some */: Comma; -/* 608 */ ModportListOpt /* Option::None */: ; -/* 609 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; -/* 610 */ ModportGroupGroup: LBrace ModportList RBrace; -/* 611 */ ModportGroupGroup: ModportItem; -/* 612 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; -/* 613 */ ModportGroupList /* Vec::New */: ; -/* 614 */ ModportItem: Identifier Colon Direction; -/* 615 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; -/* 616 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; -/* 617 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; -/* 618 */ EnumListList /* Vec::New */: ; -/* 619 */ EnumListOpt /* Option::Some */: Comma; -/* 620 */ EnumListOpt /* Option::None */: ; -/* 621 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; -/* 622 */ EnumGroupGroup: LBrace EnumList RBrace; -/* 623 */ EnumGroupGroup: EnumItem; -/* 624 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; -/* 625 */ EnumGroupList /* Vec::New */: ; -/* 626 */ EnumItem: Identifier EnumItemOpt /* Option */; -/* 627 */ EnumItemOpt /* Option::Some */: Equ Expression; -/* 628 */ EnumItemOpt /* Option::None */: ; -/* 629 */ StructUnion: Struct; -/* 630 */ StructUnion: Union; -/* 631 */ StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; -/* 632 */ StructUnionDeclarationOpt /* Option::Some */: WithGenericParameter; -/* 633 */ StructUnionDeclarationOpt /* Option::None */: ; -/* 634 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; -/* 635 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; -/* 636 */ StructUnionListList /* Vec::New */: ; -/* 637 */ StructUnionListOpt /* Option::Some */: Comma; -/* 638 */ StructUnionListOpt /* Option::None */: ; -/* 639 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; -/* 640 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; -/* 641 */ StructUnionGroupGroup: StructUnionItem; -/* 642 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; -/* 643 */ StructUnionGroupList /* Vec::New */: ; -/* 644 */ StructUnionItem: Identifier Colon ScalarType; -/* 645 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; -/* 646 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; -/* 647 */ InitialDeclarationList /* Vec::New */: ; -/* 648 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; -/* 649 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; -/* 650 */ FinalDeclarationList /* Vec::New */: ; -/* 651 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; -/* 652 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; -/* 653 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; -/* 654 */ InstDeclarationOpt2 /* Option::None */: ; -/* 655 */ InstDeclarationOpt1 /* Option::None */: ; -/* 656 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; -/* 657 */ InstDeclarationOpt0 /* Option::None */: ; -/* 658 */ InstDeclarationOpt /* Option::Some */: Array; -/* 659 */ InstDeclarationOpt /* Option::None */: ; -/* 660 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; -/* 661 */ InstParameterOpt /* Option::Some */: InstParameterList; -/* 662 */ InstParameterOpt /* Option::None */: ; -/* 663 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; -/* 664 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; -/* 665 */ InstParameterListList /* Vec::New */: ; -/* 666 */ InstParameterListOpt /* Option::Some */: Comma; -/* 667 */ InstParameterListOpt /* Option::None */: ; -/* 668 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; -/* 669 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; -/* 670 */ InstParameterGroupGroup: InstParameterItem; -/* 671 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; -/* 672 */ InstParameterGroupList /* Vec::New */: ; -/* 673 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; -/* 674 */ InstParameterItemOpt /* Option::Some */: Colon Expression; -/* 675 */ InstParameterItemOpt /* Option::None */: ; -/* 676 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; -/* 677 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; -/* 678 */ InstPortListList /* Vec::New */: ; -/* 679 */ InstPortListOpt /* Option::Some */: Comma; -/* 680 */ InstPortListOpt /* Option::None */: ; -/* 681 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; -/* 682 */ InstPortGroupGroup: LBrace InstPortList RBrace; -/* 683 */ InstPortGroupGroup: InstPortItem; -/* 684 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; -/* 685 */ InstPortGroupList /* Vec::New */: ; -/* 686 */ InstPortItem: Identifier InstPortItemOpt /* Option */; -/* 687 */ InstPortItemOpt /* Option::Some */: Colon Expression; -/* 688 */ InstPortItemOpt /* Option::None */: ; -/* 689 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; -/* 690 */ WithParameterOpt /* Option::Some */: WithParameterList; -/* 691 */ WithParameterOpt /* Option::None */: ; -/* 692 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; -/* 693 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; -/* 694 */ WithParameterListList /* Vec::New */: ; -/* 695 */ WithParameterListOpt /* Option::Some */: Comma; -/* 696 */ WithParameterListOpt /* Option::None */: ; -/* 697 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; -/* 698 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; -/* 699 */ WithParameterGroupGroup: WithParameterItem; -/* 700 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; -/* 701 */ WithParameterGroupList /* Vec::New */: ; -/* 702 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; -/* 703 */ WithParameterItemGroup0: ArrayType Equ Expression; -/* 704 */ WithParameterItemGroup0: Type Equ TypeExpression; -/* 705 */ WithParameterItemGroup: Param; -/* 706 */ WithParameterItemGroup: Local; -/* 707 */ WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; -/* 708 */ WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; -/* 709 */ WithGenericParameterListList /* Vec::Push */: Comma WithGenericParameterItem WithGenericParameterListList; -/* 710 */ WithGenericParameterListList /* Vec::New */: ; -/* 711 */ WithGenericParameterListOpt /* Option::Some */: Comma; -/* 712 */ WithGenericParameterListOpt /* Option::None */: ; -/* 713 */ WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; -/* 714 */ WithGenericParameterItemOpt /* Option::Some */: Equ WithGenericArgumentItem; -/* 715 */ WithGenericParameterItemOpt /* Option::None */: ; -/* 716 */ WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentOpt /* Option */ RAngle %pop(); -/* 717 */ WithGenericArgumentOpt /* Option::Some */: WithGenericArgumentList; -/* 718 */ WithGenericArgumentOpt /* Option::None */: ; -/* 719 */ WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; -/* 720 */ WithGenericArgumentListList /* Vec::Push */: Comma WithGenericArgumentItem WithGenericArgumentListList; -/* 721 */ WithGenericArgumentListList /* Vec::New */: ; -/* 722 */ WithGenericArgumentListOpt /* Option::Some */: Comma; -/* 723 */ WithGenericArgumentListOpt /* Option::None */: ; -/* 724 */ WithGenericArgumentItem: ScopedIdentifier; -/* 725 */ WithGenericArgumentItem: Number; -/* 726 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; -/* 727 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; -/* 728 */ PortDeclarationOpt /* Option::None */: ; -/* 729 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; -/* 730 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; -/* 731 */ PortDeclarationListList /* Vec::New */: ; -/* 732 */ PortDeclarationListOpt /* Option::Some */: Comma; -/* 733 */ PortDeclarationListOpt /* Option::None */: ; -/* 734 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; -/* 735 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; -/* 736 */ PortDeclarationGroupGroup: PortDeclarationItem; -/* 737 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; -/* 738 */ PortDeclarationGroupList /* Vec::New */: ; -/* 739 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; -/* 740 */ PortDeclarationItemGroup: Direction ArrayType; -/* 741 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; -/* 742 */ PortDeclarationItemOpt /* Option::Some */: Array; -/* 743 */ PortDeclarationItemOpt /* Option::None */: ; -/* 744 */ Direction: Input; -/* 745 */ Direction: Output; -/* 746 */ Direction: Inout; -/* 747 */ Direction: Ref; -/* 748 */ Direction: Modport; -/* 749 */ Direction: Import; -/* 750 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; -/* 751 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; -/* 752 */ FunctionDeclarationList /* Vec::New */: ; -/* 753 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; -/* 754 */ FunctionDeclarationOpt1 /* Option::None */: ; -/* 755 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; -/* 756 */ FunctionDeclarationOpt0 /* Option::None */: ; -/* 757 */ FunctionDeclarationOpt /* Option::Some */: WithGenericParameter; -/* 758 */ FunctionDeclarationOpt /* Option::None */: ; -/* 759 */ FunctionItem: VarDeclaration; -/* 760 */ FunctionItem: Statement; -/* 761 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; -/* 762 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 763 */ ImportDeclarationOpt /* Option::None */: ; -/* 764 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; -/* 765 */ ExportDeclarationGroup: Star; -/* 766 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; -/* 767 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; -/* 768 */ ExportDeclarationOpt /* Option::None */: ; -/* 769 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; -/* 770 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; -/* 771 */ ModuleDeclarationList /* Vec::New */: ; -/* 772 */ ModuleDeclarationOpt2 /* Option::Some */: PortDeclaration; -/* 773 */ ModuleDeclarationOpt2 /* Option::None */: ; -/* 774 */ ModuleDeclarationOpt1 /* Option::Some */: WithParameter; -/* 775 */ ModuleDeclarationOpt1 /* Option::None */: ; -/* 776 */ ModuleDeclarationOpt0 /* Option::Some */: WithGenericParameter; -/* 777 */ ModuleDeclarationOpt0 /* Option::None */: ; -/* 778 */ ModuleDeclarationOpt /* Option::Some */: Pub; -/* 779 */ ModuleDeclarationOpt /* Option::None */: ; -/* 780 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; -/* 781 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; -/* 782 */ ModuleIfDeclarationList /* Vec::New */: ; -/* 783 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; -/* 784 */ ModuleIfDeclarationOpt /* Option::None */: ; -/* 785 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; -/* 786 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 787 */ ModuleForDeclarationOpt /* Option::None */: ; -/* 788 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; -/* 789 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; -/* 790 */ ModuleNamedBlockList /* Vec::New */: ; -/* 791 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; -/* 792 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; -/* 793 */ ModuleOptionalNamedBlockList /* Vec::New */: ; -/* 794 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 795 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; -/* 796 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; -/* 797 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; -/* 798 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; -/* 799 */ ModuleGroupGroupList /* Vec::New */: ; -/* 800 */ ModuleGroupGroup: ModuleItem; -/* 801 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; -/* 802 */ ModuleGroupList /* Vec::New */: ; -/* 803 */ ModuleItem: LetDeclaration; -/* 804 */ ModuleItem: VarDeclaration; -/* 805 */ ModuleItem: InstDeclaration; -/* 806 */ ModuleItem: TypeDefDeclaration; -/* 807 */ ModuleItem: LocalDeclaration; -/* 808 */ ModuleItem: AlwaysFfDeclaration; -/* 809 */ ModuleItem: AlwaysCombDeclaration; -/* 810 */ ModuleItem: AssignDeclaration; -/* 811 */ ModuleItem: FunctionDeclaration; -/* 812 */ ModuleItem: ModuleIfDeclaration; -/* 813 */ ModuleItem: ModuleForDeclaration; -/* 814 */ ModuleItem: EnumDeclaration; -/* 815 */ ModuleItem: StructUnionDeclaration; -/* 816 */ ModuleItem: ModuleNamedBlock; -/* 817 */ ModuleItem: ImportDeclaration; -/* 818 */ ModuleItem: InitialDeclaration; -/* 819 */ ModuleItem: FinalDeclaration; -/* 820 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; -/* 821 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; -/* 822 */ InterfaceDeclarationList /* Vec::New */: ; -/* 823 */ InterfaceDeclarationOpt1 /* Option::Some */: WithParameter; -/* 824 */ InterfaceDeclarationOpt1 /* Option::None */: ; -/* 825 */ InterfaceDeclarationOpt0 /* Option::Some */: WithGenericParameter; -/* 826 */ InterfaceDeclarationOpt0 /* Option::None */: ; -/* 827 */ InterfaceDeclarationOpt /* Option::Some */: Pub; -/* 828 */ InterfaceDeclarationOpt /* Option::None */: ; -/* 829 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; -/* 830 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; -/* 831 */ InterfaceIfDeclarationList /* Vec::New */: ; -/* 832 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; -/* 833 */ InterfaceIfDeclarationOpt /* Option::None */: ; -/* 834 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; -/* 835 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; -/* 836 */ InterfaceForDeclarationOpt /* Option::None */: ; -/* 837 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; -/* 838 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; -/* 839 */ InterfaceNamedBlockList /* Vec::New */: ; -/* 840 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; -/* 841 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; -/* 842 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; -/* 843 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; -/* 844 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; -/* 845 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; -/* 846 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; -/* 847 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; -/* 848 */ InterfaceGroupGroupList /* Vec::New */: ; -/* 849 */ InterfaceGroupGroup: InterfaceItem; -/* 850 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; -/* 851 */ InterfaceGroupList /* Vec::New */: ; -/* 852 */ InterfaceItem: LetDeclaration; -/* 853 */ InterfaceItem: VarDeclaration; -/* 854 */ InterfaceItem: LocalDeclaration; -/* 855 */ InterfaceItem: ModportDeclaration; -/* 856 */ InterfaceItem: InterfaceIfDeclaration; -/* 857 */ InterfaceItem: InterfaceForDeclaration; -/* 858 */ InterfaceItem: TypeDefDeclaration; -/* 859 */ InterfaceItem: EnumDeclaration; -/* 860 */ InterfaceItem: StructUnionDeclaration; -/* 861 */ InterfaceItem: InterfaceNamedBlock; -/* 862 */ InterfaceItem: FunctionDeclaration; -/* 863 */ InterfaceItem: ImportDeclaration; -/* 864 */ InterfaceItem: InitialDeclaration; -/* 865 */ InterfaceItem: FinalDeclaration; -/* 866 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; -/* 867 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; -/* 868 */ PackageDeclarationList /* Vec::New */: ; -/* 869 */ PackageDeclarationOpt0 /* Option::Some */: WithGenericParameter; -/* 870 */ PackageDeclarationOpt0 /* Option::None */: ; -/* 871 */ PackageDeclarationOpt /* Option::Some */: Pub; -/* 872 */ PackageDeclarationOpt /* Option::None */: ; -/* 873 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; -/* 874 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; -/* 875 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; -/* 876 */ PackageGroupGroupList /* Vec::New */: ; -/* 877 */ PackageGroupGroup: PackageItem; -/* 878 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; -/* 879 */ PackageGroupList /* Vec::New */: ; -/* 880 */ PackageItem: VarDeclaration; -/* 881 */ PackageItem: LocalDeclaration; -/* 882 */ PackageItem: TypeDefDeclaration; -/* 883 */ PackageItem: EnumDeclaration; -/* 884 */ PackageItem: StructUnionDeclaration; -/* 885 */ PackageItem: FunctionDeclaration; -/* 886 */ PackageItem: ImportDeclaration; -/* 887 */ PackageItem: ExportDeclaration; -/* 888 */ PackageItem: InitialDeclaration; -/* 889 */ PackageItem: FinalDeclaration; -/* 890 */ EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; -/* 891 */ EmbedContent: EmbedContentToken : VerylToken; -/* 892 */ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop() Comments; -/* 893 */ EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList; -/* 894 */ EmbedContentTokenList /* Vec::New */: ; -/* 895 */ EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; -/* 896 */ EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList; -/* 897 */ EmbedItemList /* Vec::New */: ; -/* 898 */ EmbedItem: AnyTerm; -/* 899 */ IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; -/* 900 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; -/* 901 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; -/* 902 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; -/* 903 */ DescriptionGroupGroupList /* Vec::New */: ; -/* 904 */ DescriptionGroupGroup: DescriptionItem; -/* 905 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; -/* 906 */ DescriptionGroupList /* Vec::New */: ; -/* 907 */ DescriptionItem: ModuleDeclaration; -/* 908 */ DescriptionItem: InterfaceDeclaration; -/* 909 */ DescriptionItem: PackageDeclaration; -/* 910 */ DescriptionItem: ImportDeclaration; -/* 911 */ DescriptionItem: EmbedDeclaration; -/* 912 */ DescriptionItem: IncludeDeclaration; -/* 913 */ Veryl: Start VerylList /* Vec */; -/* 914 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; -/* 915 */ VerylList /* Vec::New */: ; +/* 458 */ SwitchExpression: Switch LBrace SwitchCondition Colon Expression Comma SwitchExpressionList /* Vec */ Defaul Colon Expression SwitchExpressionOpt /* Option */ RBrace; +/* 459 */ SwitchExpressionList /* Vec::Push */: SwitchCondition Colon Expression Comma SwitchExpressionList; +/* 460 */ SwitchExpressionList /* Vec::New */: ; +/* 461 */ SwitchExpressionOpt /* Option::Some */: Comma; +/* 462 */ SwitchExpressionOpt /* Option::None */: ; +/* 463 */ TypeExpression: ScalarType; +/* 464 */ TypeExpression: Type LParen Expression RParen; +/* 465 */ InsideExpression: Inside Expression LBrace RangeList RBrace; +/* 466 */ OutsideExpression: Outside Expression LBrace RangeList RBrace; +/* 467 */ RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; +/* 468 */ RangeListList /* Vec::Push */: Comma RangeItem RangeListList; +/* 469 */ RangeListList /* Vec::New */: ; +/* 470 */ RangeListOpt /* Option::Some */: Comma; +/* 471 */ RangeListOpt /* Option::None */: ; +/* 472 */ RangeItem: Range; +/* 473 */ Select: LBracket Expression SelectOpt /* Option */ RBracket; +/* 474 */ SelectOpt /* Option::Some */: SelectOperator Expression; +/* 475 */ SelectOpt /* Option::None */: ; +/* 476 */ SelectOperator: Colon; +/* 477 */ SelectOperator: PlusColon; +/* 478 */ SelectOperator: MinusColon; +/* 479 */ SelectOperator: Step; +/* 480 */ Width: LAngle Expression WidthList /* Vec */ RAngle; +/* 481 */ WidthList /* Vec::Push */: Comma Expression WidthList; +/* 482 */ WidthList /* Vec::New */: ; +/* 483 */ Array: LBracket Expression ArrayList /* Vec */ RBracket; +/* 484 */ ArrayList /* Vec::Push */: Comma Expression ArrayList; +/* 485 */ ArrayList /* Vec::New */: ; +/* 486 */ Range: Expression RangeOpt /* Option */; +/* 487 */ RangeOpt /* Option::Some */: RangeOperator Expression; +/* 488 */ RangeOpt /* Option::None */: ; +/* 489 */ RangeOperator: DotDot; +/* 490 */ RangeOperator: DotDotEqu; +/* 491 */ FixedType: U32; +/* 492 */ FixedType: U64; +/* 493 */ FixedType: I32; +/* 494 */ FixedType: I64; +/* 495 */ FixedType: F32; +/* 496 */ FixedType: F64; +/* 497 */ FixedType: Strin; +/* 498 */ VariableType: VariableTypeGroup VariableTypeOpt /* Option */; +/* 499 */ VariableTypeGroup: Clock; +/* 500 */ VariableTypeGroup: ClockPosedge; +/* 501 */ VariableTypeGroup: ClockNegedge; +/* 502 */ VariableTypeGroup: Reset; +/* 503 */ VariableTypeGroup: ResetAsyncHigh; +/* 504 */ VariableTypeGroup: ResetAsyncLow; +/* 505 */ VariableTypeGroup: ResetSyncHigh; +/* 506 */ VariableTypeGroup: ResetSyncLow; +/* 507 */ VariableTypeGroup: Logic; +/* 508 */ VariableTypeGroup: Bit; +/* 509 */ VariableTypeGroup: ScopedIdentifier; +/* 510 */ VariableTypeOpt /* Option::Some */: Width; +/* 511 */ VariableTypeOpt /* Option::None */: ; +/* 512 */ TypeModifier: Tri; +/* 513 */ TypeModifier: Signed; +/* 514 */ ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; +/* 515 */ ScalarTypeGroup: VariableType; +/* 516 */ ScalarTypeGroup: FixedType; +/* 517 */ ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList; +/* 518 */ ScalarTypeList /* Vec::New */: ; +/* 519 */ ArrayType: ScalarType ArrayTypeOpt /* Option */; +/* 520 */ ArrayTypeOpt /* Option::Some */: Array; +/* 521 */ ArrayTypeOpt /* Option::None */: ; +/* 522 */ Statement: LetStatement; +/* 523 */ Statement: IdentifierStatement; +/* 524 */ Statement: IfStatement; +/* 525 */ Statement: IfResetStatement; +/* 526 */ Statement: ReturnStatement; +/* 527 */ Statement: BreakStatement; +/* 528 */ Statement: ForStatement; +/* 529 */ Statement: CaseStatement; +/* 530 */ Statement: SwitchStatement; +/* 531 */ LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 532 */ IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; +/* 533 */ IdentifierStatementGroup: FunctionCall; +/* 534 */ IdentifierStatementGroup: Assignment; +/* 535 */ Assignment: AssignmentGroup Expression; +/* 536 */ AssignmentGroup: Equ; +/* 537 */ AssignmentGroup: AssignmentOperator; +/* 538 */ IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; +/* 539 */ IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; +/* 540 */ IfStatementList0List /* Vec::Push */: Statement IfStatementList0List; +/* 541 */ IfStatementList0List /* Vec::New */: ; +/* 542 */ IfStatementList0 /* Vec::New */: ; +/* 543 */ IfStatementList /* Vec::Push */: Statement IfStatementList; +/* 544 */ IfStatementList /* Vec::New */: ; +/* 545 */ IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace; +/* 546 */ IfStatementOptList /* Vec::Push */: Statement IfStatementOptList; +/* 547 */ IfStatementOptList /* Vec::New */: ; +/* 548 */ IfStatementOpt /* Option::None */: ; +/* 549 */ IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; +/* 550 */ IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; +/* 551 */ IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List; +/* 552 */ IfResetStatementList0List /* Vec::New */: ; +/* 553 */ IfResetStatementList0 /* Vec::New */: ; +/* 554 */ IfResetStatementList /* Vec::Push */: Statement IfResetStatementList; +/* 555 */ IfResetStatementList /* Vec::New */: ; +/* 556 */ IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace; +/* 557 */ IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList; +/* 558 */ IfResetStatementOptList /* Vec::New */: ; +/* 559 */ IfResetStatementOpt /* Option::None */: ; +/* 560 */ ReturnStatement: Return Expression Semicolon; +/* 561 */ BreakStatement: Break Semicolon; +/* 562 */ ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; +/* 563 */ ForStatementList /* Vec::Push */: Statement ForStatementList; +/* 564 */ ForStatementList /* Vec::New */: ; +/* 565 */ ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 566 */ ForStatementOpt /* Option::None */: ; +/* 567 */ CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; +/* 568 */ CaseStatementList /* Vec::Push */: CaseItem CaseStatementList; +/* 569 */ CaseStatementList /* Vec::New */: ; +/* 570 */ CaseItem: CaseItemGroup Colon CaseItemGroup0; +/* 571 */ CaseItemGroup0: Statement; +/* 572 */ CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; +/* 573 */ CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List; +/* 574 */ CaseItemGroup0List /* Vec::New */: ; +/* 575 */ CaseItemGroup: CaseCondition; +/* 576 */ CaseItemGroup: Defaul; +/* 577 */ CaseCondition: RangeItem CaseConditionList /* Vec */; +/* 578 */ CaseConditionList /* Vec::Push */: Comma RangeItem CaseConditionList; +/* 579 */ CaseConditionList /* Vec::New */: ; +/* 580 */ SwitchStatement: Switch LBrace SwitchStatementList /* Vec */ RBrace; +/* 581 */ SwitchStatementList /* Vec::Push */: SwitchItem SwitchStatementList; +/* 582 */ SwitchStatementList /* Vec::New */: ; +/* 583 */ SwitchItem: SwitchItemGroup Colon SwitchItemGroup0; +/* 584 */ SwitchItemGroup0: Statement; +/* 585 */ SwitchItemGroup0: LBrace SwitchItemGroup0List /* Vec */ RBrace; +/* 586 */ SwitchItemGroup0List /* Vec::Push */: Statement SwitchItemGroup0List; +/* 587 */ SwitchItemGroup0List /* Vec::New */: ; +/* 588 */ SwitchItemGroup: SwitchCondition; +/* 589 */ SwitchItemGroup: Defaul; +/* 590 */ SwitchCondition: Expression SwitchConditionList /* Vec */; +/* 591 */ SwitchConditionList /* Vec::Push */: Comma Expression SwitchConditionList; +/* 592 */ SwitchConditionList /* Vec::New */: ; +/* 593 */ Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; +/* 594 */ AttributeOpt /* Option::Some */: LParen AttributeList RParen; +/* 595 */ AttributeOpt /* Option::None */: ; +/* 596 */ AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; +/* 597 */ AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList; +/* 598 */ AttributeListList /* Vec::New */: ; +/* 599 */ AttributeListOpt /* Option::Some */: Comma; +/* 600 */ AttributeListOpt /* Option::None */: ; +/* 601 */ AttributeItem: Identifier; +/* 602 */ AttributeItem: StringLiteral; +/* 603 */ LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; +/* 604 */ VarDeclaration: Var Identifier Colon ArrayType Semicolon; +/* 605 */ LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; +/* 606 */ LocalDeclarationGroup: ArrayType Equ Expression; +/* 607 */ LocalDeclarationGroup: Type Equ TypeExpression; +/* 608 */ TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; +/* 609 */ AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; +/* 610 */ AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList; +/* 611 */ AlwaysFfDeclarationList /* Vec::New */: ; +/* 612 */ AlwaysFfDeclarationOpt /* Option::Some */: AlwayfFfEventList; +/* 613 */ AlwaysFfDeclarationOpt /* Option::None */: ; +/* 614 */ AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; +/* 615 */ AlwayfFfEventListOpt /* Option::Some */: Comma AlwaysFfReset; +/* 616 */ AlwayfFfEventListOpt /* Option::None */: ; +/* 617 */ AlwaysFfClock: HierarchicalIdentifier; +/* 618 */ AlwaysFfReset: HierarchicalIdentifier; +/* 619 */ AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; +/* 620 */ AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList; +/* 621 */ AlwaysCombDeclarationList /* Vec::New */: ; +/* 622 */ AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; +/* 623 */ ModportDeclaration: Modport Identifier LBrace ModportList RBrace; +/* 624 */ ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; +/* 625 */ ModportListList /* Vec::Push */: Comma ModportGroup ModportListList; +/* 626 */ ModportListList /* Vec::New */: ; +/* 627 */ ModportListOpt /* Option::Some */: Comma; +/* 628 */ ModportListOpt /* Option::None */: ; +/* 629 */ ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; +/* 630 */ ModportGroupGroup: LBrace ModportList RBrace; +/* 631 */ ModportGroupGroup: ModportItem; +/* 632 */ ModportGroupList /* Vec::Push */: Attribute ModportGroupList; +/* 633 */ ModportGroupList /* Vec::New */: ; +/* 634 */ ModportItem: Identifier Colon Direction; +/* 635 */ EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; +/* 636 */ EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; +/* 637 */ EnumListList /* Vec::Push */: Comma EnumGroup EnumListList; +/* 638 */ EnumListList /* Vec::New */: ; +/* 639 */ EnumListOpt /* Option::Some */: Comma; +/* 640 */ EnumListOpt /* Option::None */: ; +/* 641 */ EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; +/* 642 */ EnumGroupGroup: LBrace EnumList RBrace; +/* 643 */ EnumGroupGroup: EnumItem; +/* 644 */ EnumGroupList /* Vec::Push */: Attribute EnumGroupList; +/* 645 */ EnumGroupList /* Vec::New */: ; +/* 646 */ EnumItem: Identifier EnumItemOpt /* Option */; +/* 647 */ EnumItemOpt /* Option::Some */: Equ Expression; +/* 648 */ EnumItemOpt /* Option::None */: ; +/* 649 */ StructUnion: Struct; +/* 650 */ StructUnion: Union; +/* 651 */ StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; +/* 652 */ StructUnionDeclarationOpt /* Option::Some */: WithGenericParameter; +/* 653 */ StructUnionDeclarationOpt /* Option::None */: ; +/* 654 */ StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; +/* 655 */ StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList; +/* 656 */ StructUnionListList /* Vec::New */: ; +/* 657 */ StructUnionListOpt /* Option::Some */: Comma; +/* 658 */ StructUnionListOpt /* Option::None */: ; +/* 659 */ StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; +/* 660 */ StructUnionGroupGroup: LBrace StructUnionList RBrace; +/* 661 */ StructUnionGroupGroup: StructUnionItem; +/* 662 */ StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList; +/* 663 */ StructUnionGroupList /* Vec::New */: ; +/* 664 */ StructUnionItem: Identifier Colon ScalarType; +/* 665 */ InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; +/* 666 */ InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList; +/* 667 */ InitialDeclarationList /* Vec::New */: ; +/* 668 */ FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; +/* 669 */ FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList; +/* 670 */ FinalDeclarationList /* Vec::New */: ; +/* 671 */ InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; +/* 672 */ InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen; +/* 673 */ InstDeclarationOpt2 /* Option::Some */: InstPortList; +/* 674 */ InstDeclarationOpt2 /* Option::None */: ; +/* 675 */ InstDeclarationOpt1 /* Option::None */: ; +/* 676 */ InstDeclarationOpt0 /* Option::Some */: InstParameter; +/* 677 */ InstDeclarationOpt0 /* Option::None */: ; +/* 678 */ InstDeclarationOpt /* Option::Some */: Array; +/* 679 */ InstDeclarationOpt /* Option::None */: ; +/* 680 */ InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; +/* 681 */ InstParameterOpt /* Option::Some */: InstParameterList; +/* 682 */ InstParameterOpt /* Option::None */: ; +/* 683 */ InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; +/* 684 */ InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList; +/* 685 */ InstParameterListList /* Vec::New */: ; +/* 686 */ InstParameterListOpt /* Option::Some */: Comma; +/* 687 */ InstParameterListOpt /* Option::None */: ; +/* 688 */ InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; +/* 689 */ InstParameterGroupGroup: LBrace InstParameterList RBrace; +/* 690 */ InstParameterGroupGroup: InstParameterItem; +/* 691 */ InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList; +/* 692 */ InstParameterGroupList /* Vec::New */: ; +/* 693 */ InstParameterItem: Identifier InstParameterItemOpt /* Option */; +/* 694 */ InstParameterItemOpt /* Option::Some */: Colon Expression; +/* 695 */ InstParameterItemOpt /* Option::None */: ; +/* 696 */ InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; +/* 697 */ InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList; +/* 698 */ InstPortListList /* Vec::New */: ; +/* 699 */ InstPortListOpt /* Option::Some */: Comma; +/* 700 */ InstPortListOpt /* Option::None */: ; +/* 701 */ InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; +/* 702 */ InstPortGroupGroup: LBrace InstPortList RBrace; +/* 703 */ InstPortGroupGroup: InstPortItem; +/* 704 */ InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList; +/* 705 */ InstPortGroupList /* Vec::New */: ; +/* 706 */ InstPortItem: Identifier InstPortItemOpt /* Option */; +/* 707 */ InstPortItemOpt /* Option::Some */: Colon Expression; +/* 708 */ InstPortItemOpt /* Option::None */: ; +/* 709 */ WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; +/* 710 */ WithParameterOpt /* Option::Some */: WithParameterList; +/* 711 */ WithParameterOpt /* Option::None */: ; +/* 712 */ WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; +/* 713 */ WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList; +/* 714 */ WithParameterListList /* Vec::New */: ; +/* 715 */ WithParameterListOpt /* Option::Some */: Comma; +/* 716 */ WithParameterListOpt /* Option::None */: ; +/* 717 */ WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; +/* 718 */ WithParameterGroupGroup: LBrace WithParameterList RBrace; +/* 719 */ WithParameterGroupGroup: WithParameterItem; +/* 720 */ WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList; +/* 721 */ WithParameterGroupList /* Vec::New */: ; +/* 722 */ WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; +/* 723 */ WithParameterItemGroup0: ArrayType Equ Expression; +/* 724 */ WithParameterItemGroup0: Type Equ TypeExpression; +/* 725 */ WithParameterItemGroup: Param; +/* 726 */ WithParameterItemGroup: Local; +/* 727 */ WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; +/* 728 */ WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; +/* 729 */ WithGenericParameterListList /* Vec::Push */: Comma WithGenericParameterItem WithGenericParameterListList; +/* 730 */ WithGenericParameterListList /* Vec::New */: ; +/* 731 */ WithGenericParameterListOpt /* Option::Some */: Comma; +/* 732 */ WithGenericParameterListOpt /* Option::None */: ; +/* 733 */ WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; +/* 734 */ WithGenericParameterItemOpt /* Option::Some */: Equ WithGenericArgumentItem; +/* 735 */ WithGenericParameterItemOpt /* Option::None */: ; +/* 736 */ WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentOpt /* Option */ RAngle %pop(); +/* 737 */ WithGenericArgumentOpt /* Option::Some */: WithGenericArgumentList; +/* 738 */ WithGenericArgumentOpt /* Option::None */: ; +/* 739 */ WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; +/* 740 */ WithGenericArgumentListList /* Vec::Push */: Comma WithGenericArgumentItem WithGenericArgumentListList; +/* 741 */ WithGenericArgumentListList /* Vec::New */: ; +/* 742 */ WithGenericArgumentListOpt /* Option::Some */: Comma; +/* 743 */ WithGenericArgumentListOpt /* Option::None */: ; +/* 744 */ WithGenericArgumentItem: ScopedIdentifier; +/* 745 */ WithGenericArgumentItem: Number; +/* 746 */ PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; +/* 747 */ PortDeclarationOpt /* Option::Some */: PortDeclarationList; +/* 748 */ PortDeclarationOpt /* Option::None */: ; +/* 749 */ PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; +/* 750 */ PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList; +/* 751 */ PortDeclarationListList /* Vec::New */: ; +/* 752 */ PortDeclarationListOpt /* Option::Some */: Comma; +/* 753 */ PortDeclarationListOpt /* Option::None */: ; +/* 754 */ PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; +/* 755 */ PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; +/* 756 */ PortDeclarationGroupGroup: PortDeclarationItem; +/* 757 */ PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList; +/* 758 */ PortDeclarationGroupList /* Vec::New */: ; +/* 759 */ PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; +/* 760 */ PortDeclarationItemGroup: Direction ArrayType; +/* 761 */ PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; +/* 762 */ PortDeclarationItemOpt /* Option::Some */: Array; +/* 763 */ PortDeclarationItemOpt /* Option::None */: ; +/* 764 */ Direction: Input; +/* 765 */ Direction: Output; +/* 766 */ Direction: Inout; +/* 767 */ Direction: Ref; +/* 768 */ Direction: Modport; +/* 769 */ Direction: Import; +/* 770 */ FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; +/* 771 */ FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList; +/* 772 */ FunctionDeclarationList /* Vec::New */: ; +/* 773 */ FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType; +/* 774 */ FunctionDeclarationOpt1 /* Option::None */: ; +/* 775 */ FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration; +/* 776 */ FunctionDeclarationOpt0 /* Option::None */: ; +/* 777 */ FunctionDeclarationOpt /* Option::Some */: WithGenericParameter; +/* 778 */ FunctionDeclarationOpt /* Option::None */: ; +/* 779 */ FunctionItem: VarDeclaration; +/* 780 */ FunctionItem: Statement; +/* 781 */ ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; +/* 782 */ ImportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 783 */ ImportDeclarationOpt /* Option::None */: ; +/* 784 */ ExportDeclaration: Export ExportDeclarationGroup Semicolon; +/* 785 */ ExportDeclarationGroup: Star; +/* 786 */ ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; +/* 787 */ ExportDeclarationOpt /* Option::Some */: ColonColon Star; +/* 788 */ ExportDeclarationOpt /* Option::None */: ; +/* 789 */ ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; +/* 790 */ ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList; +/* 791 */ ModuleDeclarationList /* Vec::New */: ; +/* 792 */ ModuleDeclarationOpt2 /* Option::Some */: PortDeclaration; +/* 793 */ ModuleDeclarationOpt2 /* Option::None */: ; +/* 794 */ ModuleDeclarationOpt1 /* Option::Some */: WithParameter; +/* 795 */ ModuleDeclarationOpt1 /* Option::None */: ; +/* 796 */ ModuleDeclarationOpt0 /* Option::Some */: WithGenericParameter; +/* 797 */ ModuleDeclarationOpt0 /* Option::None */: ; +/* 798 */ ModuleDeclarationOpt /* Option::Some */: Pub; +/* 799 */ ModuleDeclarationOpt /* Option::None */: ; +/* 800 */ ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; +/* 801 */ ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; +/* 802 */ ModuleIfDeclarationList /* Vec::New */: ; +/* 803 */ ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock; +/* 804 */ ModuleIfDeclarationOpt /* Option::None */: ; +/* 805 */ ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; +/* 806 */ ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 807 */ ModuleForDeclarationOpt /* Option::None */: ; +/* 808 */ ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; +/* 809 */ ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList; +/* 810 */ ModuleNamedBlockList /* Vec::New */: ; +/* 811 */ ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; +/* 812 */ ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList; +/* 813 */ ModuleOptionalNamedBlockList /* Vec::New */: ; +/* 814 */ ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 815 */ ModuleOptionalNamedBlockOpt /* Option::None */: ; +/* 816 */ ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; +/* 817 */ ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; +/* 818 */ ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList; +/* 819 */ ModuleGroupGroupList /* Vec::New */: ; +/* 820 */ ModuleGroupGroup: ModuleItem; +/* 821 */ ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList; +/* 822 */ ModuleGroupList /* Vec::New */: ; +/* 823 */ ModuleItem: LetDeclaration; +/* 824 */ ModuleItem: VarDeclaration; +/* 825 */ ModuleItem: InstDeclaration; +/* 826 */ ModuleItem: TypeDefDeclaration; +/* 827 */ ModuleItem: LocalDeclaration; +/* 828 */ ModuleItem: AlwaysFfDeclaration; +/* 829 */ ModuleItem: AlwaysCombDeclaration; +/* 830 */ ModuleItem: AssignDeclaration; +/* 831 */ ModuleItem: FunctionDeclaration; +/* 832 */ ModuleItem: ModuleIfDeclaration; +/* 833 */ ModuleItem: ModuleForDeclaration; +/* 834 */ ModuleItem: EnumDeclaration; +/* 835 */ ModuleItem: StructUnionDeclaration; +/* 836 */ ModuleItem: ModuleNamedBlock; +/* 837 */ ModuleItem: ImportDeclaration; +/* 838 */ ModuleItem: InitialDeclaration; +/* 839 */ ModuleItem: FinalDeclaration; +/* 840 */ InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; +/* 841 */ InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList; +/* 842 */ InterfaceDeclarationList /* Vec::New */: ; +/* 843 */ InterfaceDeclarationOpt1 /* Option::Some */: WithParameter; +/* 844 */ InterfaceDeclarationOpt1 /* Option::None */: ; +/* 845 */ InterfaceDeclarationOpt0 /* Option::Some */: WithGenericParameter; +/* 846 */ InterfaceDeclarationOpt0 /* Option::None */: ; +/* 847 */ InterfaceDeclarationOpt /* Option::Some */: Pub; +/* 848 */ InterfaceDeclarationOpt /* Option::None */: ; +/* 849 */ InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; +/* 850 */ InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; +/* 851 */ InterfaceIfDeclarationList /* Vec::New */: ; +/* 852 */ InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock; +/* 853 */ InterfaceIfDeclarationOpt /* Option::None */: ; +/* 854 */ InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; +/* 855 */ InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression; +/* 856 */ InterfaceForDeclarationOpt /* Option::None */: ; +/* 857 */ InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; +/* 858 */ InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList; +/* 859 */ InterfaceNamedBlockList /* Vec::New */: ; +/* 860 */ InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; +/* 861 */ InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList; +/* 862 */ InterfaceOptionalNamedBlockList /* Vec::New */: ; +/* 863 */ InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier; +/* 864 */ InterfaceOptionalNamedBlockOpt /* Option::None */: ; +/* 865 */ InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; +/* 866 */ InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; +/* 867 */ InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList; +/* 868 */ InterfaceGroupGroupList /* Vec::New */: ; +/* 869 */ InterfaceGroupGroup: InterfaceItem; +/* 870 */ InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList; +/* 871 */ InterfaceGroupList /* Vec::New */: ; +/* 872 */ InterfaceItem: LetDeclaration; +/* 873 */ InterfaceItem: VarDeclaration; +/* 874 */ InterfaceItem: LocalDeclaration; +/* 875 */ InterfaceItem: ModportDeclaration; +/* 876 */ InterfaceItem: InterfaceIfDeclaration; +/* 877 */ InterfaceItem: InterfaceForDeclaration; +/* 878 */ InterfaceItem: TypeDefDeclaration; +/* 879 */ InterfaceItem: EnumDeclaration; +/* 880 */ InterfaceItem: StructUnionDeclaration; +/* 881 */ InterfaceItem: InterfaceNamedBlock; +/* 882 */ InterfaceItem: FunctionDeclaration; +/* 883 */ InterfaceItem: ImportDeclaration; +/* 884 */ InterfaceItem: InitialDeclaration; +/* 885 */ InterfaceItem: FinalDeclaration; +/* 886 */ PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; +/* 887 */ PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList; +/* 888 */ PackageDeclarationList /* Vec::New */: ; +/* 889 */ PackageDeclarationOpt0 /* Option::Some */: WithGenericParameter; +/* 890 */ PackageDeclarationOpt0 /* Option::None */: ; +/* 891 */ PackageDeclarationOpt /* Option::Some */: Pub; +/* 892 */ PackageDeclarationOpt /* Option::None */: ; +/* 893 */ PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; +/* 894 */ PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; +/* 895 */ PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList; +/* 896 */ PackageGroupGroupList /* Vec::New */: ; +/* 897 */ PackageGroupGroup: PackageItem; +/* 898 */ PackageGroupList /* Vec::Push */: Attribute PackageGroupList; +/* 899 */ PackageGroupList /* Vec::New */: ; +/* 900 */ PackageItem: VarDeclaration; +/* 901 */ PackageItem: LocalDeclaration; +/* 902 */ PackageItem: TypeDefDeclaration; +/* 903 */ PackageItem: EnumDeclaration; +/* 904 */ PackageItem: StructUnionDeclaration; +/* 905 */ PackageItem: FunctionDeclaration; +/* 906 */ PackageItem: ImportDeclaration; +/* 907 */ PackageItem: ExportDeclaration; +/* 908 */ PackageItem: InitialDeclaration; +/* 909 */ PackageItem: FinalDeclaration; +/* 910 */ EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; +/* 911 */ EmbedContent: EmbedContentToken : VerylToken; +/* 912 */ EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop() Comments; +/* 913 */ EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList; +/* 914 */ EmbedContentTokenList /* Vec::New */: ; +/* 915 */ EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; +/* 916 */ EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList; +/* 917 */ EmbedItemList /* Vec::New */: ; +/* 918 */ EmbedItem: AnyTerm; +/* 919 */ IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; +/* 920 */ DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; +/* 921 */ DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; +/* 922 */ DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList; +/* 923 */ DescriptionGroupGroupList /* Vec::New */: ; +/* 924 */ DescriptionGroupGroup: DescriptionItem; +/* 925 */ DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList; +/* 926 */ DescriptionGroupList /* Vec::New */: ; +/* 927 */ DescriptionItem: ModuleDeclaration; +/* 928 */ DescriptionItem: InterfaceDeclaration; +/* 929 */ DescriptionItem: PackageDeclaration; +/* 930 */ DescriptionItem: ImportDeclaration; +/* 931 */ DescriptionItem: EmbedDeclaration; +/* 932 */ DescriptionItem: IncludeDeclaration; +/* 933 */ Veryl: Start VerylList /* Vec */; +/* 934 */ VerylList /* Vec::Push */: DescriptionGroup VerylList; +/* 935 */ VerylList /* Vec::New */: ; diff --git a/crates/parser/src/generated/veryl_grammar_trait.rs b/crates/parser/src/generated/veryl_grammar_trait.rs index 65951754..80dd2a27 100644 --- a/crates/parser/src/generated/veryl_grammar_trait.rs +++ b/crates/parser/src/generated/veryl_grammar_trait.rs @@ -520,6 +520,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'SwitchTerm' + fn switch_term(&mut self, _arg: &SwitchTerm) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'TriTerm' fn tri_term(&mut self, _arg: &TriTerm) -> Result<()> { Ok(()) @@ -1070,6 +1075,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'SwitchToken' + fn switch_token(&mut self, _arg: &SwitchToken) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'TriToken' fn tri_token(&mut self, _arg: &TriToken) -> Result<()> { Ok(()) @@ -1610,6 +1620,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'Switch' + fn switch(&mut self, _arg: &Switch) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'Tri' fn tri(&mut self, _arg: &Tri) -> Result<()> { Ok(()) @@ -1795,6 +1810,11 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'SwitchExpression' + fn switch_expression(&mut self, _arg: &SwitchExpression) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'TypeExpression' fn type_expression(&mut self, _arg: &TypeExpression) -> Result<()> { Ok(()) @@ -1930,6 +1950,26 @@ pub trait VerylGrammarTrait { Ok(()) } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, _arg: &CaseCondition) -> Result<()> { + Ok(()) + } + + /// Semantic action for non-terminal 'SwitchStatement' + fn switch_statement(&mut self, _arg: &SwitchStatement) -> Result<()> { + Ok(()) + } + + /// Semantic action for non-terminal 'SwitchItem' + fn switch_item(&mut self, _arg: &SwitchItem) -> Result<()> { + Ok(()) + } + + /// Semantic action for non-terminal 'SwitchCondition' + fn switch_condition(&mut self, _arg: &SwitchCondition) -> Result<()> { + Ok(()) + } + /// Semantic action for non-terminal 'Attribute' fn attribute(&mut self, _arg: &Attribute) -> Result<()> { Ok(()) @@ -2341,7 +2381,7 @@ pub trait VerylGrammarTrait { // /// -/// Type derived for production 328 +/// Type derived for production 331 /// /// `Number: IntegralNumber;` /// @@ -2353,7 +2393,7 @@ pub struct NumberIntegralNumber { } /// -/// Type derived for production 329 +/// Type derived for production 332 /// /// `Number: RealNumber;` /// @@ -2365,7 +2405,7 @@ pub struct NumberRealNumber { } /// -/// Type derived for production 330 +/// Type derived for production 333 /// /// `IntegralNumber: Based;` /// @@ -2377,7 +2417,7 @@ pub struct IntegralNumberBased { } /// -/// Type derived for production 331 +/// Type derived for production 334 /// /// `IntegralNumber: BaseLess;` /// @@ -2389,7 +2429,7 @@ pub struct IntegralNumberBaseLess { } /// -/// Type derived for production 332 +/// Type derived for production 335 /// /// `IntegralNumber: AllBit;` /// @@ -2401,7 +2441,7 @@ pub struct IntegralNumberAllBit { } /// -/// Type derived for production 333 +/// Type derived for production 336 /// /// `RealNumber: FixedPoint;` /// @@ -2413,7 +2453,7 @@ pub struct RealNumberFixedPoint { } /// -/// Type derived for production 334 +/// Type derived for production 337 /// /// `RealNumber: Exponent;` /// @@ -2425,7 +2465,7 @@ pub struct RealNumberExponent { } /// -/// Type derived for production 343 +/// Type derived for production 346 /// /// `ScopedIdentifierGroup: DollarIdentifier;` /// @@ -2437,7 +2477,7 @@ pub struct ScopedIdentifierGroupDollarIdentifier { } /// -/// Type derived for production 344 +/// Type derived for production 347 /// /// `ScopedIdentifierGroup: Identifier ScopedIdentifierOpt /* Option */;` /// @@ -2450,7 +2490,7 @@ pub struct ScopedIdentifierGroupIdentifierScopedIdentifierOpt { } /// -/// Type derived for production 387 +/// Type derived for production 390 /// /// `Expression09ListGroup: Operator10;` /// @@ -2462,7 +2502,7 @@ pub struct Expression09ListGroupOperator10 { } /// -/// Type derived for production 388 +/// Type derived for production 391 /// /// `Expression09ListGroup: Star;` /// @@ -2474,7 +2514,7 @@ pub struct Expression09ListGroupStar { } /// -/// Type derived for production 398 +/// Type derived for production 401 /// /// `Expression12ListGroup: UnaryOperator;` /// @@ -2486,7 +2526,7 @@ pub struct Expression12ListGroupUnaryOperator { } /// -/// Type derived for production 399 +/// Type derived for production 402 /// /// `Expression12ListGroup: Operator09;` /// @@ -2498,7 +2538,7 @@ pub struct Expression12ListGroupOperator09 { } /// -/// Type derived for production 400 +/// Type derived for production 403 /// /// `Expression12ListGroup: Operator05;` /// @@ -2510,7 +2550,7 @@ pub struct Expression12ListGroupOperator05 { } /// -/// Type derived for production 401 +/// Type derived for production 404 /// /// `Expression12ListGroup: Operator03;` /// @@ -2522,7 +2562,7 @@ pub struct Expression12ListGroupOperator03 { } /// -/// Type derived for production 402 +/// Type derived for production 405 /// /// `Expression12ListGroup: Operator04;` /// @@ -2534,7 +2574,7 @@ pub struct Expression12ListGroupOperator04 { } /// -/// Type derived for production 404 +/// Type derived for production 407 /// /// `Factor: Number;` /// @@ -2546,7 +2586,7 @@ pub struct FactorNumber { } /// -/// Type derived for production 405 +/// Type derived for production 408 /// /// `Factor: ExpressionIdentifier FactorOpt /* Option */;` /// @@ -2559,7 +2599,7 @@ pub struct FactorExpressionIdentifierFactorOpt { } /// -/// Type derived for production 406 +/// Type derived for production 409 /// /// `Factor: LParen Expression RParen;` /// @@ -2573,7 +2613,7 @@ pub struct FactorLParenExpressionRParen { } /// -/// Type derived for production 407 +/// Type derived for production 410 /// /// `Factor: LBrace ConcatenationList RBrace;` /// @@ -2587,7 +2627,7 @@ pub struct FactorLBraceConcatenationListRBrace { } /// -/// Type derived for production 408 +/// Type derived for production 411 /// /// `Factor: QuoteLBrace ArrayLiteralList RBrace;` /// @@ -2601,7 +2641,7 @@ pub struct FactorQuoteLBraceArrayLiteralListRBrace { } /// -/// Type derived for production 409 +/// Type derived for production 412 /// /// `Factor: IfExpression;` /// @@ -2613,7 +2653,7 @@ pub struct FactorIfExpression { } /// -/// Type derived for production 410 +/// Type derived for production 413 /// /// `Factor: CaseExpression;` /// @@ -2625,7 +2665,19 @@ pub struct FactorCaseExpression { } /// -/// Type derived for production 411 +/// Type derived for production 414 +/// +/// `Factor: SwitchExpression;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct FactorSwitchExpression { + pub switch_expression: Box, +} + +/// +/// Type derived for production 415 /// /// `Factor: StringLiteral;` /// @@ -2637,7 +2689,7 @@ pub struct FactorStringLiteral { } /// -/// Type derived for production 412 +/// Type derived for production 416 /// /// `Factor: FactorGroup;` /// @@ -2649,7 +2701,7 @@ pub struct FactorFactorGroup { } /// -/// Type derived for production 413 +/// Type derived for production 417 /// /// `FactorGroup: Msb;` /// @@ -2661,7 +2713,7 @@ pub struct FactorGroupMsb { } /// -/// Type derived for production 414 +/// Type derived for production 418 /// /// `FactorGroup: Lsb;` /// @@ -2673,7 +2725,7 @@ pub struct FactorGroupLsb { } /// -/// Type derived for production 415 +/// Type derived for production 419 /// /// `Factor: InsideExpression;` /// @@ -2685,7 +2737,7 @@ pub struct FactorInsideExpression { } /// -/// Type derived for production 416 +/// Type derived for production 420 /// /// `Factor: OutsideExpression;` /// @@ -2697,7 +2749,7 @@ pub struct FactorOutsideExpression { } /// -/// Type derived for production 442 +/// Type derived for production 446 /// /// `ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */;` /// @@ -2710,7 +2762,7 @@ pub struct ArrayLiteralItemGroupExpressionArrayLiteralItemOpt { } /// -/// Type derived for production 443 +/// Type derived for production 447 /// /// `ArrayLiteralItemGroup: Defaul Colon Expression;` /// @@ -2724,7 +2776,7 @@ pub struct ArrayLiteralItemGroupDefaulColonExpression { } /// -/// Type derived for production 458 +/// Type derived for production 463 /// /// `TypeExpression: ScalarType;` /// @@ -2736,7 +2788,7 @@ pub struct TypeExpressionScalarType { } /// -/// Type derived for production 459 +/// Type derived for production 464 /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -2751,7 +2803,7 @@ pub struct TypeExpressionTypeLParenExpressionRParen { } /// -/// Type derived for production 471 +/// Type derived for production 476 /// /// `SelectOperator: Colon;` /// @@ -2763,7 +2815,7 @@ pub struct SelectOperatorColon { } /// -/// Type derived for production 472 +/// Type derived for production 477 /// /// `SelectOperator: PlusColon;` /// @@ -2775,7 +2827,7 @@ pub struct SelectOperatorPlusColon { } /// -/// Type derived for production 473 +/// Type derived for production 478 /// /// `SelectOperator: MinusColon;` /// @@ -2787,7 +2839,7 @@ pub struct SelectOperatorMinusColon { } /// -/// Type derived for production 474 +/// Type derived for production 479 /// /// `SelectOperator: Step;` /// @@ -2799,7 +2851,7 @@ pub struct SelectOperatorStep { } /// -/// Type derived for production 484 +/// Type derived for production 489 /// /// `RangeOperator: DotDot;` /// @@ -2811,7 +2863,7 @@ pub struct RangeOperatorDotDot { } /// -/// Type derived for production 485 +/// Type derived for production 490 /// /// `RangeOperator: DotDotEqu;` /// @@ -2823,7 +2875,7 @@ pub struct RangeOperatorDotDotEqu { } /// -/// Type derived for production 486 +/// Type derived for production 491 /// /// `FixedType: U32;` /// @@ -2835,7 +2887,7 @@ pub struct FixedTypeU32 { } /// -/// Type derived for production 487 +/// Type derived for production 492 /// /// `FixedType: U64;` /// @@ -2847,7 +2899,7 @@ pub struct FixedTypeU64 { } /// -/// Type derived for production 488 +/// Type derived for production 493 /// /// `FixedType: I32;` /// @@ -2859,7 +2911,7 @@ pub struct FixedTypeI32 { } /// -/// Type derived for production 489 +/// Type derived for production 494 /// /// `FixedType: I64;` /// @@ -2871,7 +2923,7 @@ pub struct FixedTypeI64 { } /// -/// Type derived for production 490 +/// Type derived for production 495 /// /// `FixedType: F32;` /// @@ -2883,7 +2935,7 @@ pub struct FixedTypeF32 { } /// -/// Type derived for production 491 +/// Type derived for production 496 /// /// `FixedType: F64;` /// @@ -2895,7 +2947,7 @@ pub struct FixedTypeF64 { } /// -/// Type derived for production 492 +/// Type derived for production 497 /// /// `FixedType: Strin;` /// @@ -2907,7 +2959,7 @@ pub struct FixedTypeStrin { } /// -/// Type derived for production 494 +/// Type derived for production 499 /// /// `VariableTypeGroup: Clock;` /// @@ -2919,7 +2971,7 @@ pub struct VariableTypeGroupClock { } /// -/// Type derived for production 495 +/// Type derived for production 500 /// /// `VariableTypeGroup: ClockPosedge;` /// @@ -2931,7 +2983,7 @@ pub struct VariableTypeGroupClockPosedge { } /// -/// Type derived for production 496 +/// Type derived for production 501 /// /// `VariableTypeGroup: ClockNegedge;` /// @@ -2943,7 +2995,7 @@ pub struct VariableTypeGroupClockNegedge { } /// -/// Type derived for production 497 +/// Type derived for production 502 /// /// `VariableTypeGroup: Reset;` /// @@ -2955,7 +3007,7 @@ pub struct VariableTypeGroupReset { } /// -/// Type derived for production 498 +/// Type derived for production 503 /// /// `VariableTypeGroup: ResetAsyncHigh;` /// @@ -2967,7 +3019,7 @@ pub struct VariableTypeGroupResetAsyncHigh { } /// -/// Type derived for production 499 +/// Type derived for production 504 /// /// `VariableTypeGroup: ResetAsyncLow;` /// @@ -2979,7 +3031,7 @@ pub struct VariableTypeGroupResetAsyncLow { } /// -/// Type derived for production 500 +/// Type derived for production 505 /// /// `VariableTypeGroup: ResetSyncHigh;` /// @@ -2991,7 +3043,7 @@ pub struct VariableTypeGroupResetSyncHigh { } /// -/// Type derived for production 501 +/// Type derived for production 506 /// /// `VariableTypeGroup: ResetSyncLow;` /// @@ -3003,7 +3055,7 @@ pub struct VariableTypeGroupResetSyncLow { } /// -/// Type derived for production 502 +/// Type derived for production 507 /// /// `VariableTypeGroup: Logic;` /// @@ -3015,7 +3067,7 @@ pub struct VariableTypeGroupLogic { } /// -/// Type derived for production 503 +/// Type derived for production 508 /// /// `VariableTypeGroup: Bit;` /// @@ -3027,7 +3079,7 @@ pub struct VariableTypeGroupBit { } /// -/// Type derived for production 504 +/// Type derived for production 509 /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -3039,7 +3091,7 @@ pub struct VariableTypeGroupScopedIdentifier { } /// -/// Type derived for production 507 +/// Type derived for production 512 /// /// `TypeModifier: Tri;` /// @@ -3051,7 +3103,7 @@ pub struct TypeModifierTri { } /// -/// Type derived for production 508 +/// Type derived for production 513 /// /// `TypeModifier: Signed;` /// @@ -3063,7 +3115,7 @@ pub struct TypeModifierSigned { } /// -/// Type derived for production 510 +/// Type derived for production 515 /// /// `ScalarTypeGroup: VariableType;` /// @@ -3075,7 +3127,7 @@ pub struct ScalarTypeGroupVariableType { } /// -/// Type derived for production 511 +/// Type derived for production 516 /// /// `ScalarTypeGroup: FixedType;` /// @@ -3087,7 +3139,7 @@ pub struct ScalarTypeGroupFixedType { } /// -/// Type derived for production 517 +/// Type derived for production 522 /// /// `Statement: LetStatement;` /// @@ -3099,7 +3151,7 @@ pub struct StatementLetStatement { } /// -/// Type derived for production 518 +/// Type derived for production 523 /// /// `Statement: IdentifierStatement;` /// @@ -3111,7 +3163,7 @@ pub struct StatementIdentifierStatement { } /// -/// Type derived for production 519 +/// Type derived for production 524 /// /// `Statement: IfStatement;` /// @@ -3123,7 +3175,7 @@ pub struct StatementIfStatement { } /// -/// Type derived for production 520 +/// Type derived for production 525 /// /// `Statement: IfResetStatement;` /// @@ -3135,7 +3187,7 @@ pub struct StatementIfResetStatement { } /// -/// Type derived for production 521 +/// Type derived for production 526 /// /// `Statement: ReturnStatement;` /// @@ -3147,7 +3199,7 @@ pub struct StatementReturnStatement { } /// -/// Type derived for production 522 +/// Type derived for production 527 /// /// `Statement: BreakStatement;` /// @@ -3159,7 +3211,7 @@ pub struct StatementBreakStatement { } /// -/// Type derived for production 523 +/// Type derived for production 528 /// /// `Statement: ForStatement;` /// @@ -3171,7 +3223,7 @@ pub struct StatementForStatement { } /// -/// Type derived for production 524 +/// Type derived for production 529 /// /// `Statement: CaseStatement;` /// @@ -3183,7 +3235,19 @@ pub struct StatementCaseStatement { } /// -/// Type derived for production 527 +/// Type derived for production 530 +/// +/// `Statement: SwitchStatement;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct StatementSwitchStatement { + pub switch_statement: Box, +} + +/// +/// Type derived for production 533 /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -3195,7 +3259,7 @@ pub struct IdentifierStatementGroupFunctionCall { } /// -/// Type derived for production 528 +/// Type derived for production 534 /// /// `IdentifierStatementGroup: Assignment;` /// @@ -3207,7 +3271,7 @@ pub struct IdentifierStatementGroupAssignment { } /// -/// Type derived for production 530 +/// Type derived for production 536 /// /// `AssignmentGroup: Equ;` /// @@ -3219,7 +3283,7 @@ pub struct AssignmentGroupEqu { } /// -/// Type derived for production 531 +/// Type derived for production 537 /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -3231,7 +3295,7 @@ pub struct AssignmentGroupAssignmentOperator { } /// -/// Type derived for production 565 +/// Type derived for production 571 /// /// `CaseItemGroup0: Statement;` /// @@ -3243,7 +3307,7 @@ pub struct CaseItemGroup0Statement { } /// -/// Type derived for production 566 +/// Type derived for production 572 /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -3257,20 +3321,19 @@ pub struct CaseItemGroup0LBraceCaseItemGroup0ListRBrace { } /// -/// Type derived for production 569 +/// Type derived for production 575 /// -/// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` +/// `CaseItemGroup: CaseCondition;` /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseItemGroupExpressionCaseItemGroupList { - pub expression: Box, - pub case_item_group_list: Vec, +pub struct CaseItemGroupCaseCondition { + pub case_condition: Box, } /// -/// Type derived for production 572 +/// Type derived for production 576 /// /// `CaseItemGroup: Defaul;` /// @@ -3282,7 +3345,57 @@ pub struct CaseItemGroupDefaul { } /// -/// Type derived for production 581 +/// Type derived for production 584 +/// +/// `SwitchItemGroup0: Statement;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchItemGroup0Statement { + pub statement: Box, +} + +/// +/// Type derived for production 585 +/// +/// `SwitchItemGroup0: LBrace SwitchItemGroup0List /* Vec */ RBrace;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchItemGroup0LBraceSwitchItemGroup0ListRBrace { + pub l_brace: Box, + pub switch_item_group0_list: Vec, + pub r_brace: Box, +} + +/// +/// Type derived for production 588 +/// +/// `SwitchItemGroup: SwitchCondition;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchItemGroupSwitchCondition { + pub switch_condition: Box, +} + +/// +/// Type derived for production 589 +/// +/// `SwitchItemGroup: Defaul;` +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchItemGroupDefaul { + pub defaul: Box, +} + +/// +/// Type derived for production 601 /// /// `AttributeItem: Identifier;` /// @@ -3294,7 +3407,7 @@ pub struct AttributeItemIdentifier { } /// -/// Type derived for production 582 +/// Type derived for production 602 /// /// `AttributeItem: StringLiteral;` /// @@ -3306,7 +3419,7 @@ pub struct AttributeItemStringLiteral { } /// -/// Type derived for production 586 +/// Type derived for production 606 /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -3320,7 +3433,7 @@ pub struct LocalDeclarationGroupArrayTypeEquExpression { } /// -/// Type derived for production 587 +/// Type derived for production 607 /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -3334,7 +3447,7 @@ pub struct LocalDeclarationGroupTypeEquTypeExpression { } /// -/// Type derived for production 610 +/// Type derived for production 630 /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -3348,7 +3461,7 @@ pub struct ModportGroupGroupLBraceModportListRBrace { } /// -/// Type derived for production 611 +/// Type derived for production 631 /// /// `ModportGroupGroup: ModportItem;` /// @@ -3360,7 +3473,7 @@ pub struct ModportGroupGroupModportItem { } /// -/// Type derived for production 622 +/// Type derived for production 642 /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -3374,7 +3487,7 @@ pub struct EnumGroupGroupLBraceEnumListRBrace { } /// -/// Type derived for production 623 +/// Type derived for production 643 /// /// `EnumGroupGroup: EnumItem;` /// @@ -3386,7 +3499,7 @@ pub struct EnumGroupGroupEnumItem { } /// -/// Type derived for production 629 +/// Type derived for production 649 /// /// `StructUnion: Struct;` /// @@ -3398,7 +3511,7 @@ pub struct StructUnionStruct { } /// -/// Type derived for production 630 +/// Type derived for production 650 /// /// `StructUnion: Union;` /// @@ -3410,7 +3523,7 @@ pub struct StructUnionUnion { } /// -/// Type derived for production 640 +/// Type derived for production 660 /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -3424,7 +3537,7 @@ pub struct StructUnionGroupGroupLBraceStructUnionListRBrace { } /// -/// Type derived for production 641 +/// Type derived for production 661 /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -3436,7 +3549,7 @@ pub struct StructUnionGroupGroupStructUnionItem { } /// -/// Type derived for production 669 +/// Type derived for production 689 /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -3450,7 +3563,7 @@ pub struct InstParameterGroupGroupLBraceInstParameterListRBrace { } /// -/// Type derived for production 670 +/// Type derived for production 690 /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -3462,7 +3575,7 @@ pub struct InstParameterGroupGroupInstParameterItem { } /// -/// Type derived for production 682 +/// Type derived for production 702 /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -3476,7 +3589,7 @@ pub struct InstPortGroupGroupLBraceInstPortListRBrace { } /// -/// Type derived for production 683 +/// Type derived for production 703 /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -3488,7 +3601,7 @@ pub struct InstPortGroupGroupInstPortItem { } /// -/// Type derived for production 698 +/// Type derived for production 718 /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -3502,7 +3615,7 @@ pub struct WithParameterGroupGroupLBraceWithParameterListRBrace { } /// -/// Type derived for production 699 +/// Type derived for production 719 /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -3514,7 +3627,7 @@ pub struct WithParameterGroupGroupWithParameterItem { } /// -/// Type derived for production 703 +/// Type derived for production 723 /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -3528,7 +3641,7 @@ pub struct WithParameterItemGroup0ArrayTypeEquExpression { } /// -/// Type derived for production 704 +/// Type derived for production 724 /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -3542,7 +3655,7 @@ pub struct WithParameterItemGroup0TypeEquTypeExpression { } /// -/// Type derived for production 705 +/// Type derived for production 725 /// /// `WithParameterItemGroup: Param;` /// @@ -3554,7 +3667,7 @@ pub struct WithParameterItemGroupParam { } /// -/// Type derived for production 706 +/// Type derived for production 726 /// /// `WithParameterItemGroup: Local;` /// @@ -3566,7 +3679,7 @@ pub struct WithParameterItemGroupLocal { } /// -/// Type derived for production 724 +/// Type derived for production 744 /// /// `WithGenericArgumentItem: ScopedIdentifier;` /// @@ -3578,7 +3691,7 @@ pub struct WithGenericArgumentItemScopedIdentifier { } /// -/// Type derived for production 725 +/// Type derived for production 745 /// /// `WithGenericArgumentItem: Number;` /// @@ -3590,7 +3703,7 @@ pub struct WithGenericArgumentItemNumber { } /// -/// Type derived for production 735 +/// Type derived for production 755 /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -3604,7 +3717,7 @@ pub struct PortDeclarationGroupGroupLBracePortDeclarationListRBrace { } /// -/// Type derived for production 736 +/// Type derived for production 756 /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -3616,7 +3729,7 @@ pub struct PortDeclarationGroupGroupPortDeclarationItem { } /// -/// Type derived for production 740 +/// Type derived for production 760 /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -3629,7 +3742,7 @@ pub struct PortDeclarationItemGroupDirectionArrayType { } /// -/// Type derived for production 741 +/// Type derived for production 761 /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -3642,7 +3755,7 @@ pub struct PortDeclarationItemGroupInterfacePortDeclarationItemOpt { } /// -/// Type derived for production 744 +/// Type derived for production 764 /// /// `Direction: Input;` /// @@ -3654,7 +3767,7 @@ pub struct DirectionInput { } /// -/// Type derived for production 745 +/// Type derived for production 765 /// /// `Direction: Output;` /// @@ -3666,7 +3779,7 @@ pub struct DirectionOutput { } /// -/// Type derived for production 746 +/// Type derived for production 766 /// /// `Direction: Inout;` /// @@ -3678,7 +3791,7 @@ pub struct DirectionInout { } /// -/// Type derived for production 747 +/// Type derived for production 767 /// /// `Direction: Ref;` /// @@ -3690,7 +3803,7 @@ pub struct DirectionRef { } /// -/// Type derived for production 748 +/// Type derived for production 768 /// /// `Direction: Modport;` /// @@ -3702,7 +3815,7 @@ pub struct DirectionModport { } /// -/// Type derived for production 749 +/// Type derived for production 769 /// /// `Direction: Import;` /// @@ -3714,7 +3827,7 @@ pub struct DirectionImport { } /// -/// Type derived for production 759 +/// Type derived for production 779 /// /// `FunctionItem: VarDeclaration;` /// @@ -3726,7 +3839,7 @@ pub struct FunctionItemVarDeclaration { } /// -/// Type derived for production 760 +/// Type derived for production 780 /// /// `FunctionItem: Statement;` /// @@ -3738,7 +3851,7 @@ pub struct FunctionItemStatement { } /// -/// Type derived for production 765 +/// Type derived for production 785 /// /// `ExportDeclarationGroup: Star;` /// @@ -3750,7 +3863,7 @@ pub struct ExportDeclarationGroupStar { } /// -/// Type derived for production 766 +/// Type derived for production 786 /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -3763,7 +3876,7 @@ pub struct ExportDeclarationGroupScopedIdentifierExportDeclarationOpt { } /// -/// Type derived for production 797 +/// Type derived for production 817 /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -3777,7 +3890,7 @@ pub struct ModuleGroupGroupLBraceModuleGroupGroupListRBrace { } /// -/// Type derived for production 800 +/// Type derived for production 820 /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -3789,7 +3902,7 @@ pub struct ModuleGroupGroupModuleItem { } /// -/// Type derived for production 803 +/// Type derived for production 823 /// /// `ModuleItem: LetDeclaration;` /// @@ -3801,7 +3914,7 @@ pub struct ModuleItemLetDeclaration { } /// -/// Type derived for production 804 +/// Type derived for production 824 /// /// `ModuleItem: VarDeclaration;` /// @@ -3813,7 +3926,7 @@ pub struct ModuleItemVarDeclaration { } /// -/// Type derived for production 805 +/// Type derived for production 825 /// /// `ModuleItem: InstDeclaration;` /// @@ -3825,7 +3938,7 @@ pub struct ModuleItemInstDeclaration { } /// -/// Type derived for production 806 +/// Type derived for production 826 /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -3837,7 +3950,7 @@ pub struct ModuleItemTypeDefDeclaration { } /// -/// Type derived for production 807 +/// Type derived for production 827 /// /// `ModuleItem: LocalDeclaration;` /// @@ -3849,7 +3962,7 @@ pub struct ModuleItemLocalDeclaration { } /// -/// Type derived for production 808 +/// Type derived for production 828 /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -3861,7 +3974,7 @@ pub struct ModuleItemAlwaysFfDeclaration { } /// -/// Type derived for production 809 +/// Type derived for production 829 /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -3873,7 +3986,7 @@ pub struct ModuleItemAlwaysCombDeclaration { } /// -/// Type derived for production 810 +/// Type derived for production 830 /// /// `ModuleItem: AssignDeclaration;` /// @@ -3885,7 +3998,7 @@ pub struct ModuleItemAssignDeclaration { } /// -/// Type derived for production 811 +/// Type derived for production 831 /// /// `ModuleItem: FunctionDeclaration;` /// @@ -3897,7 +4010,7 @@ pub struct ModuleItemFunctionDeclaration { } /// -/// Type derived for production 812 +/// Type derived for production 832 /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -3909,7 +4022,7 @@ pub struct ModuleItemModuleIfDeclaration { } /// -/// Type derived for production 813 +/// Type derived for production 833 /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -3921,7 +4034,7 @@ pub struct ModuleItemModuleForDeclaration { } /// -/// Type derived for production 814 +/// Type derived for production 834 /// /// `ModuleItem: EnumDeclaration;` /// @@ -3933,7 +4046,7 @@ pub struct ModuleItemEnumDeclaration { } /// -/// Type derived for production 815 +/// Type derived for production 835 /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -3945,7 +4058,7 @@ pub struct ModuleItemStructUnionDeclaration { } /// -/// Type derived for production 816 +/// Type derived for production 836 /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -3957,7 +4070,7 @@ pub struct ModuleItemModuleNamedBlock { } /// -/// Type derived for production 817 +/// Type derived for production 837 /// /// `ModuleItem: ImportDeclaration;` /// @@ -3969,7 +4082,7 @@ pub struct ModuleItemImportDeclaration { } /// -/// Type derived for production 818 +/// Type derived for production 838 /// /// `ModuleItem: InitialDeclaration;` /// @@ -3981,7 +4094,7 @@ pub struct ModuleItemInitialDeclaration { } /// -/// Type derived for production 819 +/// Type derived for production 839 /// /// `ModuleItem: FinalDeclaration;` /// @@ -3993,7 +4106,7 @@ pub struct ModuleItemFinalDeclaration { } /// -/// Type derived for production 846 +/// Type derived for production 866 /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -4007,7 +4120,7 @@ pub struct InterfaceGroupGroupLBraceInterfaceGroupGroupListRBrace { } /// -/// Type derived for production 849 +/// Type derived for production 869 /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -4019,7 +4132,7 @@ pub struct InterfaceGroupGroupInterfaceItem { } /// -/// Type derived for production 852 +/// Type derived for production 872 /// /// `InterfaceItem: LetDeclaration;` /// @@ -4031,7 +4144,7 @@ pub struct InterfaceItemLetDeclaration { } /// -/// Type derived for production 853 +/// Type derived for production 873 /// /// `InterfaceItem: VarDeclaration;` /// @@ -4043,7 +4156,7 @@ pub struct InterfaceItemVarDeclaration { } /// -/// Type derived for production 854 +/// Type derived for production 874 /// /// `InterfaceItem: LocalDeclaration;` /// @@ -4055,7 +4168,7 @@ pub struct InterfaceItemLocalDeclaration { } /// -/// Type derived for production 855 +/// Type derived for production 875 /// /// `InterfaceItem: ModportDeclaration;` /// @@ -4067,7 +4180,7 @@ pub struct InterfaceItemModportDeclaration { } /// -/// Type derived for production 856 +/// Type derived for production 876 /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -4079,7 +4192,7 @@ pub struct InterfaceItemInterfaceIfDeclaration { } /// -/// Type derived for production 857 +/// Type derived for production 877 /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -4091,7 +4204,7 @@ pub struct InterfaceItemInterfaceForDeclaration { } /// -/// Type derived for production 858 +/// Type derived for production 878 /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -4103,7 +4216,7 @@ pub struct InterfaceItemTypeDefDeclaration { } /// -/// Type derived for production 859 +/// Type derived for production 879 /// /// `InterfaceItem: EnumDeclaration;` /// @@ -4115,7 +4228,7 @@ pub struct InterfaceItemEnumDeclaration { } /// -/// Type derived for production 860 +/// Type derived for production 880 /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -4127,7 +4240,7 @@ pub struct InterfaceItemStructUnionDeclaration { } /// -/// Type derived for production 861 +/// Type derived for production 881 /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -4139,7 +4252,7 @@ pub struct InterfaceItemInterfaceNamedBlock { } /// -/// Type derived for production 862 +/// Type derived for production 882 /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -4151,7 +4264,7 @@ pub struct InterfaceItemFunctionDeclaration { } /// -/// Type derived for production 863 +/// Type derived for production 883 /// /// `InterfaceItem: ImportDeclaration;` /// @@ -4163,7 +4276,7 @@ pub struct InterfaceItemImportDeclaration { } /// -/// Type derived for production 864 +/// Type derived for production 884 /// /// `InterfaceItem: InitialDeclaration;` /// @@ -4175,7 +4288,7 @@ pub struct InterfaceItemInitialDeclaration { } /// -/// Type derived for production 865 +/// Type derived for production 885 /// /// `InterfaceItem: FinalDeclaration;` /// @@ -4187,7 +4300,7 @@ pub struct InterfaceItemFinalDeclaration { } /// -/// Type derived for production 874 +/// Type derived for production 894 /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -4201,7 +4314,7 @@ pub struct PackageGroupGroupLBracePackageGroupGroupListRBrace { } /// -/// Type derived for production 877 +/// Type derived for production 897 /// /// `PackageGroupGroup: PackageItem;` /// @@ -4213,7 +4326,7 @@ pub struct PackageGroupGroupPackageItem { } /// -/// Type derived for production 880 +/// Type derived for production 900 /// /// `PackageItem: VarDeclaration;` /// @@ -4225,7 +4338,7 @@ pub struct PackageItemVarDeclaration { } /// -/// Type derived for production 881 +/// Type derived for production 901 /// /// `PackageItem: LocalDeclaration;` /// @@ -4237,7 +4350,7 @@ pub struct PackageItemLocalDeclaration { } /// -/// Type derived for production 882 +/// Type derived for production 902 /// /// `PackageItem: TypeDefDeclaration;` /// @@ -4249,7 +4362,7 @@ pub struct PackageItemTypeDefDeclaration { } /// -/// Type derived for production 883 +/// Type derived for production 903 /// /// `PackageItem: EnumDeclaration;` /// @@ -4261,7 +4374,7 @@ pub struct PackageItemEnumDeclaration { } /// -/// Type derived for production 884 +/// Type derived for production 904 /// /// `PackageItem: StructUnionDeclaration;` /// @@ -4273,7 +4386,7 @@ pub struct PackageItemStructUnionDeclaration { } /// -/// Type derived for production 885 +/// Type derived for production 905 /// /// `PackageItem: FunctionDeclaration;` /// @@ -4285,7 +4398,7 @@ pub struct PackageItemFunctionDeclaration { } /// -/// Type derived for production 886 +/// Type derived for production 906 /// /// `PackageItem: ImportDeclaration;` /// @@ -4297,7 +4410,7 @@ pub struct PackageItemImportDeclaration { } /// -/// Type derived for production 887 +/// Type derived for production 907 /// /// `PackageItem: ExportDeclaration;` /// @@ -4309,7 +4422,7 @@ pub struct PackageItemExportDeclaration { } /// -/// Type derived for production 888 +/// Type derived for production 908 /// /// `PackageItem: InitialDeclaration;` /// @@ -4321,7 +4434,7 @@ pub struct PackageItemInitialDeclaration { } /// -/// Type derived for production 889 +/// Type derived for production 909 /// /// `PackageItem: FinalDeclaration;` /// @@ -4333,7 +4446,7 @@ pub struct PackageItemFinalDeclaration { } /// -/// Type derived for production 895 +/// Type derived for production 915 /// /// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` /// @@ -4347,7 +4460,7 @@ pub struct EmbedItemLBraceTermEmbedItemListRBraceTerm { } /// -/// Type derived for production 898 +/// Type derived for production 918 /// /// `EmbedItem: AnyTerm;` /// @@ -4359,7 +4472,7 @@ pub struct EmbedItemAnyTerm { } /// -/// Type derived for production 901 +/// Type derived for production 921 /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -4373,7 +4486,7 @@ pub struct DescriptionGroupGroupLBraceDescriptionGroupGroupListRBrace { } /// -/// Type derived for production 904 +/// Type derived for production 924 /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -4385,7 +4498,7 @@ pub struct DescriptionGroupGroupDescriptionItem { } /// -/// Type derived for production 907 +/// Type derived for production 927 /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -4397,7 +4510,7 @@ pub struct DescriptionItemModuleDeclaration { } /// -/// Type derived for production 908 +/// Type derived for production 928 /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -4409,7 +4522,7 @@ pub struct DescriptionItemInterfaceDeclaration { } /// -/// Type derived for production 909 +/// Type derived for production 929 /// /// `DescriptionItem: PackageDeclaration;` /// @@ -4421,7 +4534,7 @@ pub struct DescriptionItemPackageDeclaration { } /// -/// Type derived for production 910 +/// Type derived for production 930 /// /// `DescriptionItem: ImportDeclaration;` /// @@ -4433,7 +4546,7 @@ pub struct DescriptionItemImportDeclaration { } /// -/// Type derived for production 911 +/// Type derived for production 931 /// /// `DescriptionItem: EmbedDeclaration;` /// @@ -4445,7 +4558,7 @@ pub struct DescriptionItemEmbedDeclaration { } /// -/// Type derived for production 912 +/// Type derived for production 932 /// /// `DescriptionItem: IncludeDeclaration;` /// @@ -5160,62 +5273,60 @@ pub struct Case { } /// -/// Type derived for non-terminal CaseExpression +/// Type derived for non-terminal CaseCondition /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpression { - pub case: Box, - pub expression: Box, - pub l_brace: Box, - pub expression0: Box, - pub case_expression_list: Vec, - pub colon: Box, - pub expression1: Box, - pub comma: Box, - pub case_expression_list0: Vec, - pub defaul: Box, - pub colon0: Box, - pub expression2: Box, - pub case_expression_opt: Option, - pub r_brace: Box, +pub struct CaseCondition { + pub range_item: Box, + pub case_condition_list: Vec, } /// -/// Type derived for non-terminal CaseExpressionList +/// Type derived for non-terminal CaseConditionList /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpressionList { +pub struct CaseConditionList { pub comma: Box, - pub expression: Box, + pub range_item: Box, } /// -/// Type derived for non-terminal CaseExpressionList0 +/// Type derived for non-terminal CaseExpression /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpressionList0 { +pub struct CaseExpression { + pub case: Box, pub expression: Box, - pub case_expression_list0_list: Vec, + pub l_brace: Box, + pub case_condition: Box, pub colon: Box, pub expression0: Box, pub comma: Box, + pub case_expression_list: Vec, + pub defaul: Box, + pub colon0: Box, + pub expression1: Box, + pub case_expression_opt: Option, + pub r_brace: Box, } /// -/// Type derived for non-terminal CaseExpressionList0List +/// Type derived for non-terminal CaseExpressionList /// #[allow(dead_code)] #[derive(Builder, Debug, Clone)] #[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseExpressionList0List { - pub comma: Box, +pub struct CaseExpressionList { + pub case_condition: Box, + pub colon: Box, pub expression: Box, + pub comma: Box, } /// @@ -5246,7 +5357,7 @@ pub struct CaseItem { #[allow(dead_code)] #[derive(Debug, Clone)] pub enum CaseItemGroup { - ExpressionCaseItemGroupList(CaseItemGroupExpressionCaseItemGroupList), + CaseCondition(CaseItemGroupCaseCondition), Defaul(CaseItemGroupDefaul), } @@ -5270,17 +5381,6 @@ pub struct CaseItemGroup0List { pub statement: Box, } -/// -/// Type derived for non-terminal CaseItemGroupList -/// -#[allow(dead_code)] -#[derive(Builder, Debug, Clone)] -#[builder(crate = "parol_runtime::derive_builder")] -pub struct CaseItemGroupList { - pub comma: Box, - pub expression: Box, -} - /// /// Type derived for non-terminal CaseStatement /// @@ -6676,6 +6776,7 @@ pub enum Factor { QuoteLBraceArrayLiteralListRBrace(FactorQuoteLBraceArrayLiteralListRBrace), IfExpression(FactorIfExpression), CaseExpression(FactorCaseExpression), + SwitchExpression(FactorSwitchExpression), StringLiteral(FactorStringLiteral), FactorGroup(FactorFactorGroup), InsideExpression(FactorInsideExpression), @@ -10619,6 +10720,7 @@ pub enum Statement { BreakStatement(StatementBreakStatement), ForStatement(StatementForStatement), CaseStatement(StatementCaseStatement), + SwitchStatement(StatementSwitchStatement), } /// @@ -10856,6 +10958,168 @@ pub struct StructUnionListOpt { pub comma: Box, } +/// +/// Type derived for non-terminal Switch +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct Switch { + pub switch_token: crate::veryl_token::VerylToken, +} + +/// +/// Type derived for non-terminal SwitchCondition +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchCondition { + pub expression: Box, + pub switch_condition_list: Vec, +} + +/// +/// Type derived for non-terminal SwitchConditionList +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchConditionList { + pub comma: Box, + pub expression: Box, +} + +/// +/// Type derived for non-terminal SwitchExpression +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchExpression { + pub switch: Box, + pub l_brace: Box, + pub switch_condition: Box, + pub colon: Box, + pub expression: Box, + pub comma: Box, + pub switch_expression_list: Vec, + pub defaul: Box, + pub colon0: Box, + pub expression0: Box, + pub switch_expression_opt: Option, + pub r_brace: Box, +} + +/// +/// Type derived for non-terminal SwitchExpressionList +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchExpressionList { + pub switch_condition: Box, + pub colon: Box, + pub expression: Box, + pub comma: Box, +} + +/// +/// Type derived for non-terminal SwitchExpressionOpt +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchExpressionOpt { + pub comma: Box, +} + +/// +/// Type derived for non-terminal SwitchItem +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchItem { + pub switch_item_group: Box, + pub colon: Box, + pub switch_item_group0: Box, +} + +/// +/// Type derived for non-terminal SwitchItemGroup +/// +#[allow(dead_code)] +#[derive(Debug, Clone)] +pub enum SwitchItemGroup { + SwitchCondition(SwitchItemGroupSwitchCondition), + Defaul(SwitchItemGroupDefaul), +} + +/// +/// Type derived for non-terminal SwitchItemGroup0 +/// +#[allow(dead_code)] +#[derive(Debug, Clone)] +pub enum SwitchItemGroup0 { + Statement(SwitchItemGroup0Statement), + LBraceSwitchItemGroup0ListRBrace(SwitchItemGroup0LBraceSwitchItemGroup0ListRBrace), +} + +/// +/// Type derived for non-terminal SwitchItemGroup0List +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchItemGroup0List { + pub statement: Box, +} + +/// +/// Type derived for non-terminal SwitchStatement +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchStatement { + pub switch: Box, + pub l_brace: Box, + pub switch_statement_list: Vec, + pub r_brace: Box, +} + +/// +/// Type derived for non-terminal SwitchStatementList +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchStatementList { + pub switch_item: Box, +} + +/// +/// Type derived for non-terminal SwitchTerm +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchTerm { + pub switch_term: crate::veryl_token::Token, /* (?-u:\b)switch(?-u:\b) */ +} + +/// +/// Type derived for non-terminal SwitchToken +/// +#[allow(dead_code)] +#[derive(Builder, Debug, Clone)] +#[builder(crate = "parol_runtime::derive_builder")] +pub struct SwitchToken { + pub switch_term: crate::veryl_token::Token, + pub comments: Box, +} + /// /// Type derived for non-terminal Tri /// @@ -11531,16 +11795,15 @@ pub enum ASTType { BreakTerm(BreakTerm), BreakToken(BreakToken), Case(Case), + CaseCondition(CaseCondition), + CaseConditionList(Vec), CaseExpression(CaseExpression), CaseExpressionList(Vec), - CaseExpressionList0(Vec), - CaseExpressionList0List(Vec), CaseExpressionOpt(Option), CaseItem(CaseItem), CaseItemGroup(CaseItemGroup), CaseItemGroup0(CaseItemGroup0), CaseItemGroup0List(Vec), - CaseItemGroupList(Vec), CaseStatement(CaseStatement), CaseStatementList(Vec), CaseTerm(CaseTerm), @@ -12051,6 +12314,20 @@ pub enum ASTType { StructUnionList(StructUnionList), StructUnionListList(Vec), StructUnionListOpt(Option), + Switch(Switch), + SwitchCondition(SwitchCondition), + SwitchConditionList(Vec), + SwitchExpression(SwitchExpression), + SwitchExpressionList(Vec), + SwitchExpressionOpt(Option), + SwitchItem(SwitchItem), + SwitchItemGroup(SwitchItemGroup), + SwitchItemGroup0(SwitchItemGroup0), + SwitchItemGroup0List(Vec), + SwitchStatement(SwitchStatement), + SwitchStatementList(Vec), + SwitchTerm(SwitchTerm), + SwitchToken(SwitchToken), Tri(Tri), TriTerm(TriTerm), TriToken(TriToken), @@ -14134,6 +14411,25 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 100: /// + /// `SwitchTerm: /(?-u:\b)switch(?-u:\b)/ : Token;` + /// + #[parol_runtime::function_name::named] + fn switch_term(&mut self, switch_term: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_term = switch_term + .token()? + .try_into() + .map_err(parol_runtime::ParolError::UserError)?; + let switch_term_built = SwitchTerm { switch_term }; + // Calling user action here + self.user_grammar.switch_term(&switch_term_built)?; + self.push(ASTType::SwitchTerm(switch_term_built), context); + Ok(()) + } + + /// Semantic action for production 101: + /// /// `TriTerm: /(?-u:\b)tri(?-u:\b)/ : Token;` /// #[parol_runtime::function_name::named] @@ -14151,7 +14447,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 101: + /// Semantic action for production 102: /// /// `TypeTerm: /(?-u:\b)type(?-u:\b)/ : Token;` /// @@ -14170,7 +14466,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 102: + /// Semantic action for production 103: /// /// `U32Term: /(?-u:\b)u32(?-u:\b)/ : Token;` /// @@ -14189,7 +14485,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 103: + /// Semantic action for production 104: /// /// `U64Term: /(?-u:\b)u64(?-u:\b)/ : Token;` /// @@ -14208,7 +14504,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 104: + /// Semantic action for production 105: /// /// `UnionTerm: /(?-u:\b)union(?-u:\b)/ : Token;` /// @@ -14227,7 +14523,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 105: + /// Semantic action for production 106: /// /// `VarTerm: /(?-u:\b)var(?-u:\b)/ : Token;` /// @@ -14246,7 +14542,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 106: + /// Semantic action for production 107: /// /// `DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;` /// @@ -14271,7 +14567,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 107: + /// Semantic action for production 108: /// /// `IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/ : Token;` /// @@ -14290,7 +14586,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 108: + /// Semantic action for production 109: /// /// `AnyTerm: /[^{}]*/ : Token;` /// @@ -14309,7 +14605,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 109: + /// Semantic action for production 110: /// /// `Comments: CommentsOpt /* Option */;` /// @@ -14325,7 +14621,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 110: + /// Semantic action for production 111: /// /// `CommentsOpt /* Option::Some */: CommentsTerm;` /// @@ -14341,7 +14637,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 111: + /// Semantic action for production 112: /// /// `CommentsOpt /* Option::None */: ;` /// @@ -14353,7 +14649,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 112: + /// Semantic action for production 113: /// /// `StartToken: Comments;` /// @@ -14371,7 +14667,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 113: + /// Semantic action for production 114: /// /// `StringLiteralToken: StringLiteralTerm : Token Comments;` /// @@ -14401,7 +14697,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 114: + /// Semantic action for production 115: /// /// `ExponentToken: ExponentTerm : Token Comments;` /// @@ -14427,7 +14723,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 115: + /// Semantic action for production 116: /// /// `FixedPointToken: FixedPointTerm : Token Comments;` /// @@ -14454,7 +14750,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 116: + /// Semantic action for production 117: /// /// `BasedToken: BasedTerm : Token Comments;` /// @@ -14480,7 +14776,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 117: + /// Semantic action for production 118: /// /// `BaseLessToken: BaseLessTerm : Token Comments;` /// @@ -14506,7 +14802,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 118: + /// Semantic action for production 119: /// /// `AllBitToken: AllBitTerm : Token Comments;` /// @@ -14532,7 +14828,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 119: + /// Semantic action for production 120: /// /// `AssignmentOperatorToken: AssignmentOperatorTerm : Token Comments;` /// @@ -14567,7 +14863,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 120: + /// Semantic action for production 121: /// /// `Operator01Token: Operator01Term : Token Comments;` /// @@ -14594,7 +14890,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 121: + /// Semantic action for production 122: /// /// `Operator02Token: Operator02Term : Token Comments;` /// @@ -14621,7 +14917,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 122: + /// Semantic action for production 123: /// /// `Operator03Token: Operator03Term : Token Comments;` /// @@ -14648,7 +14944,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 123: + /// Semantic action for production 124: /// /// `Operator04Token: Operator04Term : Token Comments;` /// @@ -14675,7 +14971,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 124: + /// Semantic action for production 125: /// /// `Operator05Token: Operator05Term : Token Comments;` /// @@ -14702,7 +14998,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 125: + /// Semantic action for production 126: /// /// `Operator06Token: Operator06Term : Token Comments;` /// @@ -14729,7 +15025,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 126: + /// Semantic action for production 127: /// /// `Operator07Token: Operator07Term : Token Comments;` /// @@ -14756,7 +15052,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 127: + /// Semantic action for production 128: /// /// `Operator08Token: Operator08Term : Token Comments;` /// @@ -14783,7 +15079,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 128: + /// Semantic action for production 129: /// /// `Operator09Token: Operator09Term : Token Comments;` /// @@ -14810,7 +15106,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 129: + /// Semantic action for production 130: /// /// `Operator10Token: Operator10Term : Token Comments;` /// @@ -14837,7 +15133,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 130: + /// Semantic action for production 131: /// /// `Operator11Token: Operator11Term : Token Comments;` /// @@ -14864,7 +15160,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 131: + /// Semantic action for production 132: /// /// `UnaryOperatorToken: UnaryOperatorTerm : Token Comments;` /// @@ -14894,7 +15190,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 132: + /// Semantic action for production 133: /// /// `ColonToken: ColonTerm : Token Comments;` /// @@ -14920,7 +15216,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 133: + /// Semantic action for production 134: /// /// `ColonColonLAngleToken: ColonColonLAngleTerm : Token Comments;` /// @@ -14955,7 +15251,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 134: + /// Semantic action for production 135: /// /// `ColonColonToken: ColonColonTerm : Token Comments;` /// @@ -14982,7 +15278,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 135: + /// Semantic action for production 136: /// /// `CommaToken: CommaTerm : Token Comments;` /// @@ -15008,7 +15304,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 136: + /// Semantic action for production 137: /// /// `DotDotToken: DotDotTerm : Token Comments;` /// @@ -15034,7 +15330,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 137: + /// Semantic action for production 138: /// /// `DotDotEquToken: DotDotEquTerm : Token Comments;` /// @@ -15061,7 +15357,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 138: + /// Semantic action for production 139: /// /// `DotToken: DotTerm : Token Comments;` /// @@ -15087,7 +15383,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 139: + /// Semantic action for production 140: /// /// `EquToken: EquTerm : Token Comments;` /// @@ -15113,7 +15409,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 140: + /// Semantic action for production 141: /// /// `HashToken: HashTerm : Token Comments;` /// @@ -15139,7 +15435,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 141: + /// Semantic action for production 142: /// /// `QuoteLBraceToken: QuoteLBraceTerm : Token Comments;` /// @@ -15169,7 +15465,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 142: + /// Semantic action for production 143: /// /// `LAngleToken: LAngleTerm : Token Comments;` /// @@ -15195,7 +15491,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 143: + /// Semantic action for production 144: /// /// `LBraceToken: LBraceTerm : Token Comments;` /// @@ -15221,7 +15517,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 144: + /// Semantic action for production 145: /// /// `LBracketToken: LBracketTerm : Token Comments;` /// @@ -15247,7 +15543,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 145: + /// Semantic action for production 146: /// /// `LParenToken: LParenTerm : Token Comments;` /// @@ -15273,7 +15569,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 146: + /// Semantic action for production 147: /// /// `MinusColonToken: MinusColonTerm : Token Comments;` /// @@ -15300,7 +15596,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 147: + /// Semantic action for production 148: /// /// `MinusGTToken: MinusGTTerm : Token Comments;` /// @@ -15326,7 +15622,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 148: + /// Semantic action for production 149: /// /// `PlusColonToken: PlusColonTerm : Token Comments;` /// @@ -15353,7 +15649,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 149: + /// Semantic action for production 150: /// /// `RAngleToken: RAngleTerm : Token Comments;` /// @@ -15379,7 +15675,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 150: + /// Semantic action for production 151: /// /// `RBraceToken: RBraceTerm : Token Comments;` /// @@ -15405,7 +15701,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 151: + /// Semantic action for production 152: /// /// `RBracketToken: RBracketTerm : Token Comments;` /// @@ -15431,7 +15727,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 152: + /// Semantic action for production 153: /// /// `RParenToken: RParenTerm : Token Comments;` /// @@ -15457,7 +15753,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 153: + /// Semantic action for production 154: /// /// `SemicolonToken: SemicolonTerm : Token Comments;` /// @@ -15483,7 +15779,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 154: + /// Semantic action for production 155: /// /// `StarToken: StarTerm : Token Comments;` /// @@ -15509,7 +15805,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 155: + /// Semantic action for production 156: /// /// `AlwaysCombToken: AlwaysCombTerm : Token Comments;` /// @@ -15536,7 +15832,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 156: + /// Semantic action for production 157: /// /// `AlwaysFfToken: AlwaysFfTerm : Token Comments;` /// @@ -15562,7 +15858,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 157: + /// Semantic action for production 158: /// /// `AsToken: AsTerm : Token Comments;` /// @@ -15588,7 +15884,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 158: + /// Semantic action for production 159: /// /// `AssignToken: AssignTerm : Token Comments;` /// @@ -15614,7 +15910,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 159: + /// Semantic action for production 160: /// /// `BitToken: BitTerm : Token Comments;` /// @@ -15640,7 +15936,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 160: + /// Semantic action for production 161: /// /// `CaseToken: CaseTerm : Token Comments;` /// @@ -15666,7 +15962,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 161: + /// Semantic action for production 162: /// /// `ClockToken: ClockTerm : Token Comments;` /// @@ -15692,7 +15988,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 162: + /// Semantic action for production 163: /// /// `ClockPosedgeToken: ClockPosedgeTerm : Token Comments;` /// @@ -15722,7 +16018,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 163: + /// Semantic action for production 164: /// /// `ClockNegedgeToken: ClockNegedgeTerm : Token Comments;` /// @@ -15752,7 +16048,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 164: + /// Semantic action for production 165: /// /// `DefaultToken: DefaultTerm : Token Comments;` /// @@ -15778,7 +16074,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 165: + /// Semantic action for production 166: /// /// `ElseToken: ElseTerm : Token Comments;` /// @@ -15804,7 +16100,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 166: + /// Semantic action for production 167: /// /// `EmbedToken: EmbedTerm : Token Comments;` /// @@ -15830,7 +16126,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 167: + /// Semantic action for production 168: /// /// `EnumToken: EnumTerm : Token Comments;` /// @@ -15856,7 +16152,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 168: + /// Semantic action for production 169: /// /// `ExportToken: ExportTerm : Token Comments;` /// @@ -15882,7 +16178,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 169: + /// Semantic action for production 170: /// /// `F32Token: F32Term : Token Comments;` /// @@ -15908,7 +16204,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 170: + /// Semantic action for production 171: /// /// `F64Token: F64Term : Token Comments;` /// @@ -15934,7 +16230,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 171: + /// Semantic action for production 172: /// /// `FinalToken: FinalTerm : Token Comments;` /// @@ -15960,7 +16256,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 172: + /// Semantic action for production 173: /// /// `ForToken: ForTerm : Token Comments;` /// @@ -15986,7 +16282,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 173: + /// Semantic action for production 174: /// /// `FunctionToken: FunctionTerm : Token Comments;` /// @@ -16012,7 +16308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 174: + /// Semantic action for production 175: /// /// `I32Token: I32Term : Token Comments;` /// @@ -16038,7 +16334,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 175: + /// Semantic action for production 176: /// /// `I64Token: I64Term : Token Comments;` /// @@ -16064,7 +16360,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 176: + /// Semantic action for production 177: /// /// `IfResetToken: IfResetTerm : Token Comments;` /// @@ -16090,7 +16386,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 177: + /// Semantic action for production 178: /// /// `IfToken: IfTerm : Token Comments;` /// @@ -16116,7 +16412,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 178: + /// Semantic action for production 179: /// /// `ImportToken: ImportTerm : Token Comments;` /// @@ -16142,7 +16438,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 179: + /// Semantic action for production 180: /// /// `IncludeToken: IncludeTerm : Token Comments;` /// @@ -16168,7 +16464,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 180: + /// Semantic action for production 181: /// /// `InitialToken: InitialTerm : Token Comments;` /// @@ -16194,7 +16490,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 181: + /// Semantic action for production 182: /// /// `InoutToken: InoutTerm : Token Comments;` /// @@ -16220,7 +16516,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 182: + /// Semantic action for production 183: /// /// `InputToken: InputTerm : Token Comments;` /// @@ -16246,7 +16542,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 183: + /// Semantic action for production 184: /// /// `InsideToken: InsideTerm : Token Comments;` /// @@ -16272,7 +16568,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 184: + /// Semantic action for production 185: /// /// `InstToken: InstTerm : Token Comments;` /// @@ -16298,7 +16594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 185: + /// Semantic action for production 186: /// /// `InterfaceToken: InterfaceTerm : Token Comments;` /// @@ -16324,7 +16620,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 186: + /// Semantic action for production 187: /// /// `InToken: InTerm : Token Comments;` /// @@ -16350,7 +16646,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 187: + /// Semantic action for production 188: /// /// `LetToken: LetTerm : Token Comments;` /// @@ -16376,7 +16672,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 188: + /// Semantic action for production 189: /// /// `LocalToken: LocalTerm : Token Comments;` /// @@ -16402,7 +16698,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 189: + /// Semantic action for production 190: /// /// `LogicToken: LogicTerm : Token Comments;` /// @@ -16428,7 +16724,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 190: + /// Semantic action for production 191: /// /// `LsbToken: LsbTerm : Token Comments;` /// @@ -16454,7 +16750,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 191: + /// Semantic action for production 192: /// /// `ModportToken: ModportTerm : Token Comments;` /// @@ -16480,7 +16776,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 192: + /// Semantic action for production 193: /// /// `ModuleToken: ModuleTerm : Token Comments;` /// @@ -16506,7 +16802,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 193: + /// Semantic action for production 194: /// /// `MsbToken: MsbTerm : Token Comments;` /// @@ -16532,7 +16828,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 194: + /// Semantic action for production 195: /// /// `OutputToken: OutputTerm : Token Comments;` /// @@ -16558,7 +16854,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 195: + /// Semantic action for production 196: /// /// `OutsideToken: OutsideTerm : Token Comments;` /// @@ -16584,7 +16880,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 196: + /// Semantic action for production 197: /// /// `PackageToken: PackageTerm : Token Comments;` /// @@ -16610,7 +16906,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 197: + /// Semantic action for production 198: /// /// `ParamToken: ParamTerm : Token Comments;` /// @@ -16636,7 +16932,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 198: + /// Semantic action for production 199: /// /// `PubToken: PubTerm : Token Comments;` /// @@ -16662,7 +16958,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 199: + /// Semantic action for production 200: /// /// `RefToken: RefTerm : Token Comments;` /// @@ -16688,7 +16984,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 200: + /// Semantic action for production 201: /// /// `RepeatToken: RepeatTerm : Token Comments;` /// @@ -16714,7 +17010,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 201: + /// Semantic action for production 202: /// /// `ResetToken: ResetTerm : Token Comments;` /// @@ -16740,7 +17036,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 202: + /// Semantic action for production 203: /// /// `ResetAsyncHighToken: ResetAsyncHighTerm : Token Comments;` /// @@ -16771,7 +17067,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 203: + /// Semantic action for production 204: /// /// `ResetAsyncLowToken: ResetAsyncLowTerm : Token Comments;` /// @@ -16802,7 +17098,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 204: + /// Semantic action for production 205: /// /// `ResetSyncHighToken: ResetSyncHighTerm : Token Comments;` /// @@ -16833,7 +17129,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 205: + /// Semantic action for production 206: /// /// `ResetSyncLowToken: ResetSyncLowTerm : Token Comments;` /// @@ -16863,7 +17159,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 206: + /// Semantic action for production 207: /// /// `ReturnToken: ReturnTerm : Token Comments;` /// @@ -16889,7 +17185,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 207: + /// Semantic action for production 208: /// /// `BreakToken: BreakTerm : Token Comments;` /// @@ -16915,7 +17211,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 208: + /// Semantic action for production 209: /// /// `SignedToken: SignedTerm : Token Comments;` /// @@ -16941,7 +17237,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 209: + /// Semantic action for production 210: /// /// `StepToken: StepTerm : Token Comments;` /// @@ -16967,7 +17263,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 210: + /// Semantic action for production 211: /// /// `StringToken: StringTerm : Token Comments;` /// @@ -16993,7 +17289,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 211: + /// Semantic action for production 212: /// /// `StructToken: StructTerm : Token Comments;` /// @@ -17019,7 +17315,33 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 212: + /// Semantic action for production 213: + /// + /// `SwitchToken: SwitchTerm : Token Comments;` + /// + #[parol_runtime::function_name::named] + fn switch_token( + &mut self, + _switch_term: &ParseTreeType<'t>, + _comments: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let comments = pop_item!(self, comments, Comments, context); + let switch_term = pop_item!(self, switch_term, SwitchTerm, context); + let switch_token_built = SwitchToken { + switch_term: (&switch_term) + .try_into() + .map_err(parol_runtime::ParolError::UserError)?, + comments: Box::new(comments), + }; + // Calling user action here + self.user_grammar.switch_token(&switch_token_built)?; + self.push(ASTType::SwitchToken(switch_token_built), context); + Ok(()) + } + + /// Semantic action for production 214: /// /// `TriToken: TriTerm : Token Comments;` /// @@ -17045,7 +17367,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 213: + /// Semantic action for production 215: /// /// `TypeToken: TypeTerm : Token Comments;` /// @@ -17071,7 +17393,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 214: + /// Semantic action for production 216: /// /// `U32Token: U32Term : Token Comments;` /// @@ -17097,7 +17419,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 215: + /// Semantic action for production 217: /// /// `U64Token: U64Term : Token Comments;` /// @@ -17123,7 +17445,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 216: + /// Semantic action for production 218: /// /// `UnionToken: UnionTerm : Token Comments;` /// @@ -17149,7 +17471,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 217: + /// Semantic action for production 219: /// /// `VarToken: VarTerm : Token Comments;` /// @@ -17175,7 +17497,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 218: + /// Semantic action for production 220: /// /// `DollarIdentifierToken: DollarIdentifierTerm : Token Comments;` /// @@ -17206,7 +17528,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 219: + /// Semantic action for production 221: /// /// `IdentifierToken: IdentifierTerm : Token Comments;` /// @@ -17233,7 +17555,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 220: + /// Semantic action for production 222: /// /// `Start: StartToken : VerylToken;` /// @@ -17253,7 +17575,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 221: + /// Semantic action for production 223: /// /// `StringLiteral: StringLiteralToken : VerylToken;` /// @@ -17274,7 +17596,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 222: + /// Semantic action for production 224: /// /// `Exponent: ExponentToken : VerylToken;` /// @@ -17294,7 +17616,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 223: + /// Semantic action for production 225: /// /// `FixedPoint: FixedPointToken : VerylToken;` /// @@ -17314,7 +17636,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 224: + /// Semantic action for production 226: /// /// `Based: BasedToken : VerylToken;` /// @@ -17334,7 +17656,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 225: + /// Semantic action for production 227: /// /// `BaseLess: BaseLessToken : VerylToken;` /// @@ -17354,7 +17676,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 226: + /// Semantic action for production 228: /// /// `AllBit: AllBitToken : VerylToken;` /// @@ -17374,7 +17696,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 227: + /// Semantic action for production 229: /// /// `AssignmentOperator: AssignmentOperatorToken : VerylToken;` /// @@ -17406,7 +17728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 228: + /// Semantic action for production 230: /// /// `Operator01: Operator01Token : VerylToken;` /// @@ -17426,7 +17748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 229: + /// Semantic action for production 231: /// /// `Operator02: Operator02Token : VerylToken;` /// @@ -17446,7 +17768,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 230: + /// Semantic action for production 232: /// /// `Operator03: Operator03Token : VerylToken;` /// @@ -17466,7 +17788,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 231: + /// Semantic action for production 233: /// /// `Operator04: Operator04Token : VerylToken;` /// @@ -17486,7 +17808,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 232: + /// Semantic action for production 234: /// /// `Operator05: Operator05Token : VerylToken;` /// @@ -17506,7 +17828,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 233: + /// Semantic action for production 235: /// /// `Operator06: Operator06Token : VerylToken;` /// @@ -17526,7 +17848,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 234: + /// Semantic action for production 236: /// /// `Operator07: Operator07Token : VerylToken;` /// @@ -17546,7 +17868,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 235: + /// Semantic action for production 237: /// /// `Operator08: Operator08Token : VerylToken;` /// @@ -17566,7 +17888,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 236: + /// Semantic action for production 238: /// /// `Operator09: Operator09Token : VerylToken;` /// @@ -17586,7 +17908,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 237: + /// Semantic action for production 239: /// /// `Operator10: Operator10Token : VerylToken;` /// @@ -17606,7 +17928,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 238: + /// Semantic action for production 240: /// /// `Operator11: Operator11Token : VerylToken;` /// @@ -17626,7 +17948,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 239: + /// Semantic action for production 241: /// /// `UnaryOperator: UnaryOperatorToken : VerylToken;` /// @@ -17647,7 +17969,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 240: + /// Semantic action for production 242: /// /// `Colon: ColonToken : VerylToken;` /// @@ -17667,7 +17989,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 241: + /// Semantic action for production 243: /// /// `ColonColonLAngle: ColonColonLAngleToken : VerylToken;` /// @@ -17699,7 +18021,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 242: + /// Semantic action for production 244: /// /// `ColonColon: ColonColonToken : VerylToken;` /// @@ -17719,7 +18041,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 243: + /// Semantic action for production 245: /// /// `Comma: CommaToken : VerylToken;` /// @@ -17739,7 +18061,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 244: + /// Semantic action for production 246: /// /// `DotDot: DotDotToken : VerylToken;` /// @@ -17759,7 +18081,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 245: + /// Semantic action for production 247: /// /// `DotDotEqu: DotDotEquToken : VerylToken;` /// @@ -17779,7 +18101,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 246: + /// Semantic action for production 248: /// /// `Dot: DotToken : VerylToken;` /// @@ -17799,7 +18121,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 247: + /// Semantic action for production 249: /// /// `Equ: EquToken : VerylToken;` /// @@ -17819,7 +18141,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 248: + /// Semantic action for production 250: /// /// `Hash: HashToken : VerylToken;` /// @@ -17839,7 +18161,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 249: + /// Semantic action for production 251: /// /// `QuoteLBrace: QuoteLBraceToken : VerylToken;` /// @@ -17859,7 +18181,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 250: + /// Semantic action for production 252: /// /// `LAngle: LAngleToken : VerylToken;` /// @@ -17879,7 +18201,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 251: + /// Semantic action for production 253: /// /// `LBrace: LBraceToken : VerylToken;` /// @@ -17899,7 +18221,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 252: + /// Semantic action for production 254: /// /// `LBracket: LBracketToken : VerylToken;` /// @@ -17919,7 +18241,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 253: + /// Semantic action for production 255: /// /// `LParen: LParenToken : VerylToken;` /// @@ -17939,7 +18261,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 254: + /// Semantic action for production 256: /// /// `MinusColon: MinusColonToken : VerylToken;` /// @@ -17959,7 +18281,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 255: + /// Semantic action for production 257: /// /// `MinusGT: MinusGTToken : VerylToken;` /// @@ -17979,7 +18301,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 256: + /// Semantic action for production 258: /// /// `PlusColon: PlusColonToken : VerylToken;` /// @@ -17999,7 +18321,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 257: + /// Semantic action for production 259: /// /// `RAngle: RAngleToken : VerylToken;` /// @@ -18019,7 +18341,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 258: + /// Semantic action for production 260: /// /// `RBrace: RBraceToken : VerylToken;` /// @@ -18039,7 +18361,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 259: + /// Semantic action for production 261: /// /// `RBracket: RBracketToken : VerylToken;` /// @@ -18059,7 +18381,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 260: + /// Semantic action for production 262: /// /// `RParen: RParenToken : VerylToken;` /// @@ -18079,7 +18401,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 261: + /// Semantic action for production 263: /// /// `Semicolon: SemicolonToken : VerylToken;` /// @@ -18099,7 +18421,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 262: + /// Semantic action for production 264: /// /// `Star: StarToken : VerylToken;` /// @@ -18119,7 +18441,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 263: + /// Semantic action for production 265: /// /// `AlwaysComb: AlwaysCombToken : VerylToken;` /// @@ -18139,7 +18461,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 264: + /// Semantic action for production 266: /// /// `AlwaysFf: AlwaysFfToken : VerylToken;` /// @@ -18159,7 +18481,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 265: + /// Semantic action for production 267: /// /// `As: AsToken : VerylToken;` /// @@ -18179,7 +18501,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 266: + /// Semantic action for production 268: /// /// `Assign: AssignToken : VerylToken;` /// @@ -18199,7 +18521,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 267: + /// Semantic action for production 269: /// /// `Bit: BitToken : VerylToken;` /// @@ -18219,7 +18541,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 268: + /// Semantic action for production 270: /// /// `Break: BreakToken : VerylToken;` /// @@ -18239,7 +18561,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 269: + /// Semantic action for production 271: /// /// `Case: CaseToken : VerylToken;` /// @@ -18259,7 +18581,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 270: + /// Semantic action for production 272: /// /// `Clock: ClockToken : VerylToken;` /// @@ -18279,7 +18601,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 271: + /// Semantic action for production 273: /// /// `ClockPosedge: ClockPosedgeToken : VerylToken;` /// @@ -18299,7 +18621,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 272: + /// Semantic action for production 274: /// /// `ClockNegedge: ClockNegedgeToken : VerylToken;` /// @@ -18319,7 +18641,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 273: + /// Semantic action for production 275: /// /// `Defaul: DefaultToken : VerylToken;` /// @@ -18339,7 +18661,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 274: + /// Semantic action for production 276: /// /// `Else: ElseToken : VerylToken;` /// @@ -18359,7 +18681,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 275: + /// Semantic action for production 277: /// /// `Embed: EmbedToken : VerylToken;` /// @@ -18379,7 +18701,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 276: + /// Semantic action for production 278: /// /// `Enum: EnumToken : VerylToken;` /// @@ -18399,7 +18721,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 277: + /// Semantic action for production 279: /// /// `Export: ExportToken : VerylToken;` /// @@ -18419,7 +18741,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 278: + /// Semantic action for production 280: /// /// `F32: F32Token : VerylToken;` /// @@ -18439,7 +18761,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 279: + /// Semantic action for production 281: /// /// `F64: F64Token : VerylToken;` /// @@ -18459,7 +18781,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 280: + /// Semantic action for production 282: /// /// `Final: FinalToken : VerylToken;` /// @@ -18479,7 +18801,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 281: + /// Semantic action for production 283: /// /// `For: ForToken : VerylToken;` /// @@ -18499,7 +18821,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 282: + /// Semantic action for production 284: /// /// `Function: FunctionToken : VerylToken;` /// @@ -18519,7 +18841,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 283: + /// Semantic action for production 285: /// /// `I32: I32Token : VerylToken;` /// @@ -18539,7 +18861,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 284: + /// Semantic action for production 286: /// /// `I64: I64Token : VerylToken;` /// @@ -18559,7 +18881,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 285: + /// Semantic action for production 287: /// /// `If: IfToken : VerylToken;` /// @@ -18579,7 +18901,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 286: + /// Semantic action for production 288: /// /// `IfReset: IfResetToken : VerylToken;` /// @@ -18599,7 +18921,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 287: + /// Semantic action for production 289: /// /// `Import: ImportToken : VerylToken;` /// @@ -18619,7 +18941,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 288: + /// Semantic action for production 290: /// /// `In: InToken : VerylToken;` /// @@ -18639,7 +18961,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 289: + /// Semantic action for production 291: /// /// `Include: IncludeToken : VerylToken;` /// @@ -18659,7 +18981,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 290: + /// Semantic action for production 292: /// /// `Initial: InitialToken : VerylToken;` /// @@ -18679,7 +19001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 291: + /// Semantic action for production 293: /// /// `Inout: InoutToken : VerylToken;` /// @@ -18699,7 +19021,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 292: + /// Semantic action for production 294: /// /// `Input: InputToken : VerylToken;` /// @@ -18719,7 +19041,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 293: + /// Semantic action for production 295: /// /// `Inside: InsideToken : VerylToken;` /// @@ -18739,7 +19061,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 294: + /// Semantic action for production 296: /// /// `Inst: InstToken : VerylToken;` /// @@ -18759,7 +19081,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 295: + /// Semantic action for production 297: /// /// `Interface: InterfaceToken : VerylToken;` /// @@ -18779,7 +19101,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 296: + /// Semantic action for production 298: /// /// `Let: LetToken : VerylToken;` /// @@ -18799,7 +19121,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 297: + /// Semantic action for production 299: /// /// `Local: LocalToken : VerylToken;` /// @@ -18819,7 +19141,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 298: + /// Semantic action for production 300: /// /// `Logic: LogicToken : VerylToken;` /// @@ -18839,7 +19161,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 299: + /// Semantic action for production 301: /// /// `Lsb: LsbToken : VerylToken;` /// @@ -18859,7 +19181,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 300: + /// Semantic action for production 302: /// /// `Modport: ModportToken : VerylToken;` /// @@ -18879,7 +19201,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 301: + /// Semantic action for production 303: /// /// `Module: ModuleToken : VerylToken;` /// @@ -18899,7 +19221,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 302: + /// Semantic action for production 304: /// /// `Msb: MsbToken : VerylToken;` /// @@ -18919,7 +19241,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 303: + /// Semantic action for production 305: /// /// `Output: OutputToken : VerylToken;` /// @@ -18939,7 +19261,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 304: + /// Semantic action for production 306: /// /// `Outside: OutsideToken : VerylToken;` /// @@ -18959,7 +19281,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 305: + /// Semantic action for production 307: /// /// `Package: PackageToken : VerylToken;` /// @@ -18979,7 +19301,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 306: + /// Semantic action for production 308: /// /// `Param: ParamToken : VerylToken;` /// @@ -18999,7 +19321,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 307: + /// Semantic action for production 309: /// /// `Pub: PubToken : VerylToken;` /// @@ -19019,7 +19341,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 308: + /// Semantic action for production 310: /// /// `Ref: RefToken : VerylToken;` /// @@ -19039,7 +19361,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 309: + /// Semantic action for production 311: /// /// `Repeat: RepeatToken : VerylToken;` /// @@ -19059,7 +19381,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 310: + /// Semantic action for production 312: /// /// `Reset: ResetToken : VerylToken;` /// @@ -19079,7 +19401,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 311: + /// Semantic action for production 313: /// /// `ResetAsyncHigh: ResetAsyncHighToken : VerylToken;` /// @@ -19101,7 +19423,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 312: + /// Semantic action for production 314: /// /// `ResetAsyncLow: ResetAsyncLowToken : VerylToken;` /// @@ -19122,7 +19444,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 313: + /// Semantic action for production 315: /// /// `ResetSyncHigh: ResetSyncHighToken : VerylToken;` /// @@ -19143,7 +19465,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 314: + /// Semantic action for production 316: /// /// `ResetSyncLow: ResetSyncLowToken : VerylToken;` /// @@ -19164,7 +19486,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 315: + /// Semantic action for production 317: /// /// `Return: ReturnToken : VerylToken;` /// @@ -19184,7 +19506,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 316: + /// Semantic action for production 318: /// /// `Signed: SignedToken : VerylToken;` /// @@ -19204,7 +19526,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 317: + /// Semantic action for production 319: /// /// `Step: StepToken : VerylToken;` /// @@ -19224,7 +19546,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 318: + /// Semantic action for production 320: /// /// `Strin: StringToken : VerylToken;` /// @@ -19244,7 +19566,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 319: + /// Semantic action for production 321: /// /// `Struct: StructToken : VerylToken;` /// @@ -19264,7 +19586,27 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 320: + /// Semantic action for production 322: + /// + /// `Switch: SwitchToken : VerylToken;` + /// + #[parol_runtime::function_name::named] + fn switch(&mut self, _switch_token: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_token = pop_item!(self, switch_token, SwitchToken, context); + let switch_built = Switch { + switch_token: (&switch_token) + .try_into() + .map_err(parol_runtime::ParolError::UserError)?, + }; + // Calling user action here + self.user_grammar.switch(&switch_built)?; + self.push(ASTType::Switch(switch_built), context); + Ok(()) + } + + /// Semantic action for production 323: /// /// `Tri: TriToken : VerylToken;` /// @@ -19284,7 +19626,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 321: + /// Semantic action for production 324: /// /// `Type: TypeToken : VerylToken;` /// @@ -19304,7 +19646,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 322: + /// Semantic action for production 325: /// /// `U32: U32Token : VerylToken;` /// @@ -19324,7 +19666,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 323: + /// Semantic action for production 326: /// /// `U64: U64Token : VerylToken;` /// @@ -19344,7 +19686,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 324: + /// Semantic action for production 327: /// /// `Union: UnionToken : VerylToken;` /// @@ -19364,7 +19706,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 325: + /// Semantic action for production 328: /// /// `Var: VarToken : VerylToken;` /// @@ -19384,7 +19726,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 326: + /// Semantic action for production 329: /// /// `DollarIdentifier: DollarIdentifierToken : VerylToken;` /// @@ -19410,7 +19752,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 327: + /// Semantic action for production 330: /// /// `Identifier: IdentifierToken : VerylToken;` /// @@ -19430,7 +19772,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 328: + /// Semantic action for production 331: /// /// `Number: IntegralNumber;` /// @@ -19449,7 +19791,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 329: + /// Semantic action for production 332: /// /// `Number: RealNumber;` /// @@ -19468,7 +19810,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 330: + /// Semantic action for production 333: /// /// `IntegralNumber: Based;` /// @@ -19488,7 +19830,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 331: + /// Semantic action for production 334: /// /// `IntegralNumber: BaseLess;` /// @@ -19508,7 +19850,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 332: + /// Semantic action for production 335: /// /// `IntegralNumber: AllBit;` /// @@ -19528,7 +19870,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 333: + /// Semantic action for production 336: /// /// `RealNumber: FixedPoint;` /// @@ -19547,7 +19889,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 334: + /// Semantic action for production 337: /// /// `RealNumber: Exponent;` /// @@ -19566,7 +19908,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 335: + /// Semantic action for production 338: /// /// `HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */;` /// @@ -19607,7 +19949,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 336: + /// Semantic action for production 339: /// /// `HierarchicalIdentifierList0 /* Vec::Push */: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0;` /// @@ -19649,7 +19991,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 337: + /// Semantic action for production 340: /// /// `HierarchicalIdentifierList0List /* Vec::Push */: Select HierarchicalIdentifierList0List;` /// @@ -19680,7 +20022,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 338: + /// Semantic action for production 341: /// /// `HierarchicalIdentifierList0List /* Vec::New */: ;` /// @@ -19696,7 +20038,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 339: + /// Semantic action for production 342: /// /// `HierarchicalIdentifierList0 /* Vec::New */: ;` /// @@ -19712,7 +20054,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 340: + /// Semantic action for production 343: /// /// `HierarchicalIdentifierList /* Vec::Push */: Select HierarchicalIdentifierList;` /// @@ -19743,7 +20085,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 341: + /// Semantic action for production 344: /// /// `HierarchicalIdentifierList /* Vec::New */: ;` /// @@ -19759,7 +20101,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 342: + /// Semantic action for production 345: /// /// `ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */;` /// @@ -19790,7 +20132,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 343: + /// Semantic action for production 346: /// /// `ScopedIdentifierGroup: DollarIdentifier;` /// @@ -19811,7 +20153,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 344: + /// Semantic action for production 347: /// /// `ScopedIdentifierGroup: Identifier ScopedIdentifierOpt /* Option */;` /// @@ -19839,7 +20181,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 345: + /// Semantic action for production 348: /// /// `ScopedIdentifierList /* Vec::Push */: ColonColon Identifier ScopedIdentifierOpt0 /* Option */ ScopedIdentifierList;` /// @@ -19873,7 +20215,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 346: + /// Semantic action for production 349: /// /// `ScopedIdentifierList /* Vec::New */: ;` /// @@ -19889,7 +20231,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 347: + /// Semantic action for production 350: /// /// `ScopedIdentifierOpt0 /* Option::Some */: WithGenericArgument;` /// @@ -19912,7 +20254,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 348: + /// Semantic action for production 351: /// /// `ScopedIdentifierOpt0 /* Option::None */: ;` /// @@ -19924,7 +20266,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 349: + /// Semantic action for production 352: /// /// `ScopedIdentifierOpt /* Option::Some */: WithGenericArgument;` /// @@ -19947,7 +20289,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 350: + /// Semantic action for production 353: /// /// `ScopedIdentifierOpt /* Option::None */: ;` /// @@ -19959,7 +20301,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 351: + /// Semantic action for production 354: /// /// `ExpressionIdentifier: ScopedIdentifier ExpressionIdentifierList /* Vec */ ExpressionIdentifierList0 /* Vec */;` /// @@ -20000,7 +20342,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 352: + /// Semantic action for production 355: /// /// `ExpressionIdentifierList0 /* Vec::Push */: Dot Identifier ExpressionIdentifierList0List /* Vec */ ExpressionIdentifierList0;` /// @@ -20042,7 +20384,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 353: + /// Semantic action for production 356: /// /// `ExpressionIdentifierList0List /* Vec::Push */: Select ExpressionIdentifierList0List;` /// @@ -20073,7 +20415,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 354: + /// Semantic action for production 357: /// /// `ExpressionIdentifierList0List /* Vec::New */: ;` /// @@ -20089,7 +20431,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 355: + /// Semantic action for production 358: /// /// `ExpressionIdentifierList0 /* Vec::New */: ;` /// @@ -20105,7 +20447,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 356: + /// Semantic action for production 359: /// /// `ExpressionIdentifierList /* Vec::Push */: Select ExpressionIdentifierList;` /// @@ -20136,7 +20478,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 357: + /// Semantic action for production 360: /// /// `ExpressionIdentifierList /* Vec::New */: ;` /// @@ -20152,7 +20494,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 358: + /// Semantic action for production 361: /// /// `Expression: Expression01 ExpressionList /* Vec */;` /// @@ -20176,7 +20518,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 359: + /// Semantic action for production 362: /// /// `ExpressionList /* Vec::Push */: Operator01 Expression01 ExpressionList;` /// @@ -20202,7 +20544,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 360: + /// Semantic action for production 363: /// /// `ExpressionList /* Vec::New */: ;` /// @@ -20215,7 +20557,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 361: + /// Semantic action for production 364: /// /// `Expression01: Expression02 Expression01List /* Vec */;` /// @@ -20240,7 +20582,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 362: + /// Semantic action for production 365: /// /// `Expression01List /* Vec::Push */: Operator02 Expression02 Expression01List;` /// @@ -20266,7 +20608,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 363: + /// Semantic action for production 366: /// /// `Expression01List /* Vec::New */: ;` /// @@ -20282,7 +20624,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 364: + /// Semantic action for production 367: /// /// `Expression02: Expression03 Expression02List /* Vec */;` /// @@ -20307,7 +20649,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 365: + /// Semantic action for production 368: /// /// `Expression02List /* Vec::Push */: Operator03 Expression03 Expression02List;` /// @@ -20333,7 +20675,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 366: + /// Semantic action for production 369: /// /// `Expression02List /* Vec::New */: ;` /// @@ -20349,7 +20691,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 367: + /// Semantic action for production 370: /// /// `Expression03: Expression04 Expression03List /* Vec */;` /// @@ -20374,7 +20716,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 368: + /// Semantic action for production 371: /// /// `Expression03List /* Vec::Push */: Operator04 Expression04 Expression03List;` /// @@ -20400,7 +20742,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 369: + /// Semantic action for production 372: /// /// `Expression03List /* Vec::New */: ;` /// @@ -20416,7 +20758,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 370: + /// Semantic action for production 373: /// /// `Expression04: Expression05 Expression04List /* Vec */;` /// @@ -20441,7 +20783,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 371: + /// Semantic action for production 374: /// /// `Expression04List /* Vec::Push */: Operator05 Expression05 Expression04List;` /// @@ -20467,7 +20809,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 372: + /// Semantic action for production 375: /// /// `Expression04List /* Vec::New */: ;` /// @@ -20483,7 +20825,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 373: + /// Semantic action for production 376: /// /// `Expression05: Expression06 Expression05List /* Vec */;` /// @@ -20508,7 +20850,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 374: + /// Semantic action for production 377: /// /// `Expression05List /* Vec::Push */: Operator06 Expression06 Expression05List;` /// @@ -20534,7 +20876,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 375: + /// Semantic action for production 378: /// /// `Expression05List /* Vec::New */: ;` /// @@ -20550,7 +20892,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 376: + /// Semantic action for production 379: /// /// `Expression06: Expression07 Expression06List /* Vec */;` /// @@ -20575,7 +20917,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 377: + /// Semantic action for production 380: /// /// `Expression06List /* Vec::Push */: Operator07 Expression07 Expression06List;` /// @@ -20601,7 +20943,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 378: + /// Semantic action for production 381: /// /// `Expression06List /* Vec::New */: ;` /// @@ -20617,7 +20959,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 379: + /// Semantic action for production 382: /// /// `Expression07: Expression08 Expression07List /* Vec */;` /// @@ -20642,7 +20984,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 380: + /// Semantic action for production 383: /// /// `Expression07List /* Vec::Push */: Operator08 Expression08 Expression07List;` /// @@ -20668,7 +21010,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 381: + /// Semantic action for production 384: /// /// `Expression07List /* Vec::New */: ;` /// @@ -20684,7 +21026,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 382: + /// Semantic action for production 385: /// /// `Expression08: Expression09 Expression08List /* Vec */;` /// @@ -20709,7 +21051,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 383: + /// Semantic action for production 386: /// /// `Expression08List /* Vec::Push */: Operator09 Expression09 Expression08List;` /// @@ -20735,7 +21077,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 384: + /// Semantic action for production 387: /// /// `Expression08List /* Vec::New */: ;` /// @@ -20751,7 +21093,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 385: + /// Semantic action for production 388: /// /// `Expression09: Expression10 Expression09List /* Vec */;` /// @@ -20776,7 +21118,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 386: + /// Semantic action for production 389: /// /// `Expression09List /* Vec::Push */: Expression09ListGroup Expression10 Expression09List;` /// @@ -20807,7 +21149,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 387: + /// Semantic action for production 390: /// /// `Expression09ListGroup: Operator10;` /// @@ -20828,7 +21170,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 388: + /// Semantic action for production 391: /// /// `Expression09ListGroup: Star;` /// @@ -20849,7 +21191,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 389: + /// Semantic action for production 392: /// /// `Expression09List /* Vec::New */: ;` /// @@ -20865,7 +21207,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 390: + /// Semantic action for production 393: /// /// `Expression10: Expression11 Expression10List /* Vec */;` /// @@ -20890,7 +21232,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 391: + /// Semantic action for production 394: /// /// `Expression10List /* Vec::Push */: Operator11 Expression11 Expression10List;` /// @@ -20916,7 +21258,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 392: + /// Semantic action for production 395: /// /// `Expression10List /* Vec::New */: ;` /// @@ -20932,7 +21274,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 393: + /// Semantic action for production 396: /// /// `Expression11: Expression12 Expression11List /* Vec */;` /// @@ -20957,7 +21299,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 394: + /// Semantic action for production 397: /// /// `Expression11List /* Vec::Push */: As ScopedIdentifier Expression11List;` /// @@ -20983,7 +21325,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 395: + /// Semantic action for production 398: /// /// `Expression11List /* Vec::New */: ;` /// @@ -20999,7 +21341,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 396: + /// Semantic action for production 399: /// /// `Expression12: Expression12List /* Vec */ Factor;` /// @@ -21024,7 +21366,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 397: + /// Semantic action for production 400: /// /// `Expression12List /* Vec::Push */: Expression12ListGroup Expression12List;` /// @@ -21052,7 +21394,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 398: + /// Semantic action for production 401: /// /// `Expression12ListGroup: UnaryOperator;` /// @@ -21073,7 +21415,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 399: + /// Semantic action for production 402: /// /// `Expression12ListGroup: Operator09;` /// @@ -21094,7 +21436,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 400: + /// Semantic action for production 403: /// /// `Expression12ListGroup: Operator05;` /// @@ -21115,7 +21457,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 401: + /// Semantic action for production 404: /// /// `Expression12ListGroup: Operator03;` /// @@ -21136,7 +21478,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 402: + /// Semantic action for production 405: /// /// `Expression12ListGroup: Operator04;` /// @@ -21157,7 +21499,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 403: + /// Semantic action for production 406: /// /// `Expression12List /* Vec::New */: ;` /// @@ -21173,7 +21515,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 404: + /// Semantic action for production 407: /// /// `Factor: Number;` /// @@ -21192,7 +21534,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 405: + /// Semantic action for production 408: /// /// `Factor: ExpressionIdentifier FactorOpt /* Option */;` /// @@ -21218,7 +21560,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 406: + /// Semantic action for production 409: /// /// `Factor: LParen Expression RParen;` /// @@ -21246,7 +21588,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 407: + /// Semantic action for production 410: /// /// `Factor: LBrace ConcatenationList RBrace;` /// @@ -21274,7 +21616,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 408: + /// Semantic action for production 411: /// /// `Factor: QuoteLBrace ArrayLiteralList RBrace;` /// @@ -21302,7 +21644,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 409: + /// Semantic action for production 412: /// /// `Factor: IfExpression;` /// @@ -21321,7 +21663,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 410: + /// Semantic action for production 413: /// /// `Factor: CaseExpression;` /// @@ -21340,45 +21682,64 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 411: + /// Semantic action for production 414: + /// + /// `Factor: SwitchExpression;` + /// + #[parol_runtime::function_name::named] + fn factor_7(&mut self, _switch_expression: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_expression = pop_item!(self, switch_expression, SwitchExpression, context); + let factor_7_built = FactorSwitchExpression { + switch_expression: Box::new(switch_expression), + }; + let factor_7_built = Factor::SwitchExpression(factor_7_built); + // Calling user action here + self.user_grammar.factor(&factor_7_built)?; + self.push(ASTType::Factor(factor_7_built), context); + Ok(()) + } + + /// Semantic action for production 415: /// /// `Factor: StringLiteral;` /// #[parol_runtime::function_name::named] - fn factor_7(&mut self, _string_literal: &ParseTreeType<'t>) -> Result<()> { + fn factor_8(&mut self, _string_literal: &ParseTreeType<'t>) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); let string_literal = pop_item!(self, string_literal, StringLiteral, context); - let factor_7_built = FactorStringLiteral { + let factor_8_built = FactorStringLiteral { string_literal: Box::new(string_literal), }; - let factor_7_built = Factor::StringLiteral(factor_7_built); + let factor_8_built = Factor::StringLiteral(factor_8_built); // Calling user action here - self.user_grammar.factor(&factor_7_built)?; - self.push(ASTType::Factor(factor_7_built), context); + self.user_grammar.factor(&factor_8_built)?; + self.push(ASTType::Factor(factor_8_built), context); Ok(()) } - /// Semantic action for production 412: + /// Semantic action for production 416: /// /// `Factor: FactorGroup;` /// #[parol_runtime::function_name::named] - fn factor_8(&mut self, _factor_group: &ParseTreeType<'t>) -> Result<()> { + fn factor_9(&mut self, _factor_group: &ParseTreeType<'t>) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); let factor_group = pop_item!(self, factor_group, FactorGroup, context); - let factor_8_built = FactorFactorGroup { + let factor_9_built = FactorFactorGroup { factor_group: Box::new(factor_group), }; - let factor_8_built = Factor::FactorGroup(factor_8_built); + let factor_9_built = Factor::FactorGroup(factor_9_built); // Calling user action here - self.user_grammar.factor(&factor_8_built)?; - self.push(ASTType::Factor(factor_8_built), context); + self.user_grammar.factor(&factor_9_built)?; + self.push(ASTType::Factor(factor_9_built), context); Ok(()) } - /// Semantic action for production 413: + /// Semantic action for production 417: /// /// `FactorGroup: Msb;` /// @@ -21393,7 +21754,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 414: + /// Semantic action for production 418: /// /// `FactorGroup: Lsb;` /// @@ -21408,45 +21769,45 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 415: + /// Semantic action for production 419: /// /// `Factor: InsideExpression;` /// #[parol_runtime::function_name::named] - fn factor_9(&mut self, _inside_expression: &ParseTreeType<'t>) -> Result<()> { + fn factor_10(&mut self, _inside_expression: &ParseTreeType<'t>) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); let inside_expression = pop_item!(self, inside_expression, InsideExpression, context); - let factor_9_built = FactorInsideExpression { + let factor_10_built = FactorInsideExpression { inside_expression: Box::new(inside_expression), }; - let factor_9_built = Factor::InsideExpression(factor_9_built); + let factor_10_built = Factor::InsideExpression(factor_10_built); // Calling user action here - self.user_grammar.factor(&factor_9_built)?; - self.push(ASTType::Factor(factor_9_built), context); + self.user_grammar.factor(&factor_10_built)?; + self.push(ASTType::Factor(factor_10_built), context); Ok(()) } - /// Semantic action for production 416: + /// Semantic action for production 420: /// /// `Factor: OutsideExpression;` /// #[parol_runtime::function_name::named] - fn factor_10(&mut self, _outside_expression: &ParseTreeType<'t>) -> Result<()> { + fn factor_11(&mut self, _outside_expression: &ParseTreeType<'t>) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); let outside_expression = pop_item!(self, outside_expression, OutsideExpression, context); - let factor_10_built = FactorOutsideExpression { + let factor_11_built = FactorOutsideExpression { outside_expression: Box::new(outside_expression), }; - let factor_10_built = Factor::OutsideExpression(factor_10_built); + let factor_11_built = Factor::OutsideExpression(factor_11_built); // Calling user action here - self.user_grammar.factor(&factor_10_built)?; - self.push(ASTType::Factor(factor_10_built), context); + self.user_grammar.factor(&factor_11_built)?; + self.push(ASTType::Factor(factor_11_built), context); Ok(()) } - /// Semantic action for production 417: + /// Semantic action for production 421: /// /// `FactorOpt /* Option::Some */: FunctionCall;` /// @@ -21462,7 +21823,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 418: + /// Semantic action for production 422: /// /// `FactorOpt /* Option::None */: ;` /// @@ -21474,7 +21835,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 419: + /// Semantic action for production 423: /// /// `FunctionCall: LParen FunctionCallOpt /* Option */ RParen;` /// @@ -21501,7 +21862,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 420: + /// Semantic action for production 424: /// /// `FunctionCallOpt /* Option::Some */: ArgumentList;` /// @@ -21520,7 +21881,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 421: + /// Semantic action for production 425: /// /// `FunctionCallOpt /* Option::None */: ;` /// @@ -21532,7 +21893,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 422: + /// Semantic action for production 426: /// /// `ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */;` /// @@ -21560,7 +21921,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 423: + /// Semantic action for production 427: /// /// `ArgumentListList /* Vec::Push */: Comma ArgumentItem ArgumentListList;` /// @@ -21586,7 +21947,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 424: + /// Semantic action for production 428: /// /// `ArgumentListList /* Vec::New */: ;` /// @@ -21602,7 +21963,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 425: + /// Semantic action for production 429: /// /// `ArgumentListOpt /* Option::Some */: Comma;` /// @@ -21621,7 +21982,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 426: + /// Semantic action for production 430: /// /// `ArgumentListOpt /* Option::None */: ;` /// @@ -21633,7 +21994,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 427: + /// Semantic action for production 431: /// /// `ArgumentItem: Expression;` /// @@ -21651,7 +22012,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 428: + /// Semantic action for production 432: /// /// `ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */;` /// @@ -21688,7 +22049,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 429: + /// Semantic action for production 433: /// /// `ConcatenationListList /* Vec::Push */: Comma ConcatenationItem ConcatenationListList;` /// @@ -21722,7 +22083,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 430: + /// Semantic action for production 434: /// /// `ConcatenationListList /* Vec::New */: ;` /// @@ -21738,7 +22099,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 431: + /// Semantic action for production 435: /// /// `ConcatenationListOpt /* Option::Some */: Comma;` /// @@ -21757,7 +22118,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 432: + /// Semantic action for production 436: /// /// `ConcatenationListOpt /* Option::None */: ;` /// @@ -21769,7 +22130,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 433: + /// Semantic action for production 437: /// /// `ConcatenationItem: Expression ConcatenationItemOpt /* Option */;` /// @@ -21798,7 +22159,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 434: + /// Semantic action for production 438: /// /// `ConcatenationItemOpt /* Option::Some */: Repeat Expression;` /// @@ -21823,7 +22184,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 435: + /// Semantic action for production 439: /// /// `ConcatenationItemOpt /* Option::None */: ;` /// @@ -21835,7 +22196,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 436: + /// Semantic action for production 440: /// /// `ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */;` /// @@ -21865,7 +22226,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 437: + /// Semantic action for production 441: /// /// `ArrayLiteralListList /* Vec::Push */: Comma ArrayLiteralItem ArrayLiteralListList;` /// @@ -21895,7 +22256,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 438: + /// Semantic action for production 442: /// /// `ArrayLiteralListList /* Vec::New */: ;` /// @@ -21911,7 +22272,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 439: + /// Semantic action for production 443: /// /// `ArrayLiteralListOpt /* Option::Some */: Comma;` /// @@ -21930,7 +22291,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 440: + /// Semantic action for production 444: /// /// `ArrayLiteralListOpt /* Option::None */: ;` /// @@ -21942,7 +22303,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 441: + /// Semantic action for production 445: /// /// `ArrayLiteralItem: ArrayLiteralItemGroup;` /// @@ -21966,7 +22327,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 442: + /// Semantic action for production 446: /// /// `ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */;` /// @@ -21994,7 +22355,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 443: + /// Semantic action for production 447: /// /// `ArrayLiteralItemGroup: Defaul Colon Expression;` /// @@ -22024,7 +22385,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 444: + /// Semantic action for production 448: /// /// `ArrayLiteralItemOpt /* Option::Some */: Repeat Expression;` /// @@ -22049,7 +22410,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 445: + /// Semantic action for production 449: /// /// `ArrayLiteralItemOpt /* Option::None */: ;` /// @@ -22061,7 +22422,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 446: + /// Semantic action for production 450: /// /// `IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace;` /// @@ -22110,7 +22471,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 447: + /// Semantic action for production 451: /// /// `IfExpressionList /* Vec::Push */: Else If Expression LBrace Expression RBrace IfExpressionList;` /// @@ -22148,7 +22509,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 448: + /// Semantic action for production 452: /// /// `IfExpressionList /* Vec::New */: ;` /// @@ -22164,9 +22525,9 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 449: + /// Semantic action for production 453: /// - /// `CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace;` + /// `CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma CaseExpressionList /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace;` /// #[parol_runtime::function_name::named] fn case_expression( @@ -22174,15 +22535,14 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { _case: &ParseTreeType<'t>, _expression: &ParseTreeType<'t>, _l_brace: &ParseTreeType<'t>, - _expression0: &ParseTreeType<'t>, - _case_expression_list: &ParseTreeType<'t>, + _case_condition: &ParseTreeType<'t>, _colon: &ParseTreeType<'t>, - _expression1: &ParseTreeType<'t>, + _expression0: &ParseTreeType<'t>, _comma: &ParseTreeType<'t>, - _case_expression_list0: &ParseTreeType<'t>, + _case_expression_list: &ParseTreeType<'t>, _defaul: &ParseTreeType<'t>, _colon0: &ParseTreeType<'t>, - _expression2: &ParseTreeType<'t>, + _expression1: &ParseTreeType<'t>, _case_expression_opt: &ParseTreeType<'t>, _r_brace: &ParseTreeType<'t>, ) -> Result<()> { @@ -22190,17 +22550,15 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { trace!("{}", self.trace_item_stack(context)); let r_brace = pop_item!(self, r_brace, RBrace, context); let case_expression_opt = pop_item!(self, case_expression_opt, CaseExpressionOpt, context); - let expression2 = pop_item!(self, expression2, Expression, context); + let expression1 = pop_item!(self, expression1, Expression, context); let colon0 = pop_item!(self, colon0, Colon, context); let defaul = pop_item!(self, defaul, Defaul, context); - let case_expression_list0 = - pop_and_reverse_item!(self, case_expression_list0, CaseExpressionList0, context); - let comma = pop_item!(self, comma, Comma, context); - let expression1 = pop_item!(self, expression1, Expression, context); - let colon = pop_item!(self, colon, Colon, context); let case_expression_list = pop_and_reverse_item!(self, case_expression_list, CaseExpressionList, context); + let comma = pop_item!(self, comma, Comma, context); let expression0 = pop_item!(self, expression0, Expression, context); + let colon = pop_item!(self, colon, Colon, context); + let case_condition = pop_item!(self, case_condition, CaseCondition, context); let l_brace = pop_item!(self, l_brace, LBrace, context); let expression = pop_item!(self, expression, Expression, context); let case = pop_item!(self, case, Case, context); @@ -22208,15 +22566,14 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { case: Box::new(case), expression: Box::new(expression), l_brace: Box::new(l_brace), - expression0: Box::new(expression0), - case_expression_list, + case_condition: Box::new(case_condition), colon: Box::new(colon), - expression1: Box::new(expression1), + expression0: Box::new(expression0), comma: Box::new(comma), - case_expression_list0, + case_expression_list, defaul: Box::new(defaul), colon0: Box::new(colon0), - expression2: Box::new(expression2), + expression1: Box::new(expression1), case_expression_opt, r_brace: Box::new(r_brace), }; @@ -22226,133 +22583,32 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 450: - /// - /// `CaseExpressionList0 /* Vec::Push */: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0;` - /// - #[parol_runtime::function_name::named] - fn case_expression_list0_0( - &mut self, - _expression: &ParseTreeType<'t>, - _case_expression_list0_list: &ParseTreeType<'t>, - _colon: &ParseTreeType<'t>, - _expression0: &ParseTreeType<'t>, - _comma: &ParseTreeType<'t>, - _case_expression_list0: &ParseTreeType<'t>, - ) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let mut case_expression_list0 = - pop_item!(self, case_expression_list0, CaseExpressionList0, context); - let comma = pop_item!(self, comma, Comma, context); - let expression0 = pop_item!(self, expression0, Expression, context); - let colon = pop_item!(self, colon, Colon, context); - let case_expression_list0_list = pop_and_reverse_item!( - self, - case_expression_list0_list, - CaseExpressionList0List, - context - ); - let expression = pop_item!(self, expression, Expression, context); - let case_expression_list0_0_built = CaseExpressionList0 { - comma: Box::new(comma), - expression0: Box::new(expression0), - colon: Box::new(colon), - case_expression_list0_list, - expression: Box::new(expression), - }; - // Add an element to the vector - case_expression_list0.push(case_expression_list0_0_built); - self.push(ASTType::CaseExpressionList0(case_expression_list0), context); - Ok(()) - } - - /// Semantic action for production 451: - /// - /// `CaseExpressionList0List /* Vec::Push */: Comma Expression CaseExpressionList0List;` - /// - #[parol_runtime::function_name::named] - fn case_expression_list0_list_0( - &mut self, - _comma: &ParseTreeType<'t>, - _expression: &ParseTreeType<'t>, - _case_expression_list0_list: &ParseTreeType<'t>, - ) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let mut case_expression_list0_list = pop_item!( - self, - case_expression_list0_list, - CaseExpressionList0List, - context - ); - let expression = pop_item!(self, expression, Expression, context); - let comma = pop_item!(self, comma, Comma, context); - let case_expression_list0_list_0_built = CaseExpressionList0List { - expression: Box::new(expression), - comma: Box::new(comma), - }; - // Add an element to the vector - case_expression_list0_list.push(case_expression_list0_list_0_built); - self.push( - ASTType::CaseExpressionList0List(case_expression_list0_list), - context, - ); - Ok(()) - } - - /// Semantic action for production 452: - /// - /// `CaseExpressionList0List /* Vec::New */: ;` - /// - #[parol_runtime::function_name::named] - fn case_expression_list0_list_1(&mut self) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let case_expression_list0_list_1_built = Vec::new(); - self.push( - ASTType::CaseExpressionList0List(case_expression_list0_list_1_built), - context, - ); - Ok(()) - } - - /// Semantic action for production 453: - /// - /// `CaseExpressionList0 /* Vec::New */: ;` - /// - #[parol_runtime::function_name::named] - fn case_expression_list0_1(&mut self) -> Result<()> { - let context = function_name!(); - trace!("{}", self.trace_item_stack(context)); - let case_expression_list0_1_built = Vec::new(); - self.push( - ASTType::CaseExpressionList0(case_expression_list0_1_built), - context, - ); - Ok(()) - } - /// Semantic action for production 454: /// - /// `CaseExpressionList /* Vec::Push */: Comma Expression CaseExpressionList;` + /// `CaseExpressionList /* Vec::Push */: CaseCondition Colon Expression Comma CaseExpressionList;` /// #[parol_runtime::function_name::named] fn case_expression_list_0( &mut self, - _comma: &ParseTreeType<'t>, + _case_condition: &ParseTreeType<'t>, + _colon: &ParseTreeType<'t>, _expression: &ParseTreeType<'t>, + _comma: &ParseTreeType<'t>, _case_expression_list: &ParseTreeType<'t>, ) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); let mut case_expression_list = pop_item!(self, case_expression_list, CaseExpressionList, context); - let expression = pop_item!(self, expression, Expression, context); let comma = pop_item!(self, comma, Comma, context); + let expression = pop_item!(self, expression, Expression, context); + let colon = pop_item!(self, colon, Colon, context); + let case_condition = pop_item!(self, case_condition, CaseCondition, context); let case_expression_list_0_built = CaseExpressionList { - expression: Box::new(expression), comma: Box::new(comma), + expression: Box::new(expression), + colon: Box::new(colon), + case_condition: Box::new(case_condition), }; // Add an element to the vector case_expression_list.push(case_expression_list_0_built); @@ -22409,6 +22665,146 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { /// Semantic action for production 458: /// + /// `SwitchExpression: Switch LBrace SwitchCondition Colon Expression Comma SwitchExpressionList /* Vec */ Defaul Colon Expression SwitchExpressionOpt /* Option */ RBrace;` + /// + #[parol_runtime::function_name::named] + fn switch_expression( + &mut self, + _switch: &ParseTreeType<'t>, + _l_brace: &ParseTreeType<'t>, + _switch_condition: &ParseTreeType<'t>, + _colon: &ParseTreeType<'t>, + _expression: &ParseTreeType<'t>, + _comma: &ParseTreeType<'t>, + _switch_expression_list: &ParseTreeType<'t>, + _defaul: &ParseTreeType<'t>, + _colon0: &ParseTreeType<'t>, + _expression0: &ParseTreeType<'t>, + _switch_expression_opt: &ParseTreeType<'t>, + _r_brace: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let r_brace = pop_item!(self, r_brace, RBrace, context); + let switch_expression_opt = + pop_item!(self, switch_expression_opt, SwitchExpressionOpt, context); + let expression0 = pop_item!(self, expression0, Expression, context); + let colon0 = pop_item!(self, colon0, Colon, context); + let defaul = pop_item!(self, defaul, Defaul, context); + let switch_expression_list = + pop_and_reverse_item!(self, switch_expression_list, SwitchExpressionList, context); + let comma = pop_item!(self, comma, Comma, context); + let expression = pop_item!(self, expression, Expression, context); + let colon = pop_item!(self, colon, Colon, context); + let switch_condition = pop_item!(self, switch_condition, SwitchCondition, context); + let l_brace = pop_item!(self, l_brace, LBrace, context); + let switch = pop_item!(self, switch, Switch, context); + let switch_expression_built = SwitchExpression { + switch: Box::new(switch), + l_brace: Box::new(l_brace), + switch_condition: Box::new(switch_condition), + colon: Box::new(colon), + expression: Box::new(expression), + comma: Box::new(comma), + switch_expression_list, + defaul: Box::new(defaul), + colon0: Box::new(colon0), + expression0: Box::new(expression0), + switch_expression_opt, + r_brace: Box::new(r_brace), + }; + // Calling user action here + self.user_grammar + .switch_expression(&switch_expression_built)?; + self.push(ASTType::SwitchExpression(switch_expression_built), context); + Ok(()) + } + + /// Semantic action for production 459: + /// + /// `SwitchExpressionList /* Vec::Push */: SwitchCondition Colon Expression Comma SwitchExpressionList;` + /// + #[parol_runtime::function_name::named] + fn switch_expression_list_0( + &mut self, + _switch_condition: &ParseTreeType<'t>, + _colon: &ParseTreeType<'t>, + _expression: &ParseTreeType<'t>, + _comma: &ParseTreeType<'t>, + _switch_expression_list: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let mut switch_expression_list = + pop_item!(self, switch_expression_list, SwitchExpressionList, context); + let comma = pop_item!(self, comma, Comma, context); + let expression = pop_item!(self, expression, Expression, context); + let colon = pop_item!(self, colon, Colon, context); + let switch_condition = pop_item!(self, switch_condition, SwitchCondition, context); + let switch_expression_list_0_built = SwitchExpressionList { + comma: Box::new(comma), + expression: Box::new(expression), + colon: Box::new(colon), + switch_condition: Box::new(switch_condition), + }; + // Add an element to the vector + switch_expression_list.push(switch_expression_list_0_built); + self.push( + ASTType::SwitchExpressionList(switch_expression_list), + context, + ); + Ok(()) + } + + /// Semantic action for production 460: + /// + /// `SwitchExpressionList /* Vec::New */: ;` + /// + #[parol_runtime::function_name::named] + fn switch_expression_list_1(&mut self) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_expression_list_1_built = Vec::new(); + self.push( + ASTType::SwitchExpressionList(switch_expression_list_1_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 461: + /// + /// `SwitchExpressionOpt /* Option::Some */: Comma;` + /// + #[parol_runtime::function_name::named] + fn switch_expression_opt_0(&mut self, _comma: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let comma = pop_item!(self, comma, Comma, context); + let switch_expression_opt_0_built = SwitchExpressionOpt { + comma: Box::new(comma), + }; + self.push( + ASTType::SwitchExpressionOpt(Some(switch_expression_opt_0_built)), + context, + ); + Ok(()) + } + + /// Semantic action for production 462: + /// + /// `SwitchExpressionOpt /* Option::None */: ;` + /// + #[parol_runtime::function_name::named] + fn switch_expression_opt_1(&mut self) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + self.push(ASTType::SwitchExpressionOpt(None), context); + Ok(()) + } + + /// Semantic action for production 463: + /// /// `TypeExpression: ScalarType;` /// #[parol_runtime::function_name::named] @@ -22427,7 +22823,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 459: + /// Semantic action for production 464: /// /// `TypeExpression: Type LParen Expression RParen;` /// @@ -22460,7 +22856,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 460: + /// Semantic action for production 465: /// /// `InsideExpression: Inside Expression LBrace RangeList RBrace;` /// @@ -22494,7 +22890,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 461: + /// Semantic action for production 466: /// /// `OutsideExpression: Outside Expression LBrace RangeList RBrace;` /// @@ -22531,7 +22927,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 462: + /// Semantic action for production 467: /// /// `RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */;` /// @@ -22558,7 +22954,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 463: + /// Semantic action for production 468: /// /// `RangeListList /* Vec::Push */: Comma RangeItem RangeListList;` /// @@ -22584,7 +22980,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 464: + /// Semantic action for production 469: /// /// `RangeListList /* Vec::New */: ;` /// @@ -22597,7 +22993,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 465: + /// Semantic action for production 470: /// /// `RangeListOpt /* Option::Some */: Comma;` /// @@ -22613,7 +23009,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 466: + /// Semantic action for production 471: /// /// `RangeListOpt /* Option::None */: ;` /// @@ -22625,7 +23021,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 467: + /// Semantic action for production 472: /// /// `RangeItem: Range;` /// @@ -22643,7 +23039,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 468: + /// Semantic action for production 473: /// /// `Select: LBracket Expression SelectOpt /* Option */ RBracket;` /// @@ -22673,7 +23069,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 469: + /// Semantic action for production 474: /// /// `SelectOpt /* Option::Some */: SelectOperator Expression;` /// @@ -22695,7 +23091,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 470: + /// Semantic action for production 475: /// /// `SelectOpt /* Option::None */: ;` /// @@ -22707,7 +23103,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 471: + /// Semantic action for production 476: /// /// `SelectOperator: Colon;` /// @@ -22727,7 +23123,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 472: + /// Semantic action for production 477: /// /// `SelectOperator: PlusColon;` /// @@ -22747,7 +23143,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 473: + /// Semantic action for production 478: /// /// `SelectOperator: MinusColon;` /// @@ -22767,7 +23163,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 474: + /// Semantic action for production 479: /// /// `SelectOperator: Step;` /// @@ -22787,7 +23183,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 475: + /// Semantic action for production 480: /// /// `Width: LAngle Expression WidthList /* Vec */ RAngle;` /// @@ -22817,7 +23213,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 476: + /// Semantic action for production 481: /// /// `WidthList /* Vec::Push */: Comma Expression WidthList;` /// @@ -22843,7 +23239,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 477: + /// Semantic action for production 482: /// /// `WidthList /* Vec::New */: ;` /// @@ -22856,7 +23252,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 478: + /// Semantic action for production 483: /// /// `Array: LBracket Expression ArrayList /* Vec */ RBracket;` /// @@ -22886,7 +23282,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 479: + /// Semantic action for production 484: /// /// `ArrayList /* Vec::Push */: Comma Expression ArrayList;` /// @@ -22912,7 +23308,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 480: + /// Semantic action for production 485: /// /// `ArrayList /* Vec::New */: ;` /// @@ -22925,7 +23321,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 481: + /// Semantic action for production 486: /// /// `Range: Expression RangeOpt /* Option */;` /// @@ -22949,7 +23345,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 482: + /// Semantic action for production 487: /// /// `RangeOpt /* Option::Some */: RangeOperator Expression;` /// @@ -22971,7 +23367,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 483: + /// Semantic action for production 488: /// /// `RangeOpt /* Option::None */: ;` /// @@ -22983,7 +23379,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 484: + /// Semantic action for production 489: /// /// `RangeOperator: DotDot;` /// @@ -23002,7 +23398,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 485: + /// Semantic action for production 490: /// /// `RangeOperator: DotDotEqu;` /// @@ -23021,7 +23417,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 486: + /// Semantic action for production 491: /// /// `FixedType: U32;` /// @@ -23038,7 +23434,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 487: + /// Semantic action for production 492: /// /// `FixedType: U64;` /// @@ -23055,7 +23451,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 488: + /// Semantic action for production 493: /// /// `FixedType: I32;` /// @@ -23072,7 +23468,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 489: + /// Semantic action for production 494: /// /// `FixedType: I64;` /// @@ -23089,7 +23485,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 490: + /// Semantic action for production 495: /// /// `FixedType: F32;` /// @@ -23106,7 +23502,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 491: + /// Semantic action for production 496: /// /// `FixedType: F64;` /// @@ -23123,7 +23519,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 492: + /// Semantic action for production 497: /// /// `FixedType: Strin;` /// @@ -23142,7 +23538,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 493: + /// Semantic action for production 498: /// /// `VariableType: VariableTypeGroup VariableTypeOpt /* Option */;` /// @@ -23166,7 +23562,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 494: + /// Semantic action for production 499: /// /// `VariableTypeGroup: Clock;` /// @@ -23186,7 +23582,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 495: + /// Semantic action for production 500: /// /// `VariableTypeGroup: ClockPosedge;` /// @@ -23207,7 +23603,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 496: + /// Semantic action for production 501: /// /// `VariableTypeGroup: ClockNegedge;` /// @@ -23228,7 +23624,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 497: + /// Semantic action for production 502: /// /// `VariableTypeGroup: Reset;` /// @@ -23248,7 +23644,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 498: + /// Semantic action for production 503: /// /// `VariableTypeGroup: ResetAsyncHigh;` /// @@ -23269,7 +23665,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 499: + /// Semantic action for production 504: /// /// `VariableTypeGroup: ResetAsyncLow;` /// @@ -23290,7 +23686,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 500: + /// Semantic action for production 505: /// /// `VariableTypeGroup: ResetSyncHigh;` /// @@ -23311,7 +23707,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 501: + /// Semantic action for production 506: /// /// `VariableTypeGroup: ResetSyncLow;` /// @@ -23332,7 +23728,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 502: + /// Semantic action for production 507: /// /// `VariableTypeGroup: Logic;` /// @@ -23352,7 +23748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 503: + /// Semantic action for production 508: /// /// `VariableTypeGroup: Bit;` /// @@ -23370,7 +23766,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 504: + /// Semantic action for production 509: /// /// `VariableTypeGroup: ScopedIdentifier;` /// @@ -23391,7 +23787,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 505: + /// Semantic action for production 510: /// /// `VariableTypeOpt /* Option::Some */: Width;` /// @@ -23410,7 +23806,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 506: + /// Semantic action for production 511: /// /// `VariableTypeOpt /* Option::None */: ;` /// @@ -23422,7 +23818,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 507: + /// Semantic action for production 512: /// /// `TypeModifier: Tri;` /// @@ -23439,7 +23835,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 508: + /// Semantic action for production 513: /// /// `TypeModifier: Signed;` /// @@ -23458,7 +23854,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 509: + /// Semantic action for production 514: /// /// `ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup;` /// @@ -23483,7 +23879,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 510: + /// Semantic action for production 515: /// /// `ScalarTypeGroup: VariableType;` /// @@ -23500,7 +23896,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 511: + /// Semantic action for production 516: /// /// `ScalarTypeGroup: FixedType;` /// @@ -23517,7 +23913,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 512: + /// Semantic action for production 517: /// /// `ScalarTypeList /* Vec::Push */: TypeModifier ScalarTypeList;` /// @@ -23540,7 +23936,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 513: + /// Semantic action for production 518: /// /// `ScalarTypeList /* Vec::New */: ;` /// @@ -23553,7 +23949,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 514: + /// Semantic action for production 519: /// /// `ArrayType: ScalarType ArrayTypeOpt /* Option */;` /// @@ -23577,7 +23973,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 515: + /// Semantic action for production 520: /// /// `ArrayTypeOpt /* Option::Some */: Array;` /// @@ -23593,7 +23989,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 516: + /// Semantic action for production 521: /// /// `ArrayTypeOpt /* Option::None */: ;` /// @@ -23605,7 +24001,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 517: + /// Semantic action for production 522: /// /// `Statement: LetStatement;` /// @@ -23624,7 +24020,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 518: + /// Semantic action for production 523: /// /// `Statement: IdentifierStatement;` /// @@ -23644,7 +24040,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 519: + /// Semantic action for production 524: /// /// `Statement: IfStatement;` /// @@ -23663,7 +24059,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 520: + /// Semantic action for production 525: /// /// `Statement: IfResetStatement;` /// @@ -23682,7 +24078,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 521: + /// Semantic action for production 526: /// /// `Statement: ReturnStatement;` /// @@ -23701,7 +24097,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 522: + /// Semantic action for production 527: /// /// `Statement: BreakStatement;` /// @@ -23720,7 +24116,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 523: + /// Semantic action for production 528: /// /// `Statement: ForStatement;` /// @@ -23739,7 +24135,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 524: + /// Semantic action for production 529: /// /// `Statement: CaseStatement;` /// @@ -23758,7 +24154,26 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 525: + /// Semantic action for production 530: + /// + /// `Statement: SwitchStatement;` + /// + #[parol_runtime::function_name::named] + fn statement_8(&mut self, _switch_statement: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_statement = pop_item!(self, switch_statement, SwitchStatement, context); + let statement_8_built = StatementSwitchStatement { + switch_statement: Box::new(switch_statement), + }; + let statement_8_built = Statement::SwitchStatement(statement_8_built); + // Calling user action here + self.user_grammar.statement(&statement_8_built)?; + self.push(ASTType::Statement(statement_8_built), context); + Ok(()) + } + + /// Semantic action for production 531: /// /// `LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -23797,7 +24212,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 526: + /// Semantic action for production 532: /// /// `IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon;` /// @@ -23834,7 +24249,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 527: + /// Semantic action for production 533: /// /// `IdentifierStatementGroup: FunctionCall;` /// @@ -23855,7 +24270,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 528: + /// Semantic action for production 534: /// /// `IdentifierStatementGroup: Assignment;` /// @@ -23876,7 +24291,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 529: + /// Semantic action for production 535: /// /// `Assignment: AssignmentGroup Expression;` /// @@ -23900,7 +24315,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 530: + /// Semantic action for production 536: /// /// `AssignmentGroup: Equ;` /// @@ -23915,7 +24330,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 531: + /// Semantic action for production 537: /// /// `AssignmentGroup: AssignmentOperator;` /// @@ -23933,7 +24348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 532: + /// Semantic action for production 538: /// /// `IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */;` /// @@ -23974,7 +24389,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 533: + /// Semantic action for production 539: /// /// `IfStatementList0 /* Vec::Push */: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0;` /// @@ -24013,7 +24428,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 534: + /// Semantic action for production 540: /// /// `IfStatementList0List /* Vec::Push */: Statement IfStatementList0List;` /// @@ -24040,7 +24455,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 535: + /// Semantic action for production 541: /// /// `IfStatementList0List /* Vec::New */: ;` /// @@ -24056,7 +24471,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 536: + /// Semantic action for production 542: /// /// `IfStatementList0 /* Vec::New */: ;` /// @@ -24072,7 +24487,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 537: + /// Semantic action for production 543: /// /// `IfStatementList /* Vec::Push */: Statement IfStatementList;` /// @@ -24095,7 +24510,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 538: + /// Semantic action for production 544: /// /// `IfStatementList /* Vec::New */: ;` /// @@ -24108,7 +24523,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 539: + /// Semantic action for production 545: /// /// `IfStatementOpt /* Option::Some */: Else LBrace IfStatementOptList /* Vec */ RBrace;` /// @@ -24140,7 +24555,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 540: + /// Semantic action for production 546: /// /// `IfStatementOptList /* Vec::Push */: Statement IfStatementOptList;` /// @@ -24164,7 +24579,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 541: + /// Semantic action for production 547: /// /// `IfStatementOptList /* Vec::New */: ;` /// @@ -24180,7 +24595,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 542: + /// Semantic action for production 548: /// /// `IfStatementOpt /* Option::None */: ;` /// @@ -24192,7 +24607,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 543: + /// Semantic action for production 549: /// /// `IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */;` /// @@ -24236,7 +24651,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 544: + /// Semantic action for production 550: /// /// `IfResetStatementList0 /* Vec::Push */: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0;` /// @@ -24287,7 +24702,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 545: + /// Semantic action for production 551: /// /// `IfResetStatementList0List /* Vec::Push */: Statement IfResetStatementList0List;` /// @@ -24318,7 +24733,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 546: + /// Semantic action for production 552: /// /// `IfResetStatementList0List /* Vec::New */: ;` /// @@ -24334,7 +24749,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 547: + /// Semantic action for production 553: /// /// `IfResetStatementList0 /* Vec::New */: ;` /// @@ -24350,7 +24765,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 548: + /// Semantic action for production 554: /// /// `IfResetStatementList /* Vec::Push */: Statement IfResetStatementList;` /// @@ -24377,7 +24792,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 549: + /// Semantic action for production 555: /// /// `IfResetStatementList /* Vec::New */: ;` /// @@ -24393,7 +24808,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 550: + /// Semantic action for production 556: /// /// `IfResetStatementOpt /* Option::Some */: Else LBrace IfResetStatementOptList /* Vec */ RBrace;` /// @@ -24429,7 +24844,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 551: + /// Semantic action for production 557: /// /// `IfResetStatementOptList /* Vec::Push */: Statement IfResetStatementOptList;` /// @@ -24460,7 +24875,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 552: + /// Semantic action for production 558: /// /// `IfResetStatementOptList /* Vec::New */: ;` /// @@ -24476,7 +24891,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 553: + /// Semantic action for production 559: /// /// `IfResetStatementOpt /* Option::None */: ;` /// @@ -24488,7 +24903,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 554: + /// Semantic action for production 560: /// /// `ReturnStatement: Return Expression Semicolon;` /// @@ -24516,7 +24931,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 555: + /// Semantic action for production 561: /// /// `BreakStatement: Break Semicolon;` /// @@ -24540,7 +24955,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 556: + /// Semantic action for production 562: /// /// `ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace;` /// @@ -24589,7 +25004,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 557: + /// Semantic action for production 563: /// /// `ForStatementList /* Vec::Push */: Statement ForStatementList;` /// @@ -24612,7 +25027,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 558: + /// Semantic action for production 564: /// /// `ForStatementList /* Vec::New */: ;` /// @@ -24628,7 +25043,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 559: + /// Semantic action for production 565: /// /// `ForStatementOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -24656,7 +25071,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 560: + /// Semantic action for production 566: /// /// `ForStatementOpt /* Option::None */: ;` /// @@ -24668,7 +25083,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 561: + /// Semantic action for production 567: /// /// `CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace;` /// @@ -24702,7 +25117,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 562: + /// Semantic action for production 568: /// /// `CaseStatementList /* Vec::Push */: CaseItem CaseStatementList;` /// @@ -24726,7 +25141,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 563: + /// Semantic action for production 569: /// /// `CaseStatementList /* Vec::New */: ;` /// @@ -24742,7 +25157,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 564: + /// Semantic action for production 570: /// /// `CaseItem: CaseItemGroup Colon CaseItemGroup0;` /// @@ -24769,7 +25184,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 565: + /// Semantic action for production 571: /// /// `CaseItemGroup0: Statement;` /// @@ -24786,7 +25201,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 566: + /// Semantic action for production 572: /// /// `CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace;` /// @@ -24814,7 +25229,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 567: + /// Semantic action for production 573: /// /// `CaseItemGroup0List /* Vec::Push */: Statement CaseItemGroup0List;` /// @@ -24838,7 +25253,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 568: + /// Semantic action for production 574: /// /// `CaseItemGroup0List /* Vec::New */: ;` /// @@ -24854,92 +25269,405 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 569: + /// Semantic action for production 575: + /// + /// `CaseItemGroup: CaseCondition;` /// - /// `CaseItemGroup: Expression CaseItemGroupList /* Vec */;` + #[parol_runtime::function_name::named] + fn case_item_group_0(&mut self, _case_condition: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let case_condition = pop_item!(self, case_condition, CaseCondition, context); + let case_item_group_0_built = CaseItemGroupCaseCondition { + case_condition: Box::new(case_condition), + }; + let case_item_group_0_built = CaseItemGroup::CaseCondition(case_item_group_0_built); + self.push(ASTType::CaseItemGroup(case_item_group_0_built), context); + Ok(()) + } + + /// Semantic action for production 576: + /// + /// `CaseItemGroup: Defaul;` /// #[parol_runtime::function_name::named] - fn case_item_group_0( + fn case_item_group_1(&mut self, _defaul: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let defaul = pop_item!(self, defaul, Defaul, context); + let case_item_group_1_built = CaseItemGroupDefaul { + defaul: Box::new(defaul), + }; + let case_item_group_1_built = CaseItemGroup::Defaul(case_item_group_1_built); + self.push(ASTType::CaseItemGroup(case_item_group_1_built), context); + Ok(()) + } + + /// Semantic action for production 577: + /// + /// `CaseCondition: RangeItem CaseConditionList /* Vec */;` + /// + #[parol_runtime::function_name::named] + fn case_condition( &mut self, - _expression: &ParseTreeType<'t>, - _case_item_group_list: &ParseTreeType<'t>, + _range_item: &ParseTreeType<'t>, + _case_condition_list: &ParseTreeType<'t>, ) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let case_item_group_list = - pop_and_reverse_item!(self, case_item_group_list, CaseItemGroupList, context); - let expression = pop_item!(self, expression, Expression, context); - let case_item_group_0_built = CaseItemGroupExpressionCaseItemGroupList { - expression: Box::new(expression), - case_item_group_list, + let case_condition_list = + pop_and_reverse_item!(self, case_condition_list, CaseConditionList, context); + let range_item = pop_item!(self, range_item, RangeItem, context); + let case_condition_built = CaseCondition { + range_item: Box::new(range_item), + case_condition_list, }; - let case_item_group_0_built = - CaseItemGroup::ExpressionCaseItemGroupList(case_item_group_0_built); - self.push(ASTType::CaseItemGroup(case_item_group_0_built), context); + // Calling user action here + self.user_grammar.case_condition(&case_condition_built)?; + self.push(ASTType::CaseCondition(case_condition_built), context); Ok(()) } - /// Semantic action for production 570: + /// Semantic action for production 578: /// - /// `CaseItemGroupList /* Vec::Push */: Comma Expression CaseItemGroupList;` + /// `CaseConditionList /* Vec::Push */: Comma RangeItem CaseConditionList;` /// #[parol_runtime::function_name::named] - fn case_item_group_list_0( + fn case_condition_list_0( &mut self, _comma: &ParseTreeType<'t>, - _expression: &ParseTreeType<'t>, - _case_item_group_list: &ParseTreeType<'t>, + _range_item: &ParseTreeType<'t>, + _case_condition_list: &ParseTreeType<'t>, ) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let mut case_item_group_list = - pop_item!(self, case_item_group_list, CaseItemGroupList, context); - let expression = pop_item!(self, expression, Expression, context); + let mut case_condition_list = + pop_item!(self, case_condition_list, CaseConditionList, context); + let range_item = pop_item!(self, range_item, RangeItem, context); let comma = pop_item!(self, comma, Comma, context); - let case_item_group_list_0_built = CaseItemGroupList { - expression: Box::new(expression), + let case_condition_list_0_built = CaseConditionList { + range_item: Box::new(range_item), comma: Box::new(comma), }; // Add an element to the vector - case_item_group_list.push(case_item_group_list_0_built); - self.push(ASTType::CaseItemGroupList(case_item_group_list), context); + case_condition_list.push(case_condition_list_0_built); + self.push(ASTType::CaseConditionList(case_condition_list), context); Ok(()) } - /// Semantic action for production 571: + /// Semantic action for production 579: /// - /// `CaseItemGroupList /* Vec::New */: ;` + /// `CaseConditionList /* Vec::New */: ;` /// #[parol_runtime::function_name::named] - fn case_item_group_list_1(&mut self) -> Result<()> { + fn case_condition_list_1(&mut self) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); - let case_item_group_list_1_built = Vec::new(); + let case_condition_list_1_built = Vec::new(); self.push( - ASTType::CaseItemGroupList(case_item_group_list_1_built), + ASTType::CaseConditionList(case_condition_list_1_built), context, ); Ok(()) } - /// Semantic action for production 572: + /// Semantic action for production 580: /// - /// `CaseItemGroup: Defaul;` + /// `SwitchStatement: Switch LBrace SwitchStatementList /* Vec */ RBrace;` /// #[parol_runtime::function_name::named] - fn case_item_group_1(&mut self, _defaul: &ParseTreeType<'t>) -> Result<()> { + fn switch_statement( + &mut self, + _switch: &ParseTreeType<'t>, + _l_brace: &ParseTreeType<'t>, + _switch_statement_list: &ParseTreeType<'t>, + _r_brace: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let r_brace = pop_item!(self, r_brace, RBrace, context); + let switch_statement_list = + pop_and_reverse_item!(self, switch_statement_list, SwitchStatementList, context); + let l_brace = pop_item!(self, l_brace, LBrace, context); + let switch = pop_item!(self, switch, Switch, context); + let switch_statement_built = SwitchStatement { + switch: Box::new(switch), + l_brace: Box::new(l_brace), + switch_statement_list, + r_brace: Box::new(r_brace), + }; + // Calling user action here + self.user_grammar + .switch_statement(&switch_statement_built)?; + self.push(ASTType::SwitchStatement(switch_statement_built), context); + Ok(()) + } + + /// Semantic action for production 581: + /// + /// `SwitchStatementList /* Vec::Push */: SwitchItem SwitchStatementList;` + /// + #[parol_runtime::function_name::named] + fn switch_statement_list_0( + &mut self, + _switch_item: &ParseTreeType<'t>, + _switch_statement_list: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let mut switch_statement_list = + pop_item!(self, switch_statement_list, SwitchStatementList, context); + let switch_item = pop_item!(self, switch_item, SwitchItem, context); + let switch_statement_list_0_built = SwitchStatementList { + switch_item: Box::new(switch_item), + }; + // Add an element to the vector + switch_statement_list.push(switch_statement_list_0_built); + self.push(ASTType::SwitchStatementList(switch_statement_list), context); + Ok(()) + } + + /// Semantic action for production 582: + /// + /// `SwitchStatementList /* Vec::New */: ;` + /// + #[parol_runtime::function_name::named] + fn switch_statement_list_1(&mut self) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_statement_list_1_built = Vec::new(); + self.push( + ASTType::SwitchStatementList(switch_statement_list_1_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 583: + /// + /// `SwitchItem: SwitchItemGroup Colon SwitchItemGroup0;` + /// + #[parol_runtime::function_name::named] + fn switch_item( + &mut self, + _switch_item_group: &ParseTreeType<'t>, + _colon: &ParseTreeType<'t>, + _switch_item_group0: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_item_group0 = pop_item!(self, switch_item_group0, SwitchItemGroup0, context); + let colon = pop_item!(self, colon, Colon, context); + let switch_item_group = pop_item!(self, switch_item_group, SwitchItemGroup, context); + let switch_item_built = SwitchItem { + switch_item_group: Box::new(switch_item_group), + colon: Box::new(colon), + switch_item_group0: Box::new(switch_item_group0), + }; + // Calling user action here + self.user_grammar.switch_item(&switch_item_built)?; + self.push(ASTType::SwitchItem(switch_item_built), context); + Ok(()) + } + + /// Semantic action for production 584: + /// + /// `SwitchItemGroup0: Statement;` + /// + #[parol_runtime::function_name::named] + fn switch_item_group0_0(&mut self, _statement: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let statement = pop_item!(self, statement, Statement, context); + let switch_item_group0_0_built = SwitchItemGroup0Statement { + statement: Box::new(statement), + }; + let switch_item_group0_0_built = SwitchItemGroup0::Statement(switch_item_group0_0_built); + self.push( + ASTType::SwitchItemGroup0(switch_item_group0_0_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 585: + /// + /// `SwitchItemGroup0: LBrace SwitchItemGroup0List /* Vec */ RBrace;` + /// + #[parol_runtime::function_name::named] + fn switch_item_group0_1( + &mut self, + _l_brace: &ParseTreeType<'t>, + _switch_item_group0_list: &ParseTreeType<'t>, + _r_brace: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let r_brace = pop_item!(self, r_brace, RBrace, context); + let switch_item_group0_list = + pop_and_reverse_item!(self, switch_item_group0_list, SwitchItemGroup0List, context); + let l_brace = pop_item!(self, l_brace, LBrace, context); + let switch_item_group0_1_built = SwitchItemGroup0LBraceSwitchItemGroup0ListRBrace { + l_brace: Box::new(l_brace), + switch_item_group0_list, + r_brace: Box::new(r_brace), + }; + let switch_item_group0_1_built = + SwitchItemGroup0::LBraceSwitchItemGroup0ListRBrace(switch_item_group0_1_built); + self.push( + ASTType::SwitchItemGroup0(switch_item_group0_1_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 586: + /// + /// `SwitchItemGroup0List /* Vec::Push */: Statement SwitchItemGroup0List;` + /// + #[parol_runtime::function_name::named] + fn switch_item_group0_list_0( + &mut self, + _statement: &ParseTreeType<'t>, + _switch_item_group0_list: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let mut switch_item_group0_list = + pop_item!(self, switch_item_group0_list, SwitchItemGroup0List, context); + let statement = pop_item!(self, statement, Statement, context); + let switch_item_group0_list_0_built = SwitchItemGroup0List { + statement: Box::new(statement), + }; + // Add an element to the vector + switch_item_group0_list.push(switch_item_group0_list_0_built); + self.push( + ASTType::SwitchItemGroup0List(switch_item_group0_list), + context, + ); + Ok(()) + } + + /// Semantic action for production 587: + /// + /// `SwitchItemGroup0List /* Vec::New */: ;` + /// + #[parol_runtime::function_name::named] + fn switch_item_group0_list_1(&mut self) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_item_group0_list_1_built = Vec::new(); + self.push( + ASTType::SwitchItemGroup0List(switch_item_group0_list_1_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 588: + /// + /// `SwitchItemGroup: SwitchCondition;` + /// + #[parol_runtime::function_name::named] + fn switch_item_group_0(&mut self, _switch_condition: &ParseTreeType<'t>) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_condition = pop_item!(self, switch_condition, SwitchCondition, context); + let switch_item_group_0_built = SwitchItemGroupSwitchCondition { + switch_condition: Box::new(switch_condition), + }; + let switch_item_group_0_built = SwitchItemGroup::SwitchCondition(switch_item_group_0_built); + self.push(ASTType::SwitchItemGroup(switch_item_group_0_built), context); + Ok(()) + } + + /// Semantic action for production 589: + /// + /// `SwitchItemGroup: Defaul;` + /// + #[parol_runtime::function_name::named] + fn switch_item_group_1(&mut self, _defaul: &ParseTreeType<'t>) -> Result<()> { let context = function_name!(); trace!("{}", self.trace_item_stack(context)); let defaul = pop_item!(self, defaul, Defaul, context); - let case_item_group_1_built = CaseItemGroupDefaul { + let switch_item_group_1_built = SwitchItemGroupDefaul { defaul: Box::new(defaul), }; - let case_item_group_1_built = CaseItemGroup::Defaul(case_item_group_1_built); - self.push(ASTType::CaseItemGroup(case_item_group_1_built), context); + let switch_item_group_1_built = SwitchItemGroup::Defaul(switch_item_group_1_built); + self.push(ASTType::SwitchItemGroup(switch_item_group_1_built), context); Ok(()) } - /// Semantic action for production 573: + /// Semantic action for production 590: + /// + /// `SwitchCondition: Expression SwitchConditionList /* Vec */;` + /// + #[parol_runtime::function_name::named] + fn switch_condition( + &mut self, + _expression: &ParseTreeType<'t>, + _switch_condition_list: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_condition_list = + pop_and_reverse_item!(self, switch_condition_list, SwitchConditionList, context); + let expression = pop_item!(self, expression, Expression, context); + let switch_condition_built = SwitchCondition { + expression: Box::new(expression), + switch_condition_list, + }; + // Calling user action here + self.user_grammar + .switch_condition(&switch_condition_built)?; + self.push(ASTType::SwitchCondition(switch_condition_built), context); + Ok(()) + } + + /// Semantic action for production 591: + /// + /// `SwitchConditionList /* Vec::Push */: Comma Expression SwitchConditionList;` + /// + #[parol_runtime::function_name::named] + fn switch_condition_list_0( + &mut self, + _comma: &ParseTreeType<'t>, + _expression: &ParseTreeType<'t>, + _switch_condition_list: &ParseTreeType<'t>, + ) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let mut switch_condition_list = + pop_item!(self, switch_condition_list, SwitchConditionList, context); + let expression = pop_item!(self, expression, Expression, context); + let comma = pop_item!(self, comma, Comma, context); + let switch_condition_list_0_built = SwitchConditionList { + expression: Box::new(expression), + comma: Box::new(comma), + }; + // Add an element to the vector + switch_condition_list.push(switch_condition_list_0_built); + self.push(ASTType::SwitchConditionList(switch_condition_list), context); + Ok(()) + } + + /// Semantic action for production 592: + /// + /// `SwitchConditionList /* Vec::New */: ;` + /// + #[parol_runtime::function_name::named] + fn switch_condition_list_1(&mut self) -> Result<()> { + let context = function_name!(); + trace!("{}", self.trace_item_stack(context)); + let switch_condition_list_1_built = Vec::new(); + self.push( + ASTType::SwitchConditionList(switch_condition_list_1_built), + context, + ); + Ok(()) + } + + /// Semantic action for production 593: /// /// `Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket;` /// @@ -24972,7 +25700,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 574: + /// Semantic action for production 594: /// /// `AttributeOpt /* Option::Some */: LParen AttributeList RParen;` /// @@ -24997,7 +25725,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 575: + /// Semantic action for production 595: /// /// `AttributeOpt /* Option::None */: ;` /// @@ -25009,7 +25737,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 576: + /// Semantic action for production 596: /// /// `AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */;` /// @@ -25037,7 +25765,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 577: + /// Semantic action for production 597: /// /// `AttributeListList /* Vec::Push */: Comma AttributeItem AttributeListList;` /// @@ -25064,7 +25792,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 578: + /// Semantic action for production 598: /// /// `AttributeListList /* Vec::New */: ;` /// @@ -25080,7 +25808,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 579: + /// Semantic action for production 599: /// /// `AttributeListOpt /* Option::Some */: Comma;` /// @@ -25099,7 +25827,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 580: + /// Semantic action for production 600: /// /// `AttributeListOpt /* Option::None */: ;` /// @@ -25111,7 +25839,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 581: + /// Semantic action for production 601: /// /// `AttributeItem: Identifier;` /// @@ -25130,7 +25858,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 582: + /// Semantic action for production 602: /// /// `AttributeItem: StringLiteral;` /// @@ -25149,7 +25877,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 583: + /// Semantic action for production 603: /// /// `LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon;` /// @@ -25188,7 +25916,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 584: + /// Semantic action for production 604: /// /// `VarDeclaration: Var Identifier Colon ArrayType Semicolon;` /// @@ -25221,7 +25949,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 585: + /// Semantic action for production 605: /// /// `LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon;` /// @@ -25260,7 +25988,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 586: + /// Semantic action for production 606: /// /// `LocalDeclarationGroup: ArrayType Equ Expression;` /// @@ -25290,7 +26018,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 587: + /// Semantic action for production 607: /// /// `LocalDeclarationGroup: Type Equ TypeExpression;` /// @@ -25320,7 +26048,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 588: + /// Semantic action for production 608: /// /// `TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon;` /// @@ -25357,7 +26085,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 589: + /// Semantic action for production 609: /// /// `AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace;` /// @@ -25404,7 +26132,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 590: + /// Semantic action for production 610: /// /// `AlwaysFfDeclarationList /* Vec::Push */: Statement AlwaysFfDeclarationList;` /// @@ -25435,7 +26163,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 591: + /// Semantic action for production 611: /// /// `AlwaysFfDeclarationList /* Vec::New */: ;` /// @@ -25451,7 +26179,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 592: + /// Semantic action for production 612: /// /// `AlwaysFfDeclarationOpt /* Option::Some */: AlwayfFfEventList;` /// @@ -25474,7 +26202,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 593: + /// Semantic action for production 613: /// /// `AlwaysFfDeclarationOpt /* Option::None */: ;` /// @@ -25486,7 +26214,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 594: + /// Semantic action for production 614: /// /// `AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen;` /// @@ -25525,7 +26253,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 595: + /// Semantic action for production 615: /// /// `AlwayfFfEventListOpt /* Option::Some */: Comma AlwaysFfReset;` /// @@ -25550,7 +26278,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 596: + /// Semantic action for production 616: /// /// `AlwayfFfEventListOpt /* Option::None */: ;` /// @@ -25562,7 +26290,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 597: + /// Semantic action for production 617: /// /// `AlwaysFfClock: HierarchicalIdentifier;` /// @@ -25585,7 +26313,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 598: + /// Semantic action for production 618: /// /// `AlwaysFfReset: HierarchicalIdentifier;` /// @@ -25608,7 +26336,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 599: + /// Semantic action for production 619: /// /// `AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace;` /// @@ -25647,7 +26375,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 600: + /// Semantic action for production 620: /// /// `AlwaysCombDeclarationList /* Vec::Push */: Statement AlwaysCombDeclarationList;` /// @@ -25678,7 +26406,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 601: + /// Semantic action for production 621: /// /// `AlwaysCombDeclarationList /* Vec::New */: ;` /// @@ -25694,7 +26422,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 602: + /// Semantic action for production 622: /// /// `AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon;` /// @@ -25736,7 +26464,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 603: + /// Semantic action for production 623: /// /// `ModportDeclaration: Modport Identifier LBrace ModportList RBrace;` /// @@ -25773,7 +26501,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 604: + /// Semantic action for production 624: /// /// `ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */;` /// @@ -25801,7 +26529,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 605: + /// Semantic action for production 625: /// /// `ModportListList /* Vec::Push */: Comma ModportGroup ModportListList;` /// @@ -25827,7 +26555,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 606: + /// Semantic action for production 626: /// /// `ModportListList /* Vec::New */: ;` /// @@ -25840,7 +26568,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 607: + /// Semantic action for production 627: /// /// `ModportListOpt /* Option::Some */: Comma;` /// @@ -25859,7 +26587,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 608: + /// Semantic action for production 628: /// /// `ModportListOpt /* Option::None */: ;` /// @@ -25871,7 +26599,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 609: + /// Semantic action for production 629: /// /// `ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup;` /// @@ -25896,7 +26624,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 610: + /// Semantic action for production 630: /// /// `ModportGroupGroup: LBrace ModportList RBrace;` /// @@ -25926,7 +26654,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 611: + /// Semantic action for production 631: /// /// `ModportGroupGroup: ModportItem;` /// @@ -25947,7 +26675,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 612: + /// Semantic action for production 632: /// /// `ModportGroupList /* Vec::Push */: Attribute ModportGroupList;` /// @@ -25970,7 +26698,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 613: + /// Semantic action for production 633: /// /// `ModportGroupList /* Vec::New */: ;` /// @@ -25986,7 +26714,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 614: + /// Semantic action for production 634: /// /// `ModportItem: Identifier Colon Direction;` /// @@ -26013,7 +26741,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 615: + /// Semantic action for production 635: /// /// `EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace;` /// @@ -26053,7 +26781,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 616: + /// Semantic action for production 636: /// /// `EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */;` /// @@ -26080,7 +26808,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 617: + /// Semantic action for production 637: /// /// `EnumListList /* Vec::Push */: Comma EnumGroup EnumListList;` /// @@ -26106,7 +26834,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 618: + /// Semantic action for production 638: /// /// `EnumListList /* Vec::New */: ;` /// @@ -26119,7 +26847,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 619: + /// Semantic action for production 639: /// /// `EnumListOpt /* Option::Some */: Comma;` /// @@ -26135,7 +26863,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 620: + /// Semantic action for production 640: /// /// `EnumListOpt /* Option::None */: ;` /// @@ -26147,7 +26875,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 621: + /// Semantic action for production 641: /// /// `EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup;` /// @@ -26171,7 +26899,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 622: + /// Semantic action for production 642: /// /// `EnumGroupGroup: LBrace EnumList RBrace;` /// @@ -26198,7 +26926,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 623: + /// Semantic action for production 643: /// /// `EnumGroupGroup: EnumItem;` /// @@ -26215,7 +26943,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 624: + /// Semantic action for production 644: /// /// `EnumGroupList /* Vec::Push */: Attribute EnumGroupList;` /// @@ -26238,7 +26966,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 625: + /// Semantic action for production 645: /// /// `EnumGroupList /* Vec::New */: ;` /// @@ -26251,7 +26979,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 626: + /// Semantic action for production 646: /// /// `EnumItem: Identifier EnumItemOpt /* Option */;` /// @@ -26275,7 +27003,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 627: + /// Semantic action for production 647: /// /// `EnumItemOpt /* Option::Some */: Equ Expression;` /// @@ -26297,7 +27025,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 628: + /// Semantic action for production 648: /// /// `EnumItemOpt /* Option::None */: ;` /// @@ -26309,7 +27037,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 629: + /// Semantic action for production 649: /// /// `StructUnion: Struct;` /// @@ -26328,7 +27056,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 630: + /// Semantic action for production 650: /// /// `StructUnion: Union;` /// @@ -26347,7 +27075,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 631: + /// Semantic action for production 651: /// /// `StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace;` /// @@ -26392,7 +27120,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 632: + /// Semantic action for production 652: /// /// `StructUnionDeclarationOpt /* Option::Some */: WithGenericParameter;` /// @@ -26415,7 +27143,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 633: + /// Semantic action for production 653: /// /// `StructUnionDeclarationOpt /* Option::None */: ;` /// @@ -26427,7 +27155,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 634: + /// Semantic action for production 654: /// /// `StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */;` /// @@ -26457,7 +27185,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 635: + /// Semantic action for production 655: /// /// `StructUnionListList /* Vec::Push */: Comma StructUnionGroup StructUnionListList;` /// @@ -26487,7 +27215,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 636: + /// Semantic action for production 656: /// /// `StructUnionListList /* Vec::New */: ;` /// @@ -26503,7 +27231,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 637: + /// Semantic action for production 657: /// /// `StructUnionListOpt /* Option::Some */: Comma;` /// @@ -26522,7 +27250,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 638: + /// Semantic action for production 658: /// /// `StructUnionListOpt /* Option::None */: ;` /// @@ -26534,7 +27262,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 639: + /// Semantic action for production 659: /// /// `StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup;` /// @@ -26565,7 +27293,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 640: + /// Semantic action for production 660: /// /// `StructUnionGroupGroup: LBrace StructUnionList RBrace;` /// @@ -26595,7 +27323,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 641: + /// Semantic action for production 661: /// /// `StructUnionGroupGroup: StructUnionItem;` /// @@ -26616,7 +27344,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 642: + /// Semantic action for production 662: /// /// `StructUnionGroupList /* Vec::Push */: Attribute StructUnionGroupList;` /// @@ -26643,7 +27371,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 643: + /// Semantic action for production 663: /// /// `StructUnionGroupList /* Vec::New */: ;` /// @@ -26659,7 +27387,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 644: + /// Semantic action for production 664: /// /// `StructUnionItem: Identifier Colon ScalarType;` /// @@ -26687,7 +27415,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 645: + /// Semantic action for production 665: /// /// `InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace;` /// @@ -26726,7 +27454,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 646: + /// Semantic action for production 666: /// /// `InitialDeclarationList /* Vec::Push */: Statement InitialDeclarationList;` /// @@ -26757,7 +27485,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 647: + /// Semantic action for production 667: /// /// `InitialDeclarationList /* Vec::New */: ;` /// @@ -26773,7 +27501,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 648: + /// Semantic action for production 668: /// /// `FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace;` /// @@ -26805,7 +27533,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 649: + /// Semantic action for production 669: /// /// `FinalDeclarationList /* Vec::Push */: Statement FinalDeclarationList;` /// @@ -26832,7 +27560,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 650: + /// Semantic action for production 670: /// /// `FinalDeclarationList /* Vec::New */: ;` /// @@ -26848,7 +27576,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 651: + /// Semantic action for production 671: /// /// `InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon;` /// @@ -26894,7 +27622,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 652: + /// Semantic action for production 672: /// /// `InstDeclarationOpt1 /* Option::Some */: LParen InstDeclarationOpt2 /* Option */ RParen;` /// @@ -26923,7 +27651,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 653: + /// Semantic action for production 673: /// /// `InstDeclarationOpt2 /* Option::Some */: InstPortList;` /// @@ -26942,7 +27670,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 654: + /// Semantic action for production 674: /// /// `InstDeclarationOpt2 /* Option::None */: ;` /// @@ -26954,7 +27682,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 655: + /// Semantic action for production 675: /// /// `InstDeclarationOpt1 /* Option::None */: ;` /// @@ -26966,7 +27694,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 656: + /// Semantic action for production 676: /// /// `InstDeclarationOpt0 /* Option::Some */: InstParameter;` /// @@ -26985,7 +27713,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 657: + /// Semantic action for production 677: /// /// `InstDeclarationOpt0 /* Option::None */: ;` /// @@ -26997,7 +27725,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 658: + /// Semantic action for production 678: /// /// `InstDeclarationOpt /* Option::Some */: Array;` /// @@ -27016,7 +27744,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 659: + /// Semantic action for production 679: /// /// `InstDeclarationOpt /* Option::None */: ;` /// @@ -27028,7 +27756,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 660: + /// Semantic action for production 680: /// /// `InstParameter: Hash LParen InstParameterOpt /* Option */ RParen;` /// @@ -27058,7 +27786,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 661: + /// Semantic action for production 681: /// /// `InstParameterOpt /* Option::Some */: InstParameterList;` /// @@ -27077,7 +27805,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 662: + /// Semantic action for production 682: /// /// `InstParameterOpt /* Option::None */: ;` /// @@ -27089,7 +27817,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 663: + /// Semantic action for production 683: /// /// `InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */;` /// @@ -27127,7 +27855,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 664: + /// Semantic action for production 684: /// /// `InstParameterListList /* Vec::Push */: Comma InstParameterGroup InstParameterListList;` /// @@ -27162,7 +27890,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 665: + /// Semantic action for production 685: /// /// `InstParameterListList /* Vec::New */: ;` /// @@ -27178,7 +27906,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 666: + /// Semantic action for production 686: /// /// `InstParameterListOpt /* Option::Some */: Comma;` /// @@ -27197,7 +27925,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 667: + /// Semantic action for production 687: /// /// `InstParameterListOpt /* Option::None */: ;` /// @@ -27209,7 +27937,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 668: + /// Semantic action for production 688: /// /// `InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup;` /// @@ -27247,7 +27975,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 669: + /// Semantic action for production 689: /// /// `InstParameterGroupGroup: LBrace InstParameterList RBrace;` /// @@ -27280,7 +28008,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 670: + /// Semantic action for production 690: /// /// `InstParameterGroupGroup: InstParameterItem;` /// @@ -27304,7 +28032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 671: + /// Semantic action for production 691: /// /// `InstParameterGroupList /* Vec::Push */: Attribute InstParameterGroupList;` /// @@ -27335,7 +28063,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 672: + /// Semantic action for production 692: /// /// `InstParameterGroupList /* Vec::New */: ;` /// @@ -27351,7 +28079,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 673: + /// Semantic action for production 693: /// /// `InstParameterItem: Identifier InstParameterItemOpt /* Option */;` /// @@ -27380,7 +28108,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 674: + /// Semantic action for production 694: /// /// `InstParameterItemOpt /* Option::Some */: Colon Expression;` /// @@ -27405,7 +28133,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 675: + /// Semantic action for production 695: /// /// `InstParameterItemOpt /* Option::None */: ;` /// @@ -27417,7 +28145,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 676: + /// Semantic action for production 696: /// /// `InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */;` /// @@ -27445,7 +28173,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 677: + /// Semantic action for production 697: /// /// `InstPortListList /* Vec::Push */: Comma InstPortGroup InstPortListList;` /// @@ -27472,7 +28200,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 678: + /// Semantic action for production 698: /// /// `InstPortListList /* Vec::New */: ;` /// @@ -27488,7 +28216,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 679: + /// Semantic action for production 699: /// /// `InstPortListOpt /* Option::Some */: Comma;` /// @@ -27507,7 +28235,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 680: + /// Semantic action for production 700: /// /// `InstPortListOpt /* Option::None */: ;` /// @@ -27519,7 +28247,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 681: + /// Semantic action for production 701: /// /// `InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup;` /// @@ -27545,7 +28273,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 682: + /// Semantic action for production 702: /// /// `InstPortGroupGroup: LBrace InstPortList RBrace;` /// @@ -27575,7 +28303,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 683: + /// Semantic action for production 703: /// /// `InstPortGroupGroup: InstPortItem;` /// @@ -27596,7 +28324,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 684: + /// Semantic action for production 704: /// /// `InstPortGroupList /* Vec::Push */: Attribute InstPortGroupList;` /// @@ -27620,7 +28348,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 685: + /// Semantic action for production 705: /// /// `InstPortGroupList /* Vec::New */: ;` /// @@ -27636,7 +28364,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 686: + /// Semantic action for production 706: /// /// `InstPortItem: Identifier InstPortItemOpt /* Option */;` /// @@ -27660,7 +28388,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 687: + /// Semantic action for production 707: /// /// `InstPortItemOpt /* Option::Some */: Colon Expression;` /// @@ -27685,7 +28413,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 688: + /// Semantic action for production 708: /// /// `InstPortItemOpt /* Option::None */: ;` /// @@ -27697,7 +28425,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 689: + /// Semantic action for production 709: /// /// `WithParameter: Hash LParen WithParameterOpt /* Option */ RParen;` /// @@ -27727,7 +28455,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 690: + /// Semantic action for production 710: /// /// `WithParameterOpt /* Option::Some */: WithParameterList;` /// @@ -27746,7 +28474,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 691: + /// Semantic action for production 711: /// /// `WithParameterOpt /* Option::None */: ;` /// @@ -27758,7 +28486,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 692: + /// Semantic action for production 712: /// /// `WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */;` /// @@ -27796,7 +28524,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 693: + /// Semantic action for production 713: /// /// `WithParameterListList /* Vec::Push */: Comma WithParameterGroup WithParameterListList;` /// @@ -27831,7 +28559,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 694: + /// Semantic action for production 714: /// /// `WithParameterListList /* Vec::New */: ;` /// @@ -27847,7 +28575,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 695: + /// Semantic action for production 715: /// /// `WithParameterListOpt /* Option::Some */: Comma;` /// @@ -27866,7 +28594,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 696: + /// Semantic action for production 716: /// /// `WithParameterListOpt /* Option::None */: ;` /// @@ -27878,7 +28606,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 697: + /// Semantic action for production 717: /// /// `WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup;` /// @@ -27916,7 +28644,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 698: + /// Semantic action for production 718: /// /// `WithParameterGroupGroup: LBrace WithParameterList RBrace;` /// @@ -27949,7 +28677,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 699: + /// Semantic action for production 719: /// /// `WithParameterGroupGroup: WithParameterItem;` /// @@ -27973,7 +28701,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 700: + /// Semantic action for production 720: /// /// `WithParameterGroupList /* Vec::Push */: Attribute WithParameterGroupList;` /// @@ -28004,7 +28732,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 701: + /// Semantic action for production 721: /// /// `WithParameterGroupList /* Vec::New */: ;` /// @@ -28020,7 +28748,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 702: + /// Semantic action for production 722: /// /// `WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0;` /// @@ -28064,7 +28792,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 703: + /// Semantic action for production 723: /// /// `WithParameterItemGroup0: ArrayType Equ Expression;` /// @@ -28094,7 +28822,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 704: + /// Semantic action for production 724: /// /// `WithParameterItemGroup0: Type Equ TypeExpression;` /// @@ -28124,7 +28852,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 705: + /// Semantic action for production 725: /// /// `WithParameterItemGroup: Param;` /// @@ -28145,7 +28873,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 706: + /// Semantic action for production 726: /// /// `WithParameterItemGroup: Local;` /// @@ -28166,7 +28894,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 707: + /// Semantic action for production 727: /// /// `WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle;` /// @@ -28202,7 +28930,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 708: + /// Semantic action for production 728: /// /// `WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */;` /// @@ -28248,7 +28976,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 709: + /// Semantic action for production 729: /// /// `WithGenericParameterListList /* Vec::Push */: Comma WithGenericParameterItem WithGenericParameterListList;` /// @@ -28287,7 +29015,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 710: + /// Semantic action for production 730: /// /// `WithGenericParameterListList /* Vec::New */: ;` /// @@ -28303,7 +29031,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 711: + /// Semantic action for production 731: /// /// `WithGenericParameterListOpt /* Option::Some */: Comma;` /// @@ -28322,7 +29050,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 712: + /// Semantic action for production 732: /// /// `WithGenericParameterListOpt /* Option::None */: ;` /// @@ -28334,7 +29062,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 713: + /// Semantic action for production 733: /// /// `WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */;` /// @@ -28367,7 +29095,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 714: + /// Semantic action for production 734: /// /// `WithGenericParameterItemOpt /* Option::Some */: Equ WithGenericArgumentItem;` /// @@ -28397,7 +29125,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 715: + /// Semantic action for production 735: /// /// `WithGenericParameterItemOpt /* Option::None */: ;` /// @@ -28409,7 +29137,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 716: + /// Semantic action for production 736: /// /// `WithGenericArgument: ColonColonLAngle %push(Generic) WithGenericArgumentOpt /* Option */ RAngle %pop();` /// @@ -28445,7 +29173,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 717: + /// Semantic action for production 737: /// /// `WithGenericArgumentOpt /* Option::Some */: WithGenericArgumentList;` /// @@ -28472,7 +29200,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 718: + /// Semantic action for production 738: /// /// `WithGenericArgumentOpt /* Option::None */: ;` /// @@ -28484,7 +29212,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 719: + /// Semantic action for production 739: /// /// `WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */;` /// @@ -28530,7 +29258,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 720: + /// Semantic action for production 740: /// /// `WithGenericArgumentListList /* Vec::Push */: Comma WithGenericArgumentItem WithGenericArgumentListList;` /// @@ -28569,7 +29297,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 721: + /// Semantic action for production 741: /// /// `WithGenericArgumentListList /* Vec::New */: ;` /// @@ -28585,7 +29313,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 722: + /// Semantic action for production 742: /// /// `WithGenericArgumentListOpt /* Option::Some */: Comma;` /// @@ -28604,7 +29332,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 723: + /// Semantic action for production 743: /// /// `WithGenericArgumentListOpt /* Option::None */: ;` /// @@ -28616,7 +29344,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 724: + /// Semantic action for production 744: /// /// `WithGenericArgumentItem: ScopedIdentifier;` /// @@ -28643,7 +29371,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 725: + /// Semantic action for production 745: /// /// `WithGenericArgumentItem: Number;` /// @@ -28667,7 +29395,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 726: + /// Semantic action for production 746: /// /// `PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen;` /// @@ -28696,7 +29424,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 727: + /// Semantic action for production 747: /// /// `PortDeclarationOpt /* Option::Some */: PortDeclarationList;` /// @@ -28716,7 +29444,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 728: + /// Semantic action for production 748: /// /// `PortDeclarationOpt /* Option::None */: ;` /// @@ -28728,7 +29456,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 729: + /// Semantic action for production 749: /// /// `PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */;` /// @@ -28770,7 +29498,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 730: + /// Semantic action for production 750: /// /// `PortDeclarationListList /* Vec::Push */: Comma PortDeclarationGroup PortDeclarationListList;` /// @@ -28805,7 +29533,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 731: + /// Semantic action for production 751: /// /// `PortDeclarationListList /* Vec::New */: ;` /// @@ -28821,7 +29549,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 732: + /// Semantic action for production 752: /// /// `PortDeclarationListOpt /* Option::Some */: Comma;` /// @@ -28840,7 +29568,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 733: + /// Semantic action for production 753: /// /// `PortDeclarationListOpt /* Option::None */: ;` /// @@ -28852,7 +29580,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 734: + /// Semantic action for production 754: /// /// `PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup;` /// @@ -28890,7 +29618,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 735: + /// Semantic action for production 755: /// /// `PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace;` /// @@ -28924,7 +29652,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 736: + /// Semantic action for production 756: /// /// `PortDeclarationGroupGroup: PortDeclarationItem;` /// @@ -28949,7 +29677,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 737: + /// Semantic action for production 757: /// /// `PortDeclarationGroupList /* Vec::Push */: Attribute PortDeclarationGroupList;` /// @@ -28980,7 +29708,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 738: + /// Semantic action for production 758: /// /// `PortDeclarationGroupList /* Vec::New */: ;` /// @@ -28996,7 +29724,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 739: + /// Semantic action for production 759: /// /// `PortDeclarationItem: Identifier Colon PortDeclarationItemGroup;` /// @@ -29032,7 +29760,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 740: + /// Semantic action for production 760: /// /// `PortDeclarationItemGroup: Direction ArrayType;` /// @@ -29059,7 +29787,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 741: + /// Semantic action for production 761: /// /// `PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */;` /// @@ -29094,7 +29822,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 742: + /// Semantic action for production 762: /// /// `PortDeclarationItemOpt /* Option::Some */: Array;` /// @@ -29113,7 +29841,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 743: + /// Semantic action for production 763: /// /// `PortDeclarationItemOpt /* Option::None */: ;` /// @@ -29125,7 +29853,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 744: + /// Semantic action for production 764: /// /// `Direction: Input;` /// @@ -29144,7 +29872,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 745: + /// Semantic action for production 765: /// /// `Direction: Output;` /// @@ -29163,7 +29891,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 746: + /// Semantic action for production 766: /// /// `Direction: Inout;` /// @@ -29182,7 +29910,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 747: + /// Semantic action for production 767: /// /// `Direction: Ref;` /// @@ -29201,7 +29929,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 748: + /// Semantic action for production 768: /// /// `Direction: Modport;` /// @@ -29220,7 +29948,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 749: + /// Semantic action for production 769: /// /// `Direction: Import;` /// @@ -29239,7 +29967,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 750: + /// Semantic action for production 770: /// /// `FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace;` /// @@ -29305,7 +30033,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 751: + /// Semantic action for production 771: /// /// `FunctionDeclarationList /* Vec::Push */: FunctionItem FunctionDeclarationList;` /// @@ -29336,7 +30064,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 752: + /// Semantic action for production 772: /// /// `FunctionDeclarationList /* Vec::New */: ;` /// @@ -29352,7 +30080,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 753: + /// Semantic action for production 773: /// /// `FunctionDeclarationOpt1 /* Option::Some */: MinusGT ScalarType;` /// @@ -29377,7 +30105,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 754: + /// Semantic action for production 774: /// /// `FunctionDeclarationOpt1 /* Option::None */: ;` /// @@ -29389,7 +30117,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 755: + /// Semantic action for production 775: /// /// `FunctionDeclarationOpt0 /* Option::Some */: PortDeclaration;` /// @@ -29408,7 +30136,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 756: + /// Semantic action for production 776: /// /// `FunctionDeclarationOpt0 /* Option::None */: ;` /// @@ -29420,7 +30148,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 757: + /// Semantic action for production 777: /// /// `FunctionDeclarationOpt /* Option::Some */: WithGenericParameter;` /// @@ -29443,7 +30171,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 758: + /// Semantic action for production 778: /// /// `FunctionDeclarationOpt /* Option::None */: ;` /// @@ -29455,7 +30183,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 759: + /// Semantic action for production 779: /// /// `FunctionItem: VarDeclaration;` /// @@ -29474,7 +30202,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 760: + /// Semantic action for production 780: /// /// `FunctionItem: Statement;` /// @@ -29493,7 +30221,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 761: + /// Semantic action for production 781: /// /// `ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon;` /// @@ -29528,7 +30256,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 762: + /// Semantic action for production 782: /// /// `ImportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -29553,7 +30281,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 763: + /// Semantic action for production 783: /// /// `ImportDeclarationOpt /* Option::None */: ;` /// @@ -29565,7 +30293,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 764: + /// Semantic action for production 784: /// /// `ExportDeclaration: Export ExportDeclarationGroup Semicolon;` /// @@ -29601,7 +30329,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 765: + /// Semantic action for production 785: /// /// `ExportDeclarationGroup: Star;` /// @@ -29622,7 +30350,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 766: + /// Semantic action for production 786: /// /// `ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */;` /// @@ -29653,7 +30381,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 767: + /// Semantic action for production 787: /// /// `ExportDeclarationOpt /* Option::Some */: ColonColon Star;` /// @@ -29678,7 +30406,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 768: + /// Semantic action for production 788: /// /// `ExportDeclarationOpt /* Option::None */: ;` /// @@ -29690,7 +30418,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 769: + /// Semantic action for production 789: /// /// `ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace;` /// @@ -29760,7 +30488,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 770: + /// Semantic action for production 790: /// /// `ModuleDeclarationList /* Vec::Push */: ModuleGroup ModuleDeclarationList;` /// @@ -29791,7 +30519,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 771: + /// Semantic action for production 791: /// /// `ModuleDeclarationList /* Vec::New */: ;` /// @@ -29807,7 +30535,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 772: + /// Semantic action for production 792: /// /// `ModuleDeclarationOpt2 /* Option::Some */: PortDeclaration;` /// @@ -29826,7 +30554,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 773: + /// Semantic action for production 793: /// /// `ModuleDeclarationOpt2 /* Option::None */: ;` /// @@ -29838,7 +30566,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 774: + /// Semantic action for production 794: /// /// `ModuleDeclarationOpt1 /* Option::Some */: WithParameter;` /// @@ -29857,7 +30585,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 775: + /// Semantic action for production 795: /// /// `ModuleDeclarationOpt1 /* Option::None */: ;` /// @@ -29869,7 +30597,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 776: + /// Semantic action for production 796: /// /// `ModuleDeclarationOpt0 /* Option::Some */: WithGenericParameter;` /// @@ -29892,7 +30620,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 777: + /// Semantic action for production 797: /// /// `ModuleDeclarationOpt0 /* Option::None */: ;` /// @@ -29904,7 +30632,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 778: + /// Semantic action for production 798: /// /// `ModuleDeclarationOpt /* Option::Some */: Pub;` /// @@ -29923,7 +30651,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 779: + /// Semantic action for production 799: /// /// `ModuleDeclarationOpt /* Option::None */: ;` /// @@ -29935,7 +30663,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 780: + /// Semantic action for production 800: /// /// `ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */;` /// @@ -29982,7 +30710,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 781: + /// Semantic action for production 801: /// /// `ModuleIfDeclarationList /* Vec::Push */: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList;` /// @@ -30027,7 +30755,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 782: + /// Semantic action for production 802: /// /// `ModuleIfDeclarationList /* Vec::New */: ;` /// @@ -30043,7 +30771,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 783: + /// Semantic action for production 803: /// /// `ModuleIfDeclarationOpt /* Option::Some */: Else ModuleOptionalNamedBlock;` /// @@ -30073,7 +30801,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 784: + /// Semantic action for production 804: /// /// `ModuleIfDeclarationOpt /* Option::None */: ;` /// @@ -30085,7 +30813,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 785: + /// Semantic action for production 805: /// /// `ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock;` /// @@ -30130,7 +30858,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 786: + /// Semantic action for production 806: /// /// `ModuleForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -30158,7 +30886,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 787: + /// Semantic action for production 807: /// /// `ModuleForDeclarationOpt /* Option::None */: ;` /// @@ -30170,7 +30898,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 788: + /// Semantic action for production 808: /// /// `ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace;` /// @@ -30205,7 +30933,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 789: + /// Semantic action for production 809: /// /// `ModuleNamedBlockList /* Vec::Push */: ModuleGroup ModuleNamedBlockList;` /// @@ -30232,7 +30960,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 790: + /// Semantic action for production 810: /// /// `ModuleNamedBlockList /* Vec::New */: ;` /// @@ -30248,7 +30976,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 791: + /// Semantic action for production 811: /// /// `ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -30292,7 +31020,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 792: + /// Semantic action for production 812: /// /// `ModuleOptionalNamedBlockList /* Vec::Push */: ModuleGroup ModuleOptionalNamedBlockList;` /// @@ -30323,7 +31051,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 793: + /// Semantic action for production 813: /// /// `ModuleOptionalNamedBlockList /* Vec::New */: ;` /// @@ -30339,7 +31067,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 794: + /// Semantic action for production 814: /// /// `ModuleOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -30364,7 +31092,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 795: + /// Semantic action for production 815: /// /// `ModuleOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -30376,7 +31104,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 796: + /// Semantic action for production 816: /// /// `ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup;` /// @@ -30401,7 +31129,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 797: + /// Semantic action for production 817: /// /// `ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace;` /// @@ -30432,7 +31160,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 798: + /// Semantic action for production 818: /// /// `ModuleGroupGroupList /* Vec::Push */: ModuleGroup ModuleGroupGroupList;` /// @@ -30459,7 +31187,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 799: + /// Semantic action for production 819: /// /// `ModuleGroupGroupList /* Vec::New */: ;` /// @@ -30475,7 +31203,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 800: + /// Semantic action for production 820: /// /// `ModuleGroupGroup: ModuleItem;` /// @@ -30495,7 +31223,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 801: + /// Semantic action for production 821: /// /// `ModuleGroupList /* Vec::Push */: Attribute ModuleGroupList;` /// @@ -30518,7 +31246,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 802: + /// Semantic action for production 822: /// /// `ModuleGroupList /* Vec::New */: ;` /// @@ -30531,7 +31259,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 803: + /// Semantic action for production 823: /// /// `ModuleItem: LetDeclaration;` /// @@ -30550,7 +31278,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 804: + /// Semantic action for production 824: /// /// `ModuleItem: VarDeclaration;` /// @@ -30569,7 +31297,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 805: + /// Semantic action for production 825: /// /// `ModuleItem: InstDeclaration;` /// @@ -30588,7 +31316,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 806: + /// Semantic action for production 826: /// /// `ModuleItem: TypeDefDeclaration;` /// @@ -30608,7 +31336,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 807: + /// Semantic action for production 827: /// /// `ModuleItem: LocalDeclaration;` /// @@ -30627,7 +31355,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 808: + /// Semantic action for production 828: /// /// `ModuleItem: AlwaysFfDeclaration;` /// @@ -30647,7 +31375,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 809: + /// Semantic action for production 829: /// /// `ModuleItem: AlwaysCombDeclaration;` /// @@ -30671,7 +31399,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 810: + /// Semantic action for production 830: /// /// `ModuleItem: AssignDeclaration;` /// @@ -30690,7 +31418,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 811: + /// Semantic action for production 831: /// /// `ModuleItem: FunctionDeclaration;` /// @@ -30710,7 +31438,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 812: + /// Semantic action for production 832: /// /// `ModuleItem: ModuleIfDeclaration;` /// @@ -30730,7 +31458,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 813: + /// Semantic action for production 833: /// /// `ModuleItem: ModuleForDeclaration;` /// @@ -30750,7 +31478,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 814: + /// Semantic action for production 834: /// /// `ModuleItem: EnumDeclaration;` /// @@ -30769,7 +31497,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 815: + /// Semantic action for production 835: /// /// `ModuleItem: StructUnionDeclaration;` /// @@ -30793,7 +31521,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 816: + /// Semantic action for production 836: /// /// `ModuleItem: ModuleNamedBlock;` /// @@ -30812,7 +31540,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 817: + /// Semantic action for production 837: /// /// `ModuleItem: ImportDeclaration;` /// @@ -30831,7 +31559,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 818: + /// Semantic action for production 838: /// /// `ModuleItem: InitialDeclaration;` /// @@ -30850,7 +31578,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 819: + /// Semantic action for production 839: /// /// `ModuleItem: FinalDeclaration;` /// @@ -30869,7 +31597,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 820: + /// Semantic action for production 840: /// /// `InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace;` /// @@ -30935,7 +31663,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 821: + /// Semantic action for production 841: /// /// `InterfaceDeclarationList /* Vec::Push */: InterfaceGroup InterfaceDeclarationList;` /// @@ -30966,7 +31694,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 822: + /// Semantic action for production 842: /// /// `InterfaceDeclarationList /* Vec::New */: ;` /// @@ -30982,7 +31710,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 823: + /// Semantic action for production 843: /// /// `InterfaceDeclarationOpt1 /* Option::Some */: WithParameter;` /// @@ -31001,7 +31729,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 824: + /// Semantic action for production 844: /// /// `InterfaceDeclarationOpt1 /* Option::None */: ;` /// @@ -31013,7 +31741,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 825: + /// Semantic action for production 845: /// /// `InterfaceDeclarationOpt0 /* Option::Some */: WithGenericParameter;` /// @@ -31036,7 +31764,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 826: + /// Semantic action for production 846: /// /// `InterfaceDeclarationOpt0 /* Option::None */: ;` /// @@ -31048,7 +31776,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 827: + /// Semantic action for production 847: /// /// `InterfaceDeclarationOpt /* Option::Some */: Pub;` /// @@ -31067,7 +31795,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 828: + /// Semantic action for production 848: /// /// `InterfaceDeclarationOpt /* Option::None */: ;` /// @@ -31079,7 +31807,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 829: + /// Semantic action for production 849: /// /// `InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */;` /// @@ -31127,7 +31855,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 830: + /// Semantic action for production 850: /// /// `InterfaceIfDeclarationList /* Vec::Push */: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList;` /// @@ -31172,7 +31900,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 831: + /// Semantic action for production 851: /// /// `InterfaceIfDeclarationList /* Vec::New */: ;` /// @@ -31188,7 +31916,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 832: + /// Semantic action for production 852: /// /// `InterfaceIfDeclarationOpt /* Option::Some */: Else InterfaceOptionalNamedBlock;` /// @@ -31218,7 +31946,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 833: + /// Semantic action for production 853: /// /// `InterfaceIfDeclarationOpt /* Option::None */: ;` /// @@ -31230,7 +31958,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 834: + /// Semantic action for production 854: /// /// `InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock;` /// @@ -31276,7 +32004,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 835: + /// Semantic action for production 855: /// /// `InterfaceForDeclarationOpt /* Option::Some */: Step AssignmentOperator Expression;` /// @@ -31304,7 +32032,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 836: + /// Semantic action for production 856: /// /// `InterfaceForDeclarationOpt /* Option::None */: ;` /// @@ -31316,7 +32044,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 837: + /// Semantic action for production 857: /// /// `InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace;` /// @@ -31358,7 +32086,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 838: + /// Semantic action for production 858: /// /// `InterfaceNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceNamedBlockList;` /// @@ -31389,7 +32117,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 839: + /// Semantic action for production 859: /// /// `InterfaceNamedBlockList /* Vec::New */: ;` /// @@ -31405,7 +32133,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 840: + /// Semantic action for production 860: /// /// `InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace;` /// @@ -31449,7 +32177,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 841: + /// Semantic action for production 861: /// /// `InterfaceOptionalNamedBlockList /* Vec::Push */: InterfaceGroup InterfaceOptionalNamedBlockList;` /// @@ -31480,7 +32208,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 842: + /// Semantic action for production 862: /// /// `InterfaceOptionalNamedBlockList /* Vec::New */: ;` /// @@ -31496,7 +32224,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 843: + /// Semantic action for production 863: /// /// `InterfaceOptionalNamedBlockOpt /* Option::Some */: Colon Identifier;` /// @@ -31523,7 +32251,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 844: + /// Semantic action for production 864: /// /// `InterfaceOptionalNamedBlockOpt /* Option::None */: ;` /// @@ -31535,7 +32263,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 845: + /// Semantic action for production 865: /// /// `InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup;` /// @@ -31561,7 +32289,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 846: + /// Semantic action for production 866: /// /// `InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace;` /// @@ -31597,7 +32325,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 847: + /// Semantic action for production 867: /// /// `InterfaceGroupGroupList /* Vec::Push */: InterfaceGroup InterfaceGroupGroupList;` /// @@ -31628,7 +32356,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 848: + /// Semantic action for production 868: /// /// `InterfaceGroupGroupList /* Vec::New */: ;` /// @@ -31644,7 +32372,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 849: + /// Semantic action for production 869: /// /// `InterfaceGroupGroup: InterfaceItem;` /// @@ -31665,7 +32393,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 850: + /// Semantic action for production 870: /// /// `InterfaceGroupList /* Vec::Push */: Attribute InterfaceGroupList;` /// @@ -31689,7 +32417,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 851: + /// Semantic action for production 871: /// /// `InterfaceGroupList /* Vec::New */: ;` /// @@ -31705,7 +32433,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 852: + /// Semantic action for production 872: /// /// `InterfaceItem: LetDeclaration;` /// @@ -31724,7 +32452,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 853: + /// Semantic action for production 873: /// /// `InterfaceItem: VarDeclaration;` /// @@ -31743,7 +32471,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 854: + /// Semantic action for production 874: /// /// `InterfaceItem: LocalDeclaration;` /// @@ -31762,7 +32490,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 855: + /// Semantic action for production 875: /// /// `InterfaceItem: ModportDeclaration;` /// @@ -31781,7 +32509,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 856: + /// Semantic action for production 876: /// /// `InterfaceItem: InterfaceIfDeclaration;` /// @@ -31805,7 +32533,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 857: + /// Semantic action for production 877: /// /// `InterfaceItem: InterfaceForDeclaration;` /// @@ -31829,7 +32557,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 858: + /// Semantic action for production 878: /// /// `InterfaceItem: TypeDefDeclaration;` /// @@ -31849,7 +32577,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 859: + /// Semantic action for production 879: /// /// `InterfaceItem: EnumDeclaration;` /// @@ -31868,7 +32596,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 860: + /// Semantic action for production 880: /// /// `InterfaceItem: StructUnionDeclaration;` /// @@ -31892,7 +32620,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 861: + /// Semantic action for production 881: /// /// `InterfaceItem: InterfaceNamedBlock;` /// @@ -31912,7 +32640,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 862: + /// Semantic action for production 882: /// /// `InterfaceItem: FunctionDeclaration;` /// @@ -31932,7 +32660,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 863: + /// Semantic action for production 883: /// /// `InterfaceItem: ImportDeclaration;` /// @@ -31951,7 +32679,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 864: + /// Semantic action for production 884: /// /// `InterfaceItem: InitialDeclaration;` /// @@ -31970,7 +32698,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 865: + /// Semantic action for production 885: /// /// `InterfaceItem: FinalDeclaration;` /// @@ -31989,7 +32717,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 866: + /// Semantic action for production 886: /// /// `PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace;` /// @@ -32047,7 +32775,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 867: + /// Semantic action for production 887: /// /// `PackageDeclarationList /* Vec::Push */: PackageGroup PackageDeclarationList;` /// @@ -32078,7 +32806,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 868: + /// Semantic action for production 888: /// /// `PackageDeclarationList /* Vec::New */: ;` /// @@ -32094,7 +32822,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 869: + /// Semantic action for production 889: /// /// `PackageDeclarationOpt0 /* Option::Some */: WithGenericParameter;` /// @@ -32117,7 +32845,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 870: + /// Semantic action for production 890: /// /// `PackageDeclarationOpt0 /* Option::None */: ;` /// @@ -32129,7 +32857,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 871: + /// Semantic action for production 891: /// /// `PackageDeclarationOpt /* Option::Some */: Pub;` /// @@ -32148,7 +32876,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 872: + /// Semantic action for production 892: /// /// `PackageDeclarationOpt /* Option::None */: ;` /// @@ -32160,7 +32888,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 873: + /// Semantic action for production 893: /// /// `PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup;` /// @@ -32185,7 +32913,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 874: + /// Semantic action for production 894: /// /// `PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace;` /// @@ -32220,7 +32948,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 875: + /// Semantic action for production 895: /// /// `PackageGroupGroupList /* Vec::Push */: PackageGroup PackageGroupGroupList;` /// @@ -32251,7 +32979,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 876: + /// Semantic action for production 896: /// /// `PackageGroupGroupList /* Vec::New */: ;` /// @@ -32267,7 +32995,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 877: + /// Semantic action for production 897: /// /// `PackageGroupGroup: PackageItem;` /// @@ -32288,7 +33016,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 878: + /// Semantic action for production 898: /// /// `PackageGroupList /* Vec::Push */: Attribute PackageGroupList;` /// @@ -32311,7 +33039,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 879: + /// Semantic action for production 899: /// /// `PackageGroupList /* Vec::New */: ;` /// @@ -32327,7 +33055,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 880: + /// Semantic action for production 900: /// /// `PackageItem: VarDeclaration;` /// @@ -32346,7 +33074,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 881: + /// Semantic action for production 901: /// /// `PackageItem: LocalDeclaration;` /// @@ -32365,7 +33093,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 882: + /// Semantic action for production 902: /// /// `PackageItem: TypeDefDeclaration;` /// @@ -32385,7 +33113,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 883: + /// Semantic action for production 903: /// /// `PackageItem: EnumDeclaration;` /// @@ -32404,7 +33132,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 884: + /// Semantic action for production 904: /// /// `PackageItem: StructUnionDeclaration;` /// @@ -32428,7 +33156,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 885: + /// Semantic action for production 905: /// /// `PackageItem: FunctionDeclaration;` /// @@ -32448,7 +33176,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 886: + /// Semantic action for production 906: /// /// `PackageItem: ImportDeclaration;` /// @@ -32467,7 +33195,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 887: + /// Semantic action for production 907: /// /// `PackageItem: ExportDeclaration;` /// @@ -32486,7 +33214,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 888: + /// Semantic action for production 908: /// /// `PackageItem: InitialDeclaration;` /// @@ -32505,7 +33233,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 889: + /// Semantic action for production 909: /// /// `PackageItem: FinalDeclaration;` /// @@ -32524,7 +33252,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 890: + /// Semantic action for production 910: /// /// `EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent;` /// @@ -32561,7 +33289,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 891: + /// Semantic action for production 911: /// /// `EmbedContent: EmbedContentToken : VerylToken;` /// @@ -32581,7 +33309,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 892: + /// Semantic action for production 912: /// /// `EmbedContentToken: LBraceTerm %push(Embed) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm %pop() Comments;` /// @@ -32632,7 +33360,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 893: + /// Semantic action for production 913: /// /// `EmbedContentTokenList /* Vec::Push */: EmbedItem EmbedContentTokenList;` /// @@ -32663,7 +33391,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 894: + /// Semantic action for production 914: /// /// `EmbedContentTokenList /* Vec::New */: ;` /// @@ -32679,7 +33407,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 895: + /// Semantic action for production 915: /// /// `EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm;` /// @@ -32707,7 +33435,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 896: + /// Semantic action for production 916: /// /// `EmbedItemList /* Vec::Push */: EmbedItem EmbedItemList;` /// @@ -32730,7 +33458,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 897: + /// Semantic action for production 917: /// /// `EmbedItemList /* Vec::New */: ;` /// @@ -32743,7 +33471,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 898: + /// Semantic action for production 918: /// /// `EmbedItem: AnyTerm;` /// @@ -32762,7 +33490,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 899: + /// Semantic action for production 919: /// /// `IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon;` /// @@ -32805,7 +33533,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 900: + /// Semantic action for production 920: /// /// `DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup;` /// @@ -32836,7 +33564,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 901: + /// Semantic action for production 921: /// /// `DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace;` /// @@ -32874,7 +33602,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 902: + /// Semantic action for production 922: /// /// `DescriptionGroupGroupList /* Vec::Push */: DescriptionGroup DescriptionGroupGroupList;` /// @@ -32905,7 +33633,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 903: + /// Semantic action for production 923: /// /// `DescriptionGroupGroupList /* Vec::New */: ;` /// @@ -32921,7 +33649,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 904: + /// Semantic action for production 924: /// /// `DescriptionGroupGroup: DescriptionItem;` /// @@ -32942,7 +33670,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 905: + /// Semantic action for production 925: /// /// `DescriptionGroupList /* Vec::Push */: Attribute DescriptionGroupList;` /// @@ -32969,7 +33697,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 906: + /// Semantic action for production 926: /// /// `DescriptionGroupList /* Vec::New */: ;` /// @@ -32985,7 +33713,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 907: + /// Semantic action for production 927: /// /// `DescriptionItem: ModuleDeclaration;` /// @@ -33005,7 +33733,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 908: + /// Semantic action for production 928: /// /// `DescriptionItem: InterfaceDeclaration;` /// @@ -33027,7 +33755,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 909: + /// Semantic action for production 929: /// /// `DescriptionItem: PackageDeclaration;` /// @@ -33048,7 +33776,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 910: + /// Semantic action for production 930: /// /// `DescriptionItem: ImportDeclaration;` /// @@ -33068,7 +33796,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 911: + /// Semantic action for production 931: /// /// `DescriptionItem: EmbedDeclaration;` /// @@ -33088,7 +33816,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 912: + /// Semantic action for production 932: /// /// `DescriptionItem: IncludeDeclaration;` /// @@ -33109,7 +33837,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 913: + /// Semantic action for production 933: /// /// `Veryl: Start VerylList /* Vec */;` /// @@ -33129,7 +33857,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 914: + /// Semantic action for production 934: /// /// `VerylList /* Vec::Push */: DescriptionGroup VerylList;` /// @@ -33152,7 +33880,7 @@ impl<'t, 'u> VerylGrammarAuto<'t, 'u> { Ok(()) } - /// Semantic action for production 915: + /// Semantic action for production 935: /// /// `VerylList /* Vec::New */: ;` /// @@ -33276,368 +34004,372 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { 97 => self.step_term(&children[0]), 98 => self.string_term(&children[0]), 99 => self.struct_term(&children[0]), - 100 => self.tri_term(&children[0]), - 101 => self.type_term(&children[0]), - 102 => self.u32_term(&children[0]), - 103 => self.u64_term(&children[0]), - 104 => self.union_term(&children[0]), - 105 => self.var_term(&children[0]), - 106 => self.dollar_identifier_term(&children[0]), - 107 => self.identifier_term(&children[0]), - 108 => self.any_term(&children[0]), - 109 => self.comments(&children[0]), - 110 => self.comments_opt_0(&children[0]), - 111 => self.comments_opt_1(), - 112 => self.start_token(&children[0]), - 113 => self.string_literal_token(&children[0], &children[1]), - 114 => self.exponent_token(&children[0], &children[1]), - 115 => self.fixed_point_token(&children[0], &children[1]), - 116 => self.based_token(&children[0], &children[1]), - 117 => self.base_less_token(&children[0], &children[1]), - 118 => self.all_bit_token(&children[0], &children[1]), - 119 => self.assignment_operator_token(&children[0], &children[1]), - 120 => self.operator01_token(&children[0], &children[1]), - 121 => self.operator02_token(&children[0], &children[1]), - 122 => self.operator03_token(&children[0], &children[1]), - 123 => self.operator04_token(&children[0], &children[1]), - 124 => self.operator05_token(&children[0], &children[1]), - 125 => self.operator06_token(&children[0], &children[1]), - 126 => self.operator07_token(&children[0], &children[1]), - 127 => self.operator08_token(&children[0], &children[1]), - 128 => self.operator09_token(&children[0], &children[1]), - 129 => self.operator10_token(&children[0], &children[1]), - 130 => self.operator11_token(&children[0], &children[1]), - 131 => self.unary_operator_token(&children[0], &children[1]), - 132 => self.colon_token(&children[0], &children[1]), - 133 => self.colon_colon_l_angle_token(&children[0], &children[1]), - 134 => self.colon_colon_token(&children[0], &children[1]), - 135 => self.comma_token(&children[0], &children[1]), - 136 => self.dot_dot_token(&children[0], &children[1]), - 137 => self.dot_dot_equ_token(&children[0], &children[1]), - 138 => self.dot_token(&children[0], &children[1]), - 139 => self.equ_token(&children[0], &children[1]), - 140 => self.hash_token(&children[0], &children[1]), - 141 => self.quote_l_brace_token(&children[0], &children[1]), - 142 => self.l_angle_token(&children[0], &children[1]), - 143 => self.l_brace_token(&children[0], &children[1]), - 144 => self.l_bracket_token(&children[0], &children[1]), - 145 => self.l_paren_token(&children[0], &children[1]), - 146 => self.minus_colon_token(&children[0], &children[1]), - 147 => self.minus_g_t_token(&children[0], &children[1]), - 148 => self.plus_colon_token(&children[0], &children[1]), - 149 => self.r_angle_token(&children[0], &children[1]), - 150 => self.r_brace_token(&children[0], &children[1]), - 151 => self.r_bracket_token(&children[0], &children[1]), - 152 => self.r_paren_token(&children[0], &children[1]), - 153 => self.semicolon_token(&children[0], &children[1]), - 154 => self.star_token(&children[0], &children[1]), - 155 => self.always_comb_token(&children[0], &children[1]), - 156 => self.always_ff_token(&children[0], &children[1]), - 157 => self.as_token(&children[0], &children[1]), - 158 => self.assign_token(&children[0], &children[1]), - 159 => self.bit_token(&children[0], &children[1]), - 160 => self.case_token(&children[0], &children[1]), - 161 => self.clock_token(&children[0], &children[1]), - 162 => self.clock_posedge_token(&children[0], &children[1]), - 163 => self.clock_negedge_token(&children[0], &children[1]), - 164 => self.default_token(&children[0], &children[1]), - 165 => self.else_token(&children[0], &children[1]), - 166 => self.embed_token(&children[0], &children[1]), - 167 => self.enum_token(&children[0], &children[1]), - 168 => self.export_token(&children[0], &children[1]), - 169 => self.f32_token(&children[0], &children[1]), - 170 => self.f64_token(&children[0], &children[1]), - 171 => self.final_token(&children[0], &children[1]), - 172 => self.for_token(&children[0], &children[1]), - 173 => self.function_token(&children[0], &children[1]), - 174 => self.i32_token(&children[0], &children[1]), - 175 => self.i64_token(&children[0], &children[1]), - 176 => self.if_reset_token(&children[0], &children[1]), - 177 => self.if_token(&children[0], &children[1]), - 178 => self.import_token(&children[0], &children[1]), - 179 => self.include_token(&children[0], &children[1]), - 180 => self.initial_token(&children[0], &children[1]), - 181 => self.inout_token(&children[0], &children[1]), - 182 => self.input_token(&children[0], &children[1]), - 183 => self.inside_token(&children[0], &children[1]), - 184 => self.inst_token(&children[0], &children[1]), - 185 => self.interface_token(&children[0], &children[1]), - 186 => self.in_token(&children[0], &children[1]), - 187 => self.let_token(&children[0], &children[1]), - 188 => self.local_token(&children[0], &children[1]), - 189 => self.logic_token(&children[0], &children[1]), - 190 => self.lsb_token(&children[0], &children[1]), - 191 => self.modport_token(&children[0], &children[1]), - 192 => self.module_token(&children[0], &children[1]), - 193 => self.msb_token(&children[0], &children[1]), - 194 => self.output_token(&children[0], &children[1]), - 195 => self.outside_token(&children[0], &children[1]), - 196 => self.package_token(&children[0], &children[1]), - 197 => self.param_token(&children[0], &children[1]), - 198 => self.pub_token(&children[0], &children[1]), - 199 => self.ref_token(&children[0], &children[1]), - 200 => self.repeat_token(&children[0], &children[1]), - 201 => self.reset_token(&children[0], &children[1]), - 202 => self.reset_async_high_token(&children[0], &children[1]), - 203 => self.reset_async_low_token(&children[0], &children[1]), - 204 => self.reset_sync_high_token(&children[0], &children[1]), - 205 => self.reset_sync_low_token(&children[0], &children[1]), - 206 => self.return_token(&children[0], &children[1]), - 207 => self.break_token(&children[0], &children[1]), - 208 => self.signed_token(&children[0], &children[1]), - 209 => self.step_token(&children[0], &children[1]), - 210 => self.string_token(&children[0], &children[1]), - 211 => self.struct_token(&children[0], &children[1]), - 212 => self.tri_token(&children[0], &children[1]), - 213 => self.type_token(&children[0], &children[1]), - 214 => self.u32_token(&children[0], &children[1]), - 215 => self.u64_token(&children[0], &children[1]), - 216 => self.union_token(&children[0], &children[1]), - 217 => self.var_token(&children[0], &children[1]), - 218 => self.dollar_identifier_token(&children[0], &children[1]), - 219 => self.identifier_token(&children[0], &children[1]), - 220 => self.start(&children[0]), - 221 => self.string_literal(&children[0]), - 222 => self.exponent(&children[0]), - 223 => self.fixed_point(&children[0]), - 224 => self.based(&children[0]), - 225 => self.base_less(&children[0]), - 226 => self.all_bit(&children[0]), - 227 => self.assignment_operator(&children[0]), - 228 => self.operator01(&children[0]), - 229 => self.operator02(&children[0]), - 230 => self.operator03(&children[0]), - 231 => self.operator04(&children[0]), - 232 => self.operator05(&children[0]), - 233 => self.operator06(&children[0]), - 234 => self.operator07(&children[0]), - 235 => self.operator08(&children[0]), - 236 => self.operator09(&children[0]), - 237 => self.operator10(&children[0]), - 238 => self.operator11(&children[0]), - 239 => self.unary_operator(&children[0]), - 240 => self.colon(&children[0]), - 241 => self.colon_colon_l_angle(&children[0]), - 242 => self.colon_colon(&children[0]), - 243 => self.comma(&children[0]), - 244 => self.dot_dot(&children[0]), - 245 => self.dot_dot_equ(&children[0]), - 246 => self.dot(&children[0]), - 247 => self.equ(&children[0]), - 248 => self.hash(&children[0]), - 249 => self.quote_l_brace(&children[0]), - 250 => self.l_angle(&children[0]), - 251 => self.l_brace(&children[0]), - 252 => self.l_bracket(&children[0]), - 253 => self.l_paren(&children[0]), - 254 => self.minus_colon(&children[0]), - 255 => self.minus_g_t(&children[0]), - 256 => self.plus_colon(&children[0]), - 257 => self.r_angle(&children[0]), - 258 => self.r_brace(&children[0]), - 259 => self.r_bracket(&children[0]), - 260 => self.r_paren(&children[0]), - 261 => self.semicolon(&children[0]), - 262 => self.star(&children[0]), - 263 => self.always_comb(&children[0]), - 264 => self.always_ff(&children[0]), - 265 => self.r#as(&children[0]), - 266 => self.assign(&children[0]), - 267 => self.bit(&children[0]), - 268 => self.r#break(&children[0]), - 269 => self.case(&children[0]), - 270 => self.clock(&children[0]), - 271 => self.clock_posedge(&children[0]), - 272 => self.clock_negedge(&children[0]), - 273 => self.defaul(&children[0]), - 274 => self.r#else(&children[0]), - 275 => self.embed(&children[0]), - 276 => self.r#enum(&children[0]), - 277 => self.export(&children[0]), - 278 => self.f32(&children[0]), - 279 => self.f64(&children[0]), - 280 => self.r#final(&children[0]), - 281 => self.r#for(&children[0]), - 282 => self.function(&children[0]), - 283 => self.i32(&children[0]), - 284 => self.i64(&children[0]), - 285 => self.r#if(&children[0]), - 286 => self.if_reset(&children[0]), - 287 => self.import(&children[0]), - 288 => self.r#in(&children[0]), - 289 => self.include(&children[0]), - 290 => self.initial(&children[0]), - 291 => self.inout(&children[0]), - 292 => self.input(&children[0]), - 293 => self.inside(&children[0]), - 294 => self.inst(&children[0]), - 295 => self.interface(&children[0]), - 296 => self.r#let(&children[0]), - 297 => self.local(&children[0]), - 298 => self.logic(&children[0]), - 299 => self.lsb(&children[0]), - 300 => self.modport(&children[0]), - 301 => self.module(&children[0]), - 302 => self.msb(&children[0]), - 303 => self.output(&children[0]), - 304 => self.outside(&children[0]), - 305 => self.package(&children[0]), - 306 => self.param(&children[0]), - 307 => self.r#pub(&children[0]), - 308 => self.r#ref(&children[0]), - 309 => self.repeat(&children[0]), - 310 => self.reset(&children[0]), - 311 => self.reset_async_high(&children[0]), - 312 => self.reset_async_low(&children[0]), - 313 => self.reset_sync_high(&children[0]), - 314 => self.reset_sync_low(&children[0]), - 315 => self.r#return(&children[0]), - 316 => self.signed(&children[0]), - 317 => self.step(&children[0]), - 318 => self.strin(&children[0]), - 319 => self.r#struct(&children[0]), - 320 => self.tri(&children[0]), - 321 => self.r#type(&children[0]), - 322 => self.u32(&children[0]), - 323 => self.u64(&children[0]), - 324 => self.r#union(&children[0]), - 325 => self.var(&children[0]), - 326 => self.dollar_identifier(&children[0]), - 327 => self.identifier(&children[0]), - 328 => self.number_0(&children[0]), - 329 => self.number_1(&children[0]), - 330 => self.integral_number_0(&children[0]), - 331 => self.integral_number_1(&children[0]), - 332 => self.integral_number_2(&children[0]), - 333 => self.real_number_0(&children[0]), - 334 => self.real_number_1(&children[0]), - 335 => self.hierarchical_identifier(&children[0], &children[1], &children[2]), - 336 => self.hierarchical_identifier_list0_0( + 100 => self.switch_term(&children[0]), + 101 => self.tri_term(&children[0]), + 102 => self.type_term(&children[0]), + 103 => self.u32_term(&children[0]), + 104 => self.u64_term(&children[0]), + 105 => self.union_term(&children[0]), + 106 => self.var_term(&children[0]), + 107 => self.dollar_identifier_term(&children[0]), + 108 => self.identifier_term(&children[0]), + 109 => self.any_term(&children[0]), + 110 => self.comments(&children[0]), + 111 => self.comments_opt_0(&children[0]), + 112 => self.comments_opt_1(), + 113 => self.start_token(&children[0]), + 114 => self.string_literal_token(&children[0], &children[1]), + 115 => self.exponent_token(&children[0], &children[1]), + 116 => self.fixed_point_token(&children[0], &children[1]), + 117 => self.based_token(&children[0], &children[1]), + 118 => self.base_less_token(&children[0], &children[1]), + 119 => self.all_bit_token(&children[0], &children[1]), + 120 => self.assignment_operator_token(&children[0], &children[1]), + 121 => self.operator01_token(&children[0], &children[1]), + 122 => self.operator02_token(&children[0], &children[1]), + 123 => self.operator03_token(&children[0], &children[1]), + 124 => self.operator04_token(&children[0], &children[1]), + 125 => self.operator05_token(&children[0], &children[1]), + 126 => self.operator06_token(&children[0], &children[1]), + 127 => self.operator07_token(&children[0], &children[1]), + 128 => self.operator08_token(&children[0], &children[1]), + 129 => self.operator09_token(&children[0], &children[1]), + 130 => self.operator10_token(&children[0], &children[1]), + 131 => self.operator11_token(&children[0], &children[1]), + 132 => self.unary_operator_token(&children[0], &children[1]), + 133 => self.colon_token(&children[0], &children[1]), + 134 => self.colon_colon_l_angle_token(&children[0], &children[1]), + 135 => self.colon_colon_token(&children[0], &children[1]), + 136 => self.comma_token(&children[0], &children[1]), + 137 => self.dot_dot_token(&children[0], &children[1]), + 138 => self.dot_dot_equ_token(&children[0], &children[1]), + 139 => self.dot_token(&children[0], &children[1]), + 140 => self.equ_token(&children[0], &children[1]), + 141 => self.hash_token(&children[0], &children[1]), + 142 => self.quote_l_brace_token(&children[0], &children[1]), + 143 => self.l_angle_token(&children[0], &children[1]), + 144 => self.l_brace_token(&children[0], &children[1]), + 145 => self.l_bracket_token(&children[0], &children[1]), + 146 => self.l_paren_token(&children[0], &children[1]), + 147 => self.minus_colon_token(&children[0], &children[1]), + 148 => self.minus_g_t_token(&children[0], &children[1]), + 149 => self.plus_colon_token(&children[0], &children[1]), + 150 => self.r_angle_token(&children[0], &children[1]), + 151 => self.r_brace_token(&children[0], &children[1]), + 152 => self.r_bracket_token(&children[0], &children[1]), + 153 => self.r_paren_token(&children[0], &children[1]), + 154 => self.semicolon_token(&children[0], &children[1]), + 155 => self.star_token(&children[0], &children[1]), + 156 => self.always_comb_token(&children[0], &children[1]), + 157 => self.always_ff_token(&children[0], &children[1]), + 158 => self.as_token(&children[0], &children[1]), + 159 => self.assign_token(&children[0], &children[1]), + 160 => self.bit_token(&children[0], &children[1]), + 161 => self.case_token(&children[0], &children[1]), + 162 => self.clock_token(&children[0], &children[1]), + 163 => self.clock_posedge_token(&children[0], &children[1]), + 164 => self.clock_negedge_token(&children[0], &children[1]), + 165 => self.default_token(&children[0], &children[1]), + 166 => self.else_token(&children[0], &children[1]), + 167 => self.embed_token(&children[0], &children[1]), + 168 => self.enum_token(&children[0], &children[1]), + 169 => self.export_token(&children[0], &children[1]), + 170 => self.f32_token(&children[0], &children[1]), + 171 => self.f64_token(&children[0], &children[1]), + 172 => self.final_token(&children[0], &children[1]), + 173 => self.for_token(&children[0], &children[1]), + 174 => self.function_token(&children[0], &children[1]), + 175 => self.i32_token(&children[0], &children[1]), + 176 => self.i64_token(&children[0], &children[1]), + 177 => self.if_reset_token(&children[0], &children[1]), + 178 => self.if_token(&children[0], &children[1]), + 179 => self.import_token(&children[0], &children[1]), + 180 => self.include_token(&children[0], &children[1]), + 181 => self.initial_token(&children[0], &children[1]), + 182 => self.inout_token(&children[0], &children[1]), + 183 => self.input_token(&children[0], &children[1]), + 184 => self.inside_token(&children[0], &children[1]), + 185 => self.inst_token(&children[0], &children[1]), + 186 => self.interface_token(&children[0], &children[1]), + 187 => self.in_token(&children[0], &children[1]), + 188 => self.let_token(&children[0], &children[1]), + 189 => self.local_token(&children[0], &children[1]), + 190 => self.logic_token(&children[0], &children[1]), + 191 => self.lsb_token(&children[0], &children[1]), + 192 => self.modport_token(&children[0], &children[1]), + 193 => self.module_token(&children[0], &children[1]), + 194 => self.msb_token(&children[0], &children[1]), + 195 => self.output_token(&children[0], &children[1]), + 196 => self.outside_token(&children[0], &children[1]), + 197 => self.package_token(&children[0], &children[1]), + 198 => self.param_token(&children[0], &children[1]), + 199 => self.pub_token(&children[0], &children[1]), + 200 => self.ref_token(&children[0], &children[1]), + 201 => self.repeat_token(&children[0], &children[1]), + 202 => self.reset_token(&children[0], &children[1]), + 203 => self.reset_async_high_token(&children[0], &children[1]), + 204 => self.reset_async_low_token(&children[0], &children[1]), + 205 => self.reset_sync_high_token(&children[0], &children[1]), + 206 => self.reset_sync_low_token(&children[0], &children[1]), + 207 => self.return_token(&children[0], &children[1]), + 208 => self.break_token(&children[0], &children[1]), + 209 => self.signed_token(&children[0], &children[1]), + 210 => self.step_token(&children[0], &children[1]), + 211 => self.string_token(&children[0], &children[1]), + 212 => self.struct_token(&children[0], &children[1]), + 213 => self.switch_token(&children[0], &children[1]), + 214 => self.tri_token(&children[0], &children[1]), + 215 => self.type_token(&children[0], &children[1]), + 216 => self.u32_token(&children[0], &children[1]), + 217 => self.u64_token(&children[0], &children[1]), + 218 => self.union_token(&children[0], &children[1]), + 219 => self.var_token(&children[0], &children[1]), + 220 => self.dollar_identifier_token(&children[0], &children[1]), + 221 => self.identifier_token(&children[0], &children[1]), + 222 => self.start(&children[0]), + 223 => self.string_literal(&children[0]), + 224 => self.exponent(&children[0]), + 225 => self.fixed_point(&children[0]), + 226 => self.based(&children[0]), + 227 => self.base_less(&children[0]), + 228 => self.all_bit(&children[0]), + 229 => self.assignment_operator(&children[0]), + 230 => self.operator01(&children[0]), + 231 => self.operator02(&children[0]), + 232 => self.operator03(&children[0]), + 233 => self.operator04(&children[0]), + 234 => self.operator05(&children[0]), + 235 => self.operator06(&children[0]), + 236 => self.operator07(&children[0]), + 237 => self.operator08(&children[0]), + 238 => self.operator09(&children[0]), + 239 => self.operator10(&children[0]), + 240 => self.operator11(&children[0]), + 241 => self.unary_operator(&children[0]), + 242 => self.colon(&children[0]), + 243 => self.colon_colon_l_angle(&children[0]), + 244 => self.colon_colon(&children[0]), + 245 => self.comma(&children[0]), + 246 => self.dot_dot(&children[0]), + 247 => self.dot_dot_equ(&children[0]), + 248 => self.dot(&children[0]), + 249 => self.equ(&children[0]), + 250 => self.hash(&children[0]), + 251 => self.quote_l_brace(&children[0]), + 252 => self.l_angle(&children[0]), + 253 => self.l_brace(&children[0]), + 254 => self.l_bracket(&children[0]), + 255 => self.l_paren(&children[0]), + 256 => self.minus_colon(&children[0]), + 257 => self.minus_g_t(&children[0]), + 258 => self.plus_colon(&children[0]), + 259 => self.r_angle(&children[0]), + 260 => self.r_brace(&children[0]), + 261 => self.r_bracket(&children[0]), + 262 => self.r_paren(&children[0]), + 263 => self.semicolon(&children[0]), + 264 => self.star(&children[0]), + 265 => self.always_comb(&children[0]), + 266 => self.always_ff(&children[0]), + 267 => self.r#as(&children[0]), + 268 => self.assign(&children[0]), + 269 => self.bit(&children[0]), + 270 => self.r#break(&children[0]), + 271 => self.case(&children[0]), + 272 => self.clock(&children[0]), + 273 => self.clock_posedge(&children[0]), + 274 => self.clock_negedge(&children[0]), + 275 => self.defaul(&children[0]), + 276 => self.r#else(&children[0]), + 277 => self.embed(&children[0]), + 278 => self.r#enum(&children[0]), + 279 => self.export(&children[0]), + 280 => self.f32(&children[0]), + 281 => self.f64(&children[0]), + 282 => self.r#final(&children[0]), + 283 => self.r#for(&children[0]), + 284 => self.function(&children[0]), + 285 => self.i32(&children[0]), + 286 => self.i64(&children[0]), + 287 => self.r#if(&children[0]), + 288 => self.if_reset(&children[0]), + 289 => self.import(&children[0]), + 290 => self.r#in(&children[0]), + 291 => self.include(&children[0]), + 292 => self.initial(&children[0]), + 293 => self.inout(&children[0]), + 294 => self.input(&children[0]), + 295 => self.inside(&children[0]), + 296 => self.inst(&children[0]), + 297 => self.interface(&children[0]), + 298 => self.r#let(&children[0]), + 299 => self.local(&children[0]), + 300 => self.logic(&children[0]), + 301 => self.lsb(&children[0]), + 302 => self.modport(&children[0]), + 303 => self.module(&children[0]), + 304 => self.msb(&children[0]), + 305 => self.output(&children[0]), + 306 => self.outside(&children[0]), + 307 => self.package(&children[0]), + 308 => self.param(&children[0]), + 309 => self.r#pub(&children[0]), + 310 => self.r#ref(&children[0]), + 311 => self.repeat(&children[0]), + 312 => self.reset(&children[0]), + 313 => self.reset_async_high(&children[0]), + 314 => self.reset_async_low(&children[0]), + 315 => self.reset_sync_high(&children[0]), + 316 => self.reset_sync_low(&children[0]), + 317 => self.r#return(&children[0]), + 318 => self.signed(&children[0]), + 319 => self.step(&children[0]), + 320 => self.strin(&children[0]), + 321 => self.r#struct(&children[0]), + 322 => self.switch(&children[0]), + 323 => self.tri(&children[0]), + 324 => self.r#type(&children[0]), + 325 => self.u32(&children[0]), + 326 => self.u64(&children[0]), + 327 => self.r#union(&children[0]), + 328 => self.var(&children[0]), + 329 => self.dollar_identifier(&children[0]), + 330 => self.identifier(&children[0]), + 331 => self.number_0(&children[0]), + 332 => self.number_1(&children[0]), + 333 => self.integral_number_0(&children[0]), + 334 => self.integral_number_1(&children[0]), + 335 => self.integral_number_2(&children[0]), + 336 => self.real_number_0(&children[0]), + 337 => self.real_number_1(&children[0]), + 338 => self.hierarchical_identifier(&children[0], &children[1], &children[2]), + 339 => self.hierarchical_identifier_list0_0( &children[0], &children[1], &children[2], &children[3], ), - 337 => self.hierarchical_identifier_list0_list_0(&children[0], &children[1]), - 338 => self.hierarchical_identifier_list0_list_1(), - 339 => self.hierarchical_identifier_list0_1(), - 340 => self.hierarchical_identifier_list_0(&children[0], &children[1]), - 341 => self.hierarchical_identifier_list_1(), - 342 => self.scoped_identifier(&children[0], &children[1]), - 343 => self.scoped_identifier_group_0(&children[0]), - 344 => self.scoped_identifier_group_1(&children[0], &children[1]), - 345 => self.scoped_identifier_list_0( + 340 => self.hierarchical_identifier_list0_list_0(&children[0], &children[1]), + 341 => self.hierarchical_identifier_list0_list_1(), + 342 => self.hierarchical_identifier_list0_1(), + 343 => self.hierarchical_identifier_list_0(&children[0], &children[1]), + 344 => self.hierarchical_identifier_list_1(), + 345 => self.scoped_identifier(&children[0], &children[1]), + 346 => self.scoped_identifier_group_0(&children[0]), + 347 => self.scoped_identifier_group_1(&children[0], &children[1]), + 348 => self.scoped_identifier_list_0( &children[0], &children[1], &children[2], &children[3], ), - 346 => self.scoped_identifier_list_1(), - 347 => self.scoped_identifier_opt0_0(&children[0]), - 348 => self.scoped_identifier_opt0_1(), - 349 => self.scoped_identifier_opt_0(&children[0]), - 350 => self.scoped_identifier_opt_1(), - 351 => self.expression_identifier(&children[0], &children[1], &children[2]), - 352 => self.expression_identifier_list0_0( + 349 => self.scoped_identifier_list_1(), + 350 => self.scoped_identifier_opt0_0(&children[0]), + 351 => self.scoped_identifier_opt0_1(), + 352 => self.scoped_identifier_opt_0(&children[0]), + 353 => self.scoped_identifier_opt_1(), + 354 => self.expression_identifier(&children[0], &children[1], &children[2]), + 355 => self.expression_identifier_list0_0( &children[0], &children[1], &children[2], &children[3], ), - 353 => self.expression_identifier_list0_list_0(&children[0], &children[1]), - 354 => self.expression_identifier_list0_list_1(), - 355 => self.expression_identifier_list0_1(), - 356 => self.expression_identifier_list_0(&children[0], &children[1]), - 357 => self.expression_identifier_list_1(), - 358 => self.expression(&children[0], &children[1]), - 359 => self.expression_list_0(&children[0], &children[1], &children[2]), - 360 => self.expression_list_1(), - 361 => self.expression01(&children[0], &children[1]), - 362 => self.expression01_list_0(&children[0], &children[1], &children[2]), - 363 => self.expression01_list_1(), - 364 => self.expression02(&children[0], &children[1]), - 365 => self.expression02_list_0(&children[0], &children[1], &children[2]), - 366 => self.expression02_list_1(), - 367 => self.expression03(&children[0], &children[1]), - 368 => self.expression03_list_0(&children[0], &children[1], &children[2]), - 369 => self.expression03_list_1(), - 370 => self.expression04(&children[0], &children[1]), - 371 => self.expression04_list_0(&children[0], &children[1], &children[2]), - 372 => self.expression04_list_1(), - 373 => self.expression05(&children[0], &children[1]), - 374 => self.expression05_list_0(&children[0], &children[1], &children[2]), - 375 => self.expression05_list_1(), - 376 => self.expression06(&children[0], &children[1]), - 377 => self.expression06_list_0(&children[0], &children[1], &children[2]), - 378 => self.expression06_list_1(), - 379 => self.expression07(&children[0], &children[1]), - 380 => self.expression07_list_0(&children[0], &children[1], &children[2]), - 381 => self.expression07_list_1(), - 382 => self.expression08(&children[0], &children[1]), - 383 => self.expression08_list_0(&children[0], &children[1], &children[2]), - 384 => self.expression08_list_1(), - 385 => self.expression09(&children[0], &children[1]), - 386 => self.expression09_list_0(&children[0], &children[1], &children[2]), - 387 => self.expression09_list_group_0(&children[0]), - 388 => self.expression09_list_group_1(&children[0]), - 389 => self.expression09_list_1(), - 390 => self.expression10(&children[0], &children[1]), - 391 => self.expression10_list_0(&children[0], &children[1], &children[2]), - 392 => self.expression10_list_1(), - 393 => self.expression11(&children[0], &children[1]), - 394 => self.expression11_list_0(&children[0], &children[1], &children[2]), - 395 => self.expression11_list_1(), - 396 => self.expression12(&children[0], &children[1]), - 397 => self.expression12_list_0(&children[0], &children[1]), - 398 => self.expression12_list_group_0(&children[0]), - 399 => self.expression12_list_group_1(&children[0]), - 400 => self.expression12_list_group_2(&children[0]), - 401 => self.expression12_list_group_3(&children[0]), - 402 => self.expression12_list_group_4(&children[0]), - 403 => self.expression12_list_1(), - 404 => self.factor_0(&children[0]), - 405 => self.factor_1(&children[0], &children[1]), - 406 => self.factor_2(&children[0], &children[1], &children[2]), - 407 => self.factor_3(&children[0], &children[1], &children[2]), - 408 => self.factor_4(&children[0], &children[1], &children[2]), - 409 => self.factor_5(&children[0]), - 410 => self.factor_6(&children[0]), - 411 => self.factor_7(&children[0]), - 412 => self.factor_8(&children[0]), - 413 => self.factor_group_0(&children[0]), - 414 => self.factor_group_1(&children[0]), - 415 => self.factor_9(&children[0]), - 416 => self.factor_10(&children[0]), - 417 => self.factor_opt_0(&children[0]), - 418 => self.factor_opt_1(), - 419 => self.function_call(&children[0], &children[1], &children[2]), - 420 => self.function_call_opt_0(&children[0]), - 421 => self.function_call_opt_1(), - 422 => self.argument_list(&children[0], &children[1], &children[2]), - 423 => self.argument_list_list_0(&children[0], &children[1], &children[2]), - 424 => self.argument_list_list_1(), - 425 => self.argument_list_opt_0(&children[0]), - 426 => self.argument_list_opt_1(), - 427 => self.argument_item(&children[0]), - 428 => self.concatenation_list(&children[0], &children[1], &children[2]), - 429 => self.concatenation_list_list_0(&children[0], &children[1], &children[2]), - 430 => self.concatenation_list_list_1(), - 431 => self.concatenation_list_opt_0(&children[0]), - 432 => self.concatenation_list_opt_1(), - 433 => self.concatenation_item(&children[0], &children[1]), - 434 => self.concatenation_item_opt_0(&children[0], &children[1]), - 435 => self.concatenation_item_opt_1(), - 436 => self.array_literal_list(&children[0], &children[1], &children[2]), - 437 => self.array_literal_list_list_0(&children[0], &children[1], &children[2]), - 438 => self.array_literal_list_list_1(), - 439 => self.array_literal_list_opt_0(&children[0]), - 440 => self.array_literal_list_opt_1(), - 441 => self.array_literal_item(&children[0]), - 442 => self.array_literal_item_group_0(&children[0], &children[1]), - 443 => self.array_literal_item_group_1(&children[0], &children[1], &children[2]), - 444 => self.array_literal_item_opt_0(&children[0], &children[1]), - 445 => self.array_literal_item_opt_1(), - 446 => self.if_expression( + 356 => self.expression_identifier_list0_list_0(&children[0], &children[1]), + 357 => self.expression_identifier_list0_list_1(), + 358 => self.expression_identifier_list0_1(), + 359 => self.expression_identifier_list_0(&children[0], &children[1]), + 360 => self.expression_identifier_list_1(), + 361 => self.expression(&children[0], &children[1]), + 362 => self.expression_list_0(&children[0], &children[1], &children[2]), + 363 => self.expression_list_1(), + 364 => self.expression01(&children[0], &children[1]), + 365 => self.expression01_list_0(&children[0], &children[1], &children[2]), + 366 => self.expression01_list_1(), + 367 => self.expression02(&children[0], &children[1]), + 368 => self.expression02_list_0(&children[0], &children[1], &children[2]), + 369 => self.expression02_list_1(), + 370 => self.expression03(&children[0], &children[1]), + 371 => self.expression03_list_0(&children[0], &children[1], &children[2]), + 372 => self.expression03_list_1(), + 373 => self.expression04(&children[0], &children[1]), + 374 => self.expression04_list_0(&children[0], &children[1], &children[2]), + 375 => self.expression04_list_1(), + 376 => self.expression05(&children[0], &children[1]), + 377 => self.expression05_list_0(&children[0], &children[1], &children[2]), + 378 => self.expression05_list_1(), + 379 => self.expression06(&children[0], &children[1]), + 380 => self.expression06_list_0(&children[0], &children[1], &children[2]), + 381 => self.expression06_list_1(), + 382 => self.expression07(&children[0], &children[1]), + 383 => self.expression07_list_0(&children[0], &children[1], &children[2]), + 384 => self.expression07_list_1(), + 385 => self.expression08(&children[0], &children[1]), + 386 => self.expression08_list_0(&children[0], &children[1], &children[2]), + 387 => self.expression08_list_1(), + 388 => self.expression09(&children[0], &children[1]), + 389 => self.expression09_list_0(&children[0], &children[1], &children[2]), + 390 => self.expression09_list_group_0(&children[0]), + 391 => self.expression09_list_group_1(&children[0]), + 392 => self.expression09_list_1(), + 393 => self.expression10(&children[0], &children[1]), + 394 => self.expression10_list_0(&children[0], &children[1], &children[2]), + 395 => self.expression10_list_1(), + 396 => self.expression11(&children[0], &children[1]), + 397 => self.expression11_list_0(&children[0], &children[1], &children[2]), + 398 => self.expression11_list_1(), + 399 => self.expression12(&children[0], &children[1]), + 400 => self.expression12_list_0(&children[0], &children[1]), + 401 => self.expression12_list_group_0(&children[0]), + 402 => self.expression12_list_group_1(&children[0]), + 403 => self.expression12_list_group_2(&children[0]), + 404 => self.expression12_list_group_3(&children[0]), + 405 => self.expression12_list_group_4(&children[0]), + 406 => self.expression12_list_1(), + 407 => self.factor_0(&children[0]), + 408 => self.factor_1(&children[0], &children[1]), + 409 => self.factor_2(&children[0], &children[1], &children[2]), + 410 => self.factor_3(&children[0], &children[1], &children[2]), + 411 => self.factor_4(&children[0], &children[1], &children[2]), + 412 => self.factor_5(&children[0]), + 413 => self.factor_6(&children[0]), + 414 => self.factor_7(&children[0]), + 415 => self.factor_8(&children[0]), + 416 => self.factor_9(&children[0]), + 417 => self.factor_group_0(&children[0]), + 418 => self.factor_group_1(&children[0]), + 419 => self.factor_10(&children[0]), + 420 => self.factor_11(&children[0]), + 421 => self.factor_opt_0(&children[0]), + 422 => self.factor_opt_1(), + 423 => self.function_call(&children[0], &children[1], &children[2]), + 424 => self.function_call_opt_0(&children[0]), + 425 => self.function_call_opt_1(), + 426 => self.argument_list(&children[0], &children[1], &children[2]), + 427 => self.argument_list_list_0(&children[0], &children[1], &children[2]), + 428 => self.argument_list_list_1(), + 429 => self.argument_list_opt_0(&children[0]), + 430 => self.argument_list_opt_1(), + 431 => self.argument_item(&children[0]), + 432 => self.concatenation_list(&children[0], &children[1], &children[2]), + 433 => self.concatenation_list_list_0(&children[0], &children[1], &children[2]), + 434 => self.concatenation_list_list_1(), + 435 => self.concatenation_list_opt_0(&children[0]), + 436 => self.concatenation_list_opt_1(), + 437 => self.concatenation_item(&children[0], &children[1]), + 438 => self.concatenation_item_opt_0(&children[0], &children[1]), + 439 => self.concatenation_item_opt_1(), + 440 => self.array_literal_list(&children[0], &children[1], &children[2]), + 441 => self.array_literal_list_list_0(&children[0], &children[1], &children[2]), + 442 => self.array_literal_list_list_1(), + 443 => self.array_literal_list_opt_0(&children[0]), + 444 => self.array_literal_list_opt_1(), + 445 => self.array_literal_item(&children[0]), + 446 => self.array_literal_item_group_0(&children[0], &children[1]), + 447 => self.array_literal_item_group_1(&children[0], &children[1], &children[2]), + 448 => self.array_literal_item_opt_0(&children[0], &children[1]), + 449 => self.array_literal_item_opt_1(), + 450 => self.if_expression( &children[0], &children[1], &children[2], @@ -33649,7 +34381,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[8], &children[9], ), - 447 => self.if_expression_list_0( + 451 => self.if_expression_list_0( &children[0], &children[1], &children[2], @@ -33658,8 +34390,8 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 448 => self.if_expression_list_1(), - 449 => self.case_expression( + 452 => self.if_expression_list_1(), + 453 => self.case_expression( &children[0], &children[1], &children[2], @@ -33673,103 +34405,122 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[10], &children[11], &children[12], - &children[13], ), - 450 => self.case_expression_list0_0( + 454 => self.case_expression_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], - &children[5], ), - 451 => self.case_expression_list0_list_0(&children[0], &children[1], &children[2]), - 452 => self.case_expression_list0_list_1(), - 453 => self.case_expression_list0_1(), - 454 => self.case_expression_list_0(&children[0], &children[1], &children[2]), 455 => self.case_expression_list_1(), 456 => self.case_expression_opt_0(&children[0]), 457 => self.case_expression_opt_1(), - 458 => self.type_expression_0(&children[0]), - 459 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), - 460 => self.inside_expression( + 458 => self.switch_expression( + &children[0], + &children[1], + &children[2], + &children[3], + &children[4], + &children[5], + &children[6], + &children[7], + &children[8], + &children[9], + &children[10], + &children[11], + ), + 459 => self.switch_expression_list_0( + &children[0], + &children[1], + &children[2], + &children[3], + &children[4], + ), + 460 => self.switch_expression_list_1(), + 461 => self.switch_expression_opt_0(&children[0]), + 462 => self.switch_expression_opt_1(), + 463 => self.type_expression_0(&children[0]), + 464 => self.type_expression_1(&children[0], &children[1], &children[2], &children[3]), + 465 => self.inside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 461 => self.outside_expression( + 466 => self.outside_expression( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 462 => self.range_list(&children[0], &children[1], &children[2]), - 463 => self.range_list_list_0(&children[0], &children[1], &children[2]), - 464 => self.range_list_list_1(), - 465 => self.range_list_opt_0(&children[0]), - 466 => self.range_list_opt_1(), - 467 => self.range_item(&children[0]), - 468 => self.select(&children[0], &children[1], &children[2], &children[3]), - 469 => self.select_opt_0(&children[0], &children[1]), - 470 => self.select_opt_1(), - 471 => self.select_operator_0(&children[0]), - 472 => self.select_operator_1(&children[0]), - 473 => self.select_operator_2(&children[0]), - 474 => self.select_operator_3(&children[0]), - 475 => self.width(&children[0], &children[1], &children[2], &children[3]), - 476 => self.width_list_0(&children[0], &children[1], &children[2]), - 477 => self.width_list_1(), - 478 => self.array(&children[0], &children[1], &children[2], &children[3]), - 479 => self.array_list_0(&children[0], &children[1], &children[2]), - 480 => self.array_list_1(), - 481 => self.range(&children[0], &children[1]), - 482 => self.range_opt_0(&children[0], &children[1]), - 483 => self.range_opt_1(), - 484 => self.range_operator_0(&children[0]), - 485 => self.range_operator_1(&children[0]), - 486 => self.fixed_type_0(&children[0]), - 487 => self.fixed_type_1(&children[0]), - 488 => self.fixed_type_2(&children[0]), - 489 => self.fixed_type_3(&children[0]), - 490 => self.fixed_type_4(&children[0]), - 491 => self.fixed_type_5(&children[0]), - 492 => self.fixed_type_6(&children[0]), - 493 => self.variable_type(&children[0], &children[1]), - 494 => self.variable_type_group_0(&children[0]), - 495 => self.variable_type_group_1(&children[0]), - 496 => self.variable_type_group_2(&children[0]), - 497 => self.variable_type_group_3(&children[0]), - 498 => self.variable_type_group_4(&children[0]), - 499 => self.variable_type_group_5(&children[0]), - 500 => self.variable_type_group_6(&children[0]), - 501 => self.variable_type_group_7(&children[0]), - 502 => self.variable_type_group_8(&children[0]), - 503 => self.variable_type_group_9(&children[0]), - 504 => self.variable_type_group_10(&children[0]), - 505 => self.variable_type_opt_0(&children[0]), - 506 => self.variable_type_opt_1(), - 507 => self.type_modifier_0(&children[0]), - 508 => self.type_modifier_1(&children[0]), - 509 => self.scalar_type(&children[0], &children[1]), - 510 => self.scalar_type_group_0(&children[0]), - 511 => self.scalar_type_group_1(&children[0]), - 512 => self.scalar_type_list_0(&children[0], &children[1]), - 513 => self.scalar_type_list_1(), - 514 => self.array_type(&children[0], &children[1]), - 515 => self.array_type_opt_0(&children[0]), - 516 => self.array_type_opt_1(), - 517 => self.statement_0(&children[0]), - 518 => self.statement_1(&children[0]), - 519 => self.statement_2(&children[0]), - 520 => self.statement_3(&children[0]), - 521 => self.statement_4(&children[0]), - 522 => self.statement_5(&children[0]), - 523 => self.statement_6(&children[0]), - 524 => self.statement_7(&children[0]), - 525 => self.let_statement( + 467 => self.range_list(&children[0], &children[1], &children[2]), + 468 => self.range_list_list_0(&children[0], &children[1], &children[2]), + 469 => self.range_list_list_1(), + 470 => self.range_list_opt_0(&children[0]), + 471 => self.range_list_opt_1(), + 472 => self.range_item(&children[0]), + 473 => self.select(&children[0], &children[1], &children[2], &children[3]), + 474 => self.select_opt_0(&children[0], &children[1]), + 475 => self.select_opt_1(), + 476 => self.select_operator_0(&children[0]), + 477 => self.select_operator_1(&children[0]), + 478 => self.select_operator_2(&children[0]), + 479 => self.select_operator_3(&children[0]), + 480 => self.width(&children[0], &children[1], &children[2], &children[3]), + 481 => self.width_list_0(&children[0], &children[1], &children[2]), + 482 => self.width_list_1(), + 483 => self.array(&children[0], &children[1], &children[2], &children[3]), + 484 => self.array_list_0(&children[0], &children[1], &children[2]), + 485 => self.array_list_1(), + 486 => self.range(&children[0], &children[1]), + 487 => self.range_opt_0(&children[0], &children[1]), + 488 => self.range_opt_1(), + 489 => self.range_operator_0(&children[0]), + 490 => self.range_operator_1(&children[0]), + 491 => self.fixed_type_0(&children[0]), + 492 => self.fixed_type_1(&children[0]), + 493 => self.fixed_type_2(&children[0]), + 494 => self.fixed_type_3(&children[0]), + 495 => self.fixed_type_4(&children[0]), + 496 => self.fixed_type_5(&children[0]), + 497 => self.fixed_type_6(&children[0]), + 498 => self.variable_type(&children[0], &children[1]), + 499 => self.variable_type_group_0(&children[0]), + 500 => self.variable_type_group_1(&children[0]), + 501 => self.variable_type_group_2(&children[0]), + 502 => self.variable_type_group_3(&children[0]), + 503 => self.variable_type_group_4(&children[0]), + 504 => self.variable_type_group_5(&children[0]), + 505 => self.variable_type_group_6(&children[0]), + 506 => self.variable_type_group_7(&children[0]), + 507 => self.variable_type_group_8(&children[0]), + 508 => self.variable_type_group_9(&children[0]), + 509 => self.variable_type_group_10(&children[0]), + 510 => self.variable_type_opt_0(&children[0]), + 511 => self.variable_type_opt_1(), + 512 => self.type_modifier_0(&children[0]), + 513 => self.type_modifier_1(&children[0]), + 514 => self.scalar_type(&children[0], &children[1]), + 515 => self.scalar_type_group_0(&children[0]), + 516 => self.scalar_type_group_1(&children[0]), + 517 => self.scalar_type_list_0(&children[0], &children[1]), + 518 => self.scalar_type_list_1(), + 519 => self.array_type(&children[0], &children[1]), + 520 => self.array_type_opt_0(&children[0]), + 521 => self.array_type_opt_1(), + 522 => self.statement_0(&children[0]), + 523 => self.statement_1(&children[0]), + 524 => self.statement_2(&children[0]), + 525 => self.statement_3(&children[0]), + 526 => self.statement_4(&children[0]), + 527 => self.statement_5(&children[0]), + 528 => self.statement_6(&children[0]), + 529 => self.statement_7(&children[0]), + 530 => self.statement_8(&children[0]), + 531 => self.let_statement( &children[0], &children[1], &children[2], @@ -33778,13 +34529,13 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 526 => self.identifier_statement(&children[0], &children[1], &children[2]), - 527 => self.identifier_statement_group_0(&children[0]), - 528 => self.identifier_statement_group_1(&children[0]), - 529 => self.assignment(&children[0], &children[1]), - 530 => self.assignment_group_0(&children[0]), - 531 => self.assignment_group_1(&children[0]), - 532 => self.if_statement( + 532 => self.identifier_statement(&children[0], &children[1], &children[2]), + 533 => self.identifier_statement_group_0(&children[0]), + 534 => self.identifier_statement_group_1(&children[0]), + 535 => self.assignment(&children[0], &children[1]), + 536 => self.assignment_group_0(&children[0]), + 537 => self.assignment_group_1(&children[0]), + 538 => self.if_statement( &children[0], &children[1], &children[2], @@ -33793,7 +34544,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 533 => self.if_statement_list0_0( + 539 => self.if_statement_list0_0( &children[0], &children[1], &children[2], @@ -33802,16 +34553,16 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 534 => self.if_statement_list0_list_0(&children[0], &children[1]), - 535 => self.if_statement_list0_list_1(), - 536 => self.if_statement_list0_1(), - 537 => self.if_statement_list_0(&children[0], &children[1]), - 538 => self.if_statement_list_1(), - 539 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), - 540 => self.if_statement_opt_list_0(&children[0], &children[1]), - 541 => self.if_statement_opt_list_1(), - 542 => self.if_statement_opt_1(), - 543 => self.if_reset_statement( + 540 => self.if_statement_list0_list_0(&children[0], &children[1]), + 541 => self.if_statement_list0_list_1(), + 542 => self.if_statement_list0_1(), + 543 => self.if_statement_list_0(&children[0], &children[1]), + 544 => self.if_statement_list_1(), + 545 => self.if_statement_opt_0(&children[0], &children[1], &children[2], &children[3]), + 546 => self.if_statement_opt_list_0(&children[0], &children[1]), + 547 => self.if_statement_opt_list_1(), + 548 => self.if_statement_opt_1(), + 549 => self.if_reset_statement( &children[0], &children[1], &children[2], @@ -33819,7 +34570,7 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 544 => self.if_reset_statement_list0_0( + 550 => self.if_reset_statement_list0_0( &children[0], &children[1], &children[2], @@ -33828,23 +34579,23 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 545 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), - 546 => self.if_reset_statement_list0_list_1(), - 547 => self.if_reset_statement_list0_1(), - 548 => self.if_reset_statement_list_0(&children[0], &children[1]), - 549 => self.if_reset_statement_list_1(), - 550 => self.if_reset_statement_opt_0( + 551 => self.if_reset_statement_list0_list_0(&children[0], &children[1]), + 552 => self.if_reset_statement_list0_list_1(), + 553 => self.if_reset_statement_list0_1(), + 554 => self.if_reset_statement_list_0(&children[0], &children[1]), + 555 => self.if_reset_statement_list_1(), + 556 => self.if_reset_statement_opt_0( &children[0], &children[1], &children[2], &children[3], ), - 551 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), - 552 => self.if_reset_statement_opt_list_1(), - 553 => self.if_reset_statement_opt_1(), - 554 => self.return_statement(&children[0], &children[1], &children[2]), - 555 => self.break_statement(&children[0], &children[1]), - 556 => self.for_statement( + 557 => self.if_reset_statement_opt_list_0(&children[0], &children[1]), + 558 => self.if_reset_statement_opt_list_1(), + 559 => self.if_reset_statement_opt_1(), + 560 => self.return_statement(&children[0], &children[1], &children[2]), + 561 => self.break_statement(&children[0], &children[1]), + 562 => self.for_statement( &children[0], &children[1], &children[2], @@ -33856,45 +34607,59 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[8], &children[9], ), - 557 => self.for_statement_list_0(&children[0], &children[1]), - 558 => self.for_statement_list_1(), - 559 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), - 560 => self.for_statement_opt_1(), - 561 => self.case_statement( + 563 => self.for_statement_list_0(&children[0], &children[1]), + 564 => self.for_statement_list_1(), + 565 => self.for_statement_opt_0(&children[0], &children[1], &children[2]), + 566 => self.for_statement_opt_1(), + 567 => self.case_statement( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 562 => self.case_statement_list_0(&children[0], &children[1]), - 563 => self.case_statement_list_1(), - 564 => self.case_item(&children[0], &children[1], &children[2]), - 565 => self.case_item_group0_0(&children[0]), - 566 => self.case_item_group0_1(&children[0], &children[1], &children[2]), - 567 => self.case_item_group0_list_0(&children[0], &children[1]), - 568 => self.case_item_group0_list_1(), - 569 => self.case_item_group_0(&children[0], &children[1]), - 570 => self.case_item_group_list_0(&children[0], &children[1], &children[2]), - 571 => self.case_item_group_list_1(), - 572 => self.case_item_group_1(&children[0]), - 573 => self.attribute( + 568 => self.case_statement_list_0(&children[0], &children[1]), + 569 => self.case_statement_list_1(), + 570 => self.case_item(&children[0], &children[1], &children[2]), + 571 => self.case_item_group0_0(&children[0]), + 572 => self.case_item_group0_1(&children[0], &children[1], &children[2]), + 573 => self.case_item_group0_list_0(&children[0], &children[1]), + 574 => self.case_item_group0_list_1(), + 575 => self.case_item_group_0(&children[0]), + 576 => self.case_item_group_1(&children[0]), + 577 => self.case_condition(&children[0], &children[1]), + 578 => self.case_condition_list_0(&children[0], &children[1], &children[2]), + 579 => self.case_condition_list_1(), + 580 => self.switch_statement(&children[0], &children[1], &children[2], &children[3]), + 581 => self.switch_statement_list_0(&children[0], &children[1]), + 582 => self.switch_statement_list_1(), + 583 => self.switch_item(&children[0], &children[1], &children[2]), + 584 => self.switch_item_group0_0(&children[0]), + 585 => self.switch_item_group0_1(&children[0], &children[1], &children[2]), + 586 => self.switch_item_group0_list_0(&children[0], &children[1]), + 587 => self.switch_item_group0_list_1(), + 588 => self.switch_item_group_0(&children[0]), + 589 => self.switch_item_group_1(&children[0]), + 590 => self.switch_condition(&children[0], &children[1]), + 591 => self.switch_condition_list_0(&children[0], &children[1], &children[2]), + 592 => self.switch_condition_list_1(), + 593 => self.attribute( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 574 => self.attribute_opt_0(&children[0], &children[1], &children[2]), - 575 => self.attribute_opt_1(), - 576 => self.attribute_list(&children[0], &children[1], &children[2]), - 577 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), - 578 => self.attribute_list_list_1(), - 579 => self.attribute_list_opt_0(&children[0]), - 580 => self.attribute_list_opt_1(), - 581 => self.attribute_item_0(&children[0]), - 582 => self.attribute_item_1(&children[0]), - 583 => self.let_declaration( + 594 => self.attribute_opt_0(&children[0], &children[1], &children[2]), + 595 => self.attribute_opt_1(), + 596 => self.attribute_list(&children[0], &children[1], &children[2]), + 597 => self.attribute_list_list_0(&children[0], &children[1], &children[2]), + 598 => self.attribute_list_list_1(), + 599 => self.attribute_list_opt_0(&children[0]), + 600 => self.attribute_list_opt_1(), + 601 => self.attribute_item_0(&children[0]), + 602 => self.attribute_item_1(&children[0]), + 603 => self.let_declaration( &children[0], &children[1], &children[2], @@ -33903,78 +34668,78 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 584 => self.var_declaration( + 604 => self.var_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 585 => self.local_declaration( + 605 => self.local_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 586 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), - 587 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), - 588 => self.type_def_declaration( + 606 => self.local_declaration_group_0(&children[0], &children[1], &children[2]), + 607 => self.local_declaration_group_1(&children[0], &children[1], &children[2]), + 608 => self.type_def_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 589 => self.always_ff_declaration( + 609 => self.always_ff_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 590 => self.always_ff_declaration_list_0(&children[0], &children[1]), - 591 => self.always_ff_declaration_list_1(), - 592 => self.always_ff_declaration_opt_0(&children[0]), - 593 => self.always_ff_declaration_opt_1(), - 594 => { + 610 => self.always_ff_declaration_list_0(&children[0], &children[1]), + 611 => self.always_ff_declaration_list_1(), + 612 => self.always_ff_declaration_opt_0(&children[0]), + 613 => self.always_ff_declaration_opt_1(), + 614 => { self.alwayf_ff_event_list(&children[0], &children[1], &children[2], &children[3]) } - 595 => self.alwayf_ff_event_list_opt_0(&children[0], &children[1]), - 596 => self.alwayf_ff_event_list_opt_1(), - 597 => self.always_ff_clock(&children[0]), - 598 => self.always_ff_reset(&children[0]), - 599 => { + 615 => self.alwayf_ff_event_list_opt_0(&children[0], &children[1]), + 616 => self.alwayf_ff_event_list_opt_1(), + 617 => self.always_ff_clock(&children[0]), + 618 => self.always_ff_reset(&children[0]), + 619 => { self.always_comb_declaration(&children[0], &children[1], &children[2], &children[3]) } - 600 => self.always_comb_declaration_list_0(&children[0], &children[1]), - 601 => self.always_comb_declaration_list_1(), - 602 => self.assign_declaration( + 620 => self.always_comb_declaration_list_0(&children[0], &children[1]), + 621 => self.always_comb_declaration_list_1(), + 622 => self.assign_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 603 => self.modport_declaration( + 623 => self.modport_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 604 => self.modport_list(&children[0], &children[1], &children[2]), - 605 => self.modport_list_list_0(&children[0], &children[1], &children[2]), - 606 => self.modport_list_list_1(), - 607 => self.modport_list_opt_0(&children[0]), - 608 => self.modport_list_opt_1(), - 609 => self.modport_group(&children[0], &children[1]), - 610 => self.modport_group_group_0(&children[0], &children[1], &children[2]), - 611 => self.modport_group_group_1(&children[0]), - 612 => self.modport_group_list_0(&children[0], &children[1]), - 613 => self.modport_group_list_1(), - 614 => self.modport_item(&children[0], &children[1], &children[2]), - 615 => self.enum_declaration( + 624 => self.modport_list(&children[0], &children[1], &children[2]), + 625 => self.modport_list_list_0(&children[0], &children[1], &children[2]), + 626 => self.modport_list_list_1(), + 627 => self.modport_list_opt_0(&children[0]), + 628 => self.modport_list_opt_1(), + 629 => self.modport_group(&children[0], &children[1]), + 630 => self.modport_group_group_0(&children[0], &children[1], &children[2]), + 631 => self.modport_group_group_1(&children[0]), + 632 => self.modport_group_list_0(&children[0], &children[1]), + 633 => self.modport_group_list_1(), + 634 => self.modport_item(&children[0], &children[1], &children[2]), + 635 => self.enum_declaration( &children[0], &children[1], &children[2], @@ -33983,22 +34748,22 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 616 => self.enum_list(&children[0], &children[1], &children[2]), - 617 => self.enum_list_list_0(&children[0], &children[1], &children[2]), - 618 => self.enum_list_list_1(), - 619 => self.enum_list_opt_0(&children[0]), - 620 => self.enum_list_opt_1(), - 621 => self.enum_group(&children[0], &children[1]), - 622 => self.enum_group_group_0(&children[0], &children[1], &children[2]), - 623 => self.enum_group_group_1(&children[0]), - 624 => self.enum_group_list_0(&children[0], &children[1]), - 625 => self.enum_group_list_1(), - 626 => self.enum_item(&children[0], &children[1]), - 627 => self.enum_item_opt_0(&children[0], &children[1]), - 628 => self.enum_item_opt_1(), - 629 => self.struct_union_0(&children[0]), - 630 => self.struct_union_1(&children[0]), - 631 => self.struct_union_declaration( + 636 => self.enum_list(&children[0], &children[1], &children[2]), + 637 => self.enum_list_list_0(&children[0], &children[1], &children[2]), + 638 => self.enum_list_list_1(), + 639 => self.enum_list_opt_0(&children[0]), + 640 => self.enum_list_opt_1(), + 641 => self.enum_group(&children[0], &children[1]), + 642 => self.enum_group_group_0(&children[0], &children[1], &children[2]), + 643 => self.enum_group_group_1(&children[0]), + 644 => self.enum_group_list_0(&children[0], &children[1]), + 645 => self.enum_group_list_1(), + 646 => self.enum_item(&children[0], &children[1]), + 647 => self.enum_item_opt_0(&children[0], &children[1]), + 648 => self.enum_item_opt_1(), + 649 => self.struct_union_0(&children[0]), + 650 => self.struct_union_1(&children[0]), + 651 => self.struct_union_declaration( &children[0], &children[1], &children[2], @@ -34006,26 +34771,26 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 632 => self.struct_union_declaration_opt_0(&children[0]), - 633 => self.struct_union_declaration_opt_1(), - 634 => self.struct_union_list(&children[0], &children[1], &children[2]), - 635 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), - 636 => self.struct_union_list_list_1(), - 637 => self.struct_union_list_opt_0(&children[0]), - 638 => self.struct_union_list_opt_1(), - 639 => self.struct_union_group(&children[0], &children[1]), - 640 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), - 641 => self.struct_union_group_group_1(&children[0]), - 642 => self.struct_union_group_list_0(&children[0], &children[1]), - 643 => self.struct_union_group_list_1(), - 644 => self.struct_union_item(&children[0], &children[1], &children[2]), - 645 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), - 646 => self.initial_declaration_list_0(&children[0], &children[1]), - 647 => self.initial_declaration_list_1(), - 648 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), - 649 => self.final_declaration_list_0(&children[0], &children[1]), - 650 => self.final_declaration_list_1(), - 651 => self.inst_declaration( + 652 => self.struct_union_declaration_opt_0(&children[0]), + 653 => self.struct_union_declaration_opt_1(), + 654 => self.struct_union_list(&children[0], &children[1], &children[2]), + 655 => self.struct_union_list_list_0(&children[0], &children[1], &children[2]), + 656 => self.struct_union_list_list_1(), + 657 => self.struct_union_list_opt_0(&children[0]), + 658 => self.struct_union_list_opt_1(), + 659 => self.struct_union_group(&children[0], &children[1]), + 660 => self.struct_union_group_group_0(&children[0], &children[1], &children[2]), + 661 => self.struct_union_group_group_1(&children[0]), + 662 => self.struct_union_group_list_0(&children[0], &children[1]), + 663 => self.struct_union_group_list_1(), + 664 => self.struct_union_item(&children[0], &children[1], &children[2]), + 665 => self.initial_declaration(&children[0], &children[1], &children[2], &children[3]), + 666 => self.initial_declaration_list_0(&children[0], &children[1]), + 667 => self.initial_declaration_list_1(), + 668 => self.final_declaration(&children[0], &children[1], &children[2], &children[3]), + 669 => self.final_declaration_list_0(&children[0], &children[1]), + 670 => self.final_declaration_list_1(), + 671 => self.inst_declaration( &children[0], &children[1], &children[2], @@ -34035,107 +34800,107 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 652 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), - 653 => self.inst_declaration_opt2_0(&children[0]), - 654 => self.inst_declaration_opt2_1(), - 655 => self.inst_declaration_opt1_1(), - 656 => self.inst_declaration_opt0_0(&children[0]), - 657 => self.inst_declaration_opt0_1(), - 658 => self.inst_declaration_opt_0(&children[0]), - 659 => self.inst_declaration_opt_1(), - 660 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), - 661 => self.inst_parameter_opt_0(&children[0]), - 662 => self.inst_parameter_opt_1(), - 663 => self.inst_parameter_list(&children[0], &children[1], &children[2]), - 664 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), - 665 => self.inst_parameter_list_list_1(), - 666 => self.inst_parameter_list_opt_0(&children[0]), - 667 => self.inst_parameter_list_opt_1(), - 668 => self.inst_parameter_group(&children[0], &children[1]), - 669 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), - 670 => self.inst_parameter_group_group_1(&children[0]), - 671 => self.inst_parameter_group_list_0(&children[0], &children[1]), - 672 => self.inst_parameter_group_list_1(), - 673 => self.inst_parameter_item(&children[0], &children[1]), - 674 => self.inst_parameter_item_opt_0(&children[0], &children[1]), - 675 => self.inst_parameter_item_opt_1(), - 676 => self.inst_port_list(&children[0], &children[1], &children[2]), - 677 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), - 678 => self.inst_port_list_list_1(), - 679 => self.inst_port_list_opt_0(&children[0]), - 680 => self.inst_port_list_opt_1(), - 681 => self.inst_port_group(&children[0], &children[1]), - 682 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), - 683 => self.inst_port_group_group_1(&children[0]), - 684 => self.inst_port_group_list_0(&children[0], &children[1]), - 685 => self.inst_port_group_list_1(), - 686 => self.inst_port_item(&children[0], &children[1]), - 687 => self.inst_port_item_opt_0(&children[0], &children[1]), - 688 => self.inst_port_item_opt_1(), - 689 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), - 690 => self.with_parameter_opt_0(&children[0]), - 691 => self.with_parameter_opt_1(), - 692 => self.with_parameter_list(&children[0], &children[1], &children[2]), - 693 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), - 694 => self.with_parameter_list_list_1(), - 695 => self.with_parameter_list_opt_0(&children[0]), - 696 => self.with_parameter_list_opt_1(), - 697 => self.with_parameter_group(&children[0], &children[1]), - 698 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), - 699 => self.with_parameter_group_group_1(&children[0]), - 700 => self.with_parameter_group_list_0(&children[0], &children[1]), - 701 => self.with_parameter_group_list_1(), - 702 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), - 703 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), - 704 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), - 705 => self.with_parameter_item_group_0(&children[0]), - 706 => self.with_parameter_item_group_1(&children[0]), - 707 => self.with_generic_parameter(&children[0], &children[1], &children[2]), - 708 => self.with_generic_parameter_list(&children[0], &children[1], &children[2]), - 709 => { + 672 => self.inst_declaration_opt1_0(&children[0], &children[1], &children[2]), + 673 => self.inst_declaration_opt2_0(&children[0]), + 674 => self.inst_declaration_opt2_1(), + 675 => self.inst_declaration_opt1_1(), + 676 => self.inst_declaration_opt0_0(&children[0]), + 677 => self.inst_declaration_opt0_1(), + 678 => self.inst_declaration_opt_0(&children[0]), + 679 => self.inst_declaration_opt_1(), + 680 => self.inst_parameter(&children[0], &children[1], &children[2], &children[3]), + 681 => self.inst_parameter_opt_0(&children[0]), + 682 => self.inst_parameter_opt_1(), + 683 => self.inst_parameter_list(&children[0], &children[1], &children[2]), + 684 => self.inst_parameter_list_list_0(&children[0], &children[1], &children[2]), + 685 => self.inst_parameter_list_list_1(), + 686 => self.inst_parameter_list_opt_0(&children[0]), + 687 => self.inst_parameter_list_opt_1(), + 688 => self.inst_parameter_group(&children[0], &children[1]), + 689 => self.inst_parameter_group_group_0(&children[0], &children[1], &children[2]), + 690 => self.inst_parameter_group_group_1(&children[0]), + 691 => self.inst_parameter_group_list_0(&children[0], &children[1]), + 692 => self.inst_parameter_group_list_1(), + 693 => self.inst_parameter_item(&children[0], &children[1]), + 694 => self.inst_parameter_item_opt_0(&children[0], &children[1]), + 695 => self.inst_parameter_item_opt_1(), + 696 => self.inst_port_list(&children[0], &children[1], &children[2]), + 697 => self.inst_port_list_list_0(&children[0], &children[1], &children[2]), + 698 => self.inst_port_list_list_1(), + 699 => self.inst_port_list_opt_0(&children[0]), + 700 => self.inst_port_list_opt_1(), + 701 => self.inst_port_group(&children[0], &children[1]), + 702 => self.inst_port_group_group_0(&children[0], &children[1], &children[2]), + 703 => self.inst_port_group_group_1(&children[0]), + 704 => self.inst_port_group_list_0(&children[0], &children[1]), + 705 => self.inst_port_group_list_1(), + 706 => self.inst_port_item(&children[0], &children[1]), + 707 => self.inst_port_item_opt_0(&children[0], &children[1]), + 708 => self.inst_port_item_opt_1(), + 709 => self.with_parameter(&children[0], &children[1], &children[2], &children[3]), + 710 => self.with_parameter_opt_0(&children[0]), + 711 => self.with_parameter_opt_1(), + 712 => self.with_parameter_list(&children[0], &children[1], &children[2]), + 713 => self.with_parameter_list_list_0(&children[0], &children[1], &children[2]), + 714 => self.with_parameter_list_list_1(), + 715 => self.with_parameter_list_opt_0(&children[0]), + 716 => self.with_parameter_list_opt_1(), + 717 => self.with_parameter_group(&children[0], &children[1]), + 718 => self.with_parameter_group_group_0(&children[0], &children[1], &children[2]), + 719 => self.with_parameter_group_group_1(&children[0]), + 720 => self.with_parameter_group_list_0(&children[0], &children[1]), + 721 => self.with_parameter_group_list_1(), + 722 => self.with_parameter_item(&children[0], &children[1], &children[2], &children[3]), + 723 => self.with_parameter_item_group0_0(&children[0], &children[1], &children[2]), + 724 => self.with_parameter_item_group0_1(&children[0], &children[1], &children[2]), + 725 => self.with_parameter_item_group_0(&children[0]), + 726 => self.with_parameter_item_group_1(&children[0]), + 727 => self.with_generic_parameter(&children[0], &children[1], &children[2]), + 728 => self.with_generic_parameter_list(&children[0], &children[1], &children[2]), + 729 => { self.with_generic_parameter_list_list_0(&children[0], &children[1], &children[2]) } - 710 => self.with_generic_parameter_list_list_1(), - 711 => self.with_generic_parameter_list_opt_0(&children[0]), - 712 => self.with_generic_parameter_list_opt_1(), - 713 => self.with_generic_parameter_item(&children[0], &children[1]), - 714 => self.with_generic_parameter_item_opt_0(&children[0], &children[1]), - 715 => self.with_generic_parameter_item_opt_1(), - 716 => self.with_generic_argument(&children[0], &children[1], &children[2]), - 717 => self.with_generic_argument_opt_0(&children[0]), - 718 => self.with_generic_argument_opt_1(), - 719 => self.with_generic_argument_list(&children[0], &children[1], &children[2]), - 720 => self.with_generic_argument_list_list_0(&children[0], &children[1], &children[2]), - 721 => self.with_generic_argument_list_list_1(), - 722 => self.with_generic_argument_list_opt_0(&children[0]), - 723 => self.with_generic_argument_list_opt_1(), - 724 => self.with_generic_argument_item_0(&children[0]), - 725 => self.with_generic_argument_item_1(&children[0]), - 726 => self.port_declaration(&children[0], &children[1], &children[2]), - 727 => self.port_declaration_opt_0(&children[0]), - 728 => self.port_declaration_opt_1(), - 729 => self.port_declaration_list(&children[0], &children[1], &children[2]), - 730 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), - 731 => self.port_declaration_list_list_1(), - 732 => self.port_declaration_list_opt_0(&children[0]), - 733 => self.port_declaration_list_opt_1(), - 734 => self.port_declaration_group(&children[0], &children[1]), - 735 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), - 736 => self.port_declaration_group_group_1(&children[0]), - 737 => self.port_declaration_group_list_0(&children[0], &children[1]), - 738 => self.port_declaration_group_list_1(), - 739 => self.port_declaration_item(&children[0], &children[1], &children[2]), - 740 => self.port_declaration_item_group_0(&children[0], &children[1]), - 741 => self.port_declaration_item_group_1(&children[0], &children[1]), - 742 => self.port_declaration_item_opt_0(&children[0]), - 743 => self.port_declaration_item_opt_1(), - 744 => self.direction_0(&children[0]), - 745 => self.direction_1(&children[0]), - 746 => self.direction_2(&children[0]), - 747 => self.direction_3(&children[0]), - 748 => self.direction_4(&children[0]), - 749 => self.direction_5(&children[0]), - 750 => self.function_declaration( + 730 => self.with_generic_parameter_list_list_1(), + 731 => self.with_generic_parameter_list_opt_0(&children[0]), + 732 => self.with_generic_parameter_list_opt_1(), + 733 => self.with_generic_parameter_item(&children[0], &children[1]), + 734 => self.with_generic_parameter_item_opt_0(&children[0], &children[1]), + 735 => self.with_generic_parameter_item_opt_1(), + 736 => self.with_generic_argument(&children[0], &children[1], &children[2]), + 737 => self.with_generic_argument_opt_0(&children[0]), + 738 => self.with_generic_argument_opt_1(), + 739 => self.with_generic_argument_list(&children[0], &children[1], &children[2]), + 740 => self.with_generic_argument_list_list_0(&children[0], &children[1], &children[2]), + 741 => self.with_generic_argument_list_list_1(), + 742 => self.with_generic_argument_list_opt_0(&children[0]), + 743 => self.with_generic_argument_list_opt_1(), + 744 => self.with_generic_argument_item_0(&children[0]), + 745 => self.with_generic_argument_item_1(&children[0]), + 746 => self.port_declaration(&children[0], &children[1], &children[2]), + 747 => self.port_declaration_opt_0(&children[0]), + 748 => self.port_declaration_opt_1(), + 749 => self.port_declaration_list(&children[0], &children[1], &children[2]), + 750 => self.port_declaration_list_list_0(&children[0], &children[1], &children[2]), + 751 => self.port_declaration_list_list_1(), + 752 => self.port_declaration_list_opt_0(&children[0]), + 753 => self.port_declaration_list_opt_1(), + 754 => self.port_declaration_group(&children[0], &children[1]), + 755 => self.port_declaration_group_group_0(&children[0], &children[1], &children[2]), + 756 => self.port_declaration_group_group_1(&children[0]), + 757 => self.port_declaration_group_list_0(&children[0], &children[1]), + 758 => self.port_declaration_group_list_1(), + 759 => self.port_declaration_item(&children[0], &children[1], &children[2]), + 760 => self.port_declaration_item_group_0(&children[0], &children[1]), + 761 => self.port_declaration_item_group_1(&children[0], &children[1]), + 762 => self.port_declaration_item_opt_0(&children[0]), + 763 => self.port_declaration_item_opt_1(), + 764 => self.direction_0(&children[0]), + 765 => self.direction_1(&children[0]), + 766 => self.direction_2(&children[0]), + 767 => self.direction_3(&children[0]), + 768 => self.direction_4(&children[0]), + 769 => self.direction_5(&children[0]), + 770 => self.function_declaration( &children[0], &children[1], &children[2], @@ -34145,25 +34910,25 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 751 => self.function_declaration_list_0(&children[0], &children[1]), - 752 => self.function_declaration_list_1(), - 753 => self.function_declaration_opt1_0(&children[0], &children[1]), - 754 => self.function_declaration_opt1_1(), - 755 => self.function_declaration_opt0_0(&children[0]), - 756 => self.function_declaration_opt0_1(), - 757 => self.function_declaration_opt_0(&children[0]), - 758 => self.function_declaration_opt_1(), - 759 => self.function_item_0(&children[0]), - 760 => self.function_item_1(&children[0]), - 761 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), - 762 => self.import_declaration_opt_0(&children[0], &children[1]), - 763 => self.import_declaration_opt_1(), - 764 => self.export_declaration(&children[0], &children[1], &children[2]), - 765 => self.export_declaration_group_0(&children[0]), - 766 => self.export_declaration_group_1(&children[0], &children[1]), - 767 => self.export_declaration_opt_0(&children[0], &children[1]), - 768 => self.export_declaration_opt_1(), - 769 => self.module_declaration( + 771 => self.function_declaration_list_0(&children[0], &children[1]), + 772 => self.function_declaration_list_1(), + 773 => self.function_declaration_opt1_0(&children[0], &children[1]), + 774 => self.function_declaration_opt1_1(), + 775 => self.function_declaration_opt0_0(&children[0]), + 776 => self.function_declaration_opt0_1(), + 777 => self.function_declaration_opt_0(&children[0]), + 778 => self.function_declaration_opt_1(), + 779 => self.function_item_0(&children[0]), + 780 => self.function_item_1(&children[0]), + 781 => self.import_declaration(&children[0], &children[1], &children[2], &children[3]), + 782 => self.import_declaration_opt_0(&children[0], &children[1]), + 783 => self.import_declaration_opt_1(), + 784 => self.export_declaration(&children[0], &children[1], &children[2]), + 785 => self.export_declaration_group_0(&children[0]), + 786 => self.export_declaration_group_1(&children[0], &children[1]), + 787 => self.export_declaration_opt_0(&children[0], &children[1]), + 788 => self.export_declaration_opt_1(), + 789 => self.module_declaration( &children[0], &children[1], &children[2], @@ -34174,34 +34939,34 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[7], &children[8], ), - 770 => self.module_declaration_list_0(&children[0], &children[1]), - 771 => self.module_declaration_list_1(), - 772 => self.module_declaration_opt2_0(&children[0]), - 773 => self.module_declaration_opt2_1(), - 774 => self.module_declaration_opt1_0(&children[0]), - 775 => self.module_declaration_opt1_1(), - 776 => self.module_declaration_opt0_0(&children[0]), - 777 => self.module_declaration_opt0_1(), - 778 => self.module_declaration_opt_0(&children[0]), - 779 => self.module_declaration_opt_1(), - 780 => self.module_if_declaration( + 790 => self.module_declaration_list_0(&children[0], &children[1]), + 791 => self.module_declaration_list_1(), + 792 => self.module_declaration_opt2_0(&children[0]), + 793 => self.module_declaration_opt2_1(), + 794 => self.module_declaration_opt1_0(&children[0]), + 795 => self.module_declaration_opt1_1(), + 796 => self.module_declaration_opt0_0(&children[0]), + 797 => self.module_declaration_opt0_1(), + 798 => self.module_declaration_opt_0(&children[0]), + 799 => self.module_declaration_opt_1(), + 800 => self.module_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 781 => self.module_if_declaration_list_0( + 801 => self.module_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 782 => self.module_if_declaration_list_1(), - 783 => self.module_if_declaration_opt_0(&children[0], &children[1]), - 784 => self.module_if_declaration_opt_1(), - 785 => self.module_for_declaration( + 802 => self.module_if_declaration_list_1(), + 803 => self.module_if_declaration_opt_0(&children[0], &children[1]), + 804 => self.module_if_declaration_opt_1(), + 805 => self.module_for_declaration( &children[0], &children[1], &children[2], @@ -34209,52 +34974,52 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 786 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 787 => self.module_for_declaration_opt_1(), - 788 => self.module_named_block( + 806 => self.module_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 807 => self.module_for_declaration_opt_1(), + 808 => self.module_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 789 => self.module_named_block_list_0(&children[0], &children[1]), - 790 => self.module_named_block_list_1(), - 791 => self.module_optional_named_block( + 809 => self.module_named_block_list_0(&children[0], &children[1]), + 810 => self.module_named_block_list_1(), + 811 => self.module_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 792 => self.module_optional_named_block_list_0(&children[0], &children[1]), - 793 => self.module_optional_named_block_list_1(), - 794 => self.module_optional_named_block_opt_0(&children[0], &children[1]), - 795 => self.module_optional_named_block_opt_1(), - 796 => self.module_group(&children[0], &children[1]), - 797 => self.module_group_group_0(&children[0], &children[1], &children[2]), - 798 => self.module_group_group_list_0(&children[0], &children[1]), - 799 => self.module_group_group_list_1(), - 800 => self.module_group_group_1(&children[0]), - 801 => self.module_group_list_0(&children[0], &children[1]), - 802 => self.module_group_list_1(), - 803 => self.module_item_0(&children[0]), - 804 => self.module_item_1(&children[0]), - 805 => self.module_item_2(&children[0]), - 806 => self.module_item_3(&children[0]), - 807 => self.module_item_4(&children[0]), - 808 => self.module_item_5(&children[0]), - 809 => self.module_item_6(&children[0]), - 810 => self.module_item_7(&children[0]), - 811 => self.module_item_8(&children[0]), - 812 => self.module_item_9(&children[0]), - 813 => self.module_item_10(&children[0]), - 814 => self.module_item_11(&children[0]), - 815 => self.module_item_12(&children[0]), - 816 => self.module_item_13(&children[0]), - 817 => self.module_item_14(&children[0]), - 818 => self.module_item_15(&children[0]), - 819 => self.module_item_16(&children[0]), - 820 => self.interface_declaration( + 812 => self.module_optional_named_block_list_0(&children[0], &children[1]), + 813 => self.module_optional_named_block_list_1(), + 814 => self.module_optional_named_block_opt_0(&children[0], &children[1]), + 815 => self.module_optional_named_block_opt_1(), + 816 => self.module_group(&children[0], &children[1]), + 817 => self.module_group_group_0(&children[0], &children[1], &children[2]), + 818 => self.module_group_group_list_0(&children[0], &children[1]), + 819 => self.module_group_group_list_1(), + 820 => self.module_group_group_1(&children[0]), + 821 => self.module_group_list_0(&children[0], &children[1]), + 822 => self.module_group_list_1(), + 823 => self.module_item_0(&children[0]), + 824 => self.module_item_1(&children[0]), + 825 => self.module_item_2(&children[0]), + 826 => self.module_item_3(&children[0]), + 827 => self.module_item_4(&children[0]), + 828 => self.module_item_5(&children[0]), + 829 => self.module_item_6(&children[0]), + 830 => self.module_item_7(&children[0]), + 831 => self.module_item_8(&children[0]), + 832 => self.module_item_9(&children[0]), + 833 => self.module_item_10(&children[0]), + 834 => self.module_item_11(&children[0]), + 835 => self.module_item_12(&children[0]), + 836 => self.module_item_13(&children[0]), + 837 => self.module_item_14(&children[0]), + 838 => self.module_item_15(&children[0]), + 839 => self.module_item_16(&children[0]), + 840 => self.interface_declaration( &children[0], &children[1], &children[2], @@ -34264,32 +35029,32 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 821 => self.interface_declaration_list_0(&children[0], &children[1]), - 822 => self.interface_declaration_list_1(), - 823 => self.interface_declaration_opt1_0(&children[0]), - 824 => self.interface_declaration_opt1_1(), - 825 => self.interface_declaration_opt0_0(&children[0]), - 826 => self.interface_declaration_opt0_1(), - 827 => self.interface_declaration_opt_0(&children[0]), - 828 => self.interface_declaration_opt_1(), - 829 => self.interface_if_declaration( + 841 => self.interface_declaration_list_0(&children[0], &children[1]), + 842 => self.interface_declaration_list_1(), + 843 => self.interface_declaration_opt1_0(&children[0]), + 844 => self.interface_declaration_opt1_1(), + 845 => self.interface_declaration_opt0_0(&children[0]), + 846 => self.interface_declaration_opt0_1(), + 847 => self.interface_declaration_opt_0(&children[0]), + 848 => self.interface_declaration_opt_1(), + 849 => self.interface_if_declaration( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 830 => self.interface_if_declaration_list_0( + 850 => self.interface_if_declaration_list_0( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 831 => self.interface_if_declaration_list_1(), - 832 => self.interface_if_declaration_opt_0(&children[0], &children[1]), - 833 => self.interface_if_declaration_opt_1(), - 834 => self.interface_for_declaration( + 851 => self.interface_if_declaration_list_1(), + 852 => self.interface_if_declaration_opt_0(&children[0], &children[1]), + 853 => self.interface_if_declaration_opt_1(), + 854 => self.interface_for_declaration( &children[0], &children[1], &children[2], @@ -34297,49 +35062,49 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 835 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), - 836 => self.interface_for_declaration_opt_1(), - 837 => self.interface_named_block( + 855 => self.interface_for_declaration_opt_0(&children[0], &children[1], &children[2]), + 856 => self.interface_for_declaration_opt_1(), + 857 => self.interface_named_block( &children[0], &children[1], &children[2], &children[3], &children[4], ), - 838 => self.interface_named_block_list_0(&children[0], &children[1]), - 839 => self.interface_named_block_list_1(), - 840 => self.interface_optional_named_block( + 858 => self.interface_named_block_list_0(&children[0], &children[1]), + 859 => self.interface_named_block_list_1(), + 860 => self.interface_optional_named_block( &children[0], &children[1], &children[2], &children[3], ), - 841 => self.interface_optional_named_block_list_0(&children[0], &children[1]), - 842 => self.interface_optional_named_block_list_1(), - 843 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), - 844 => self.interface_optional_named_block_opt_1(), - 845 => self.interface_group(&children[0], &children[1]), - 846 => self.interface_group_group_0(&children[0], &children[1], &children[2]), - 847 => self.interface_group_group_list_0(&children[0], &children[1]), - 848 => self.interface_group_group_list_1(), - 849 => self.interface_group_group_1(&children[0]), - 850 => self.interface_group_list_0(&children[0], &children[1]), - 851 => self.interface_group_list_1(), - 852 => self.interface_item_0(&children[0]), - 853 => self.interface_item_1(&children[0]), - 854 => self.interface_item_2(&children[0]), - 855 => self.interface_item_3(&children[0]), - 856 => self.interface_item_4(&children[0]), - 857 => self.interface_item_5(&children[0]), - 858 => self.interface_item_6(&children[0]), - 859 => self.interface_item_7(&children[0]), - 860 => self.interface_item_8(&children[0]), - 861 => self.interface_item_9(&children[0]), - 862 => self.interface_item_10(&children[0]), - 863 => self.interface_item_11(&children[0]), - 864 => self.interface_item_12(&children[0]), - 865 => self.interface_item_13(&children[0]), - 866 => self.package_declaration( + 861 => self.interface_optional_named_block_list_0(&children[0], &children[1]), + 862 => self.interface_optional_named_block_list_1(), + 863 => self.interface_optional_named_block_opt_0(&children[0], &children[1]), + 864 => self.interface_optional_named_block_opt_1(), + 865 => self.interface_group(&children[0], &children[1]), + 866 => self.interface_group_group_0(&children[0], &children[1], &children[2]), + 867 => self.interface_group_group_list_0(&children[0], &children[1]), + 868 => self.interface_group_group_list_1(), + 869 => self.interface_group_group_1(&children[0]), + 870 => self.interface_group_list_0(&children[0], &children[1]), + 871 => self.interface_group_list_1(), + 872 => self.interface_item_0(&children[0]), + 873 => self.interface_item_1(&children[0]), + 874 => self.interface_item_2(&children[0]), + 875 => self.interface_item_3(&children[0]), + 876 => self.interface_item_4(&children[0]), + 877 => self.interface_item_5(&children[0]), + 878 => self.interface_item_6(&children[0]), + 879 => self.interface_item_7(&children[0]), + 880 => self.interface_item_8(&children[0]), + 881 => self.interface_item_9(&children[0]), + 882 => self.interface_item_10(&children[0]), + 883 => self.interface_item_11(&children[0]), + 884 => self.interface_item_12(&children[0]), + 885 => self.interface_item_13(&children[0]), + 886 => self.package_declaration( &children[0], &children[1], &children[2], @@ -34348,30 +35113,30 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 867 => self.package_declaration_list_0(&children[0], &children[1]), - 868 => self.package_declaration_list_1(), - 869 => self.package_declaration_opt0_0(&children[0]), - 870 => self.package_declaration_opt0_1(), - 871 => self.package_declaration_opt_0(&children[0]), - 872 => self.package_declaration_opt_1(), - 873 => self.package_group(&children[0], &children[1]), - 874 => self.package_group_group_0(&children[0], &children[1], &children[2]), - 875 => self.package_group_group_list_0(&children[0], &children[1]), - 876 => self.package_group_group_list_1(), - 877 => self.package_group_group_1(&children[0]), - 878 => self.package_group_list_0(&children[0], &children[1]), - 879 => self.package_group_list_1(), - 880 => self.package_item_0(&children[0]), - 881 => self.package_item_1(&children[0]), - 882 => self.package_item_2(&children[0]), - 883 => self.package_item_3(&children[0]), - 884 => self.package_item_4(&children[0]), - 885 => self.package_item_5(&children[0]), - 886 => self.package_item_6(&children[0]), - 887 => self.package_item_7(&children[0]), - 888 => self.package_item_8(&children[0]), - 889 => self.package_item_9(&children[0]), - 890 => self.embed_declaration( + 887 => self.package_declaration_list_0(&children[0], &children[1]), + 888 => self.package_declaration_list_1(), + 889 => self.package_declaration_opt0_0(&children[0]), + 890 => self.package_declaration_opt0_1(), + 891 => self.package_declaration_opt_0(&children[0]), + 892 => self.package_declaration_opt_1(), + 893 => self.package_group(&children[0], &children[1]), + 894 => self.package_group_group_0(&children[0], &children[1], &children[2]), + 895 => self.package_group_group_list_0(&children[0], &children[1]), + 896 => self.package_group_group_list_1(), + 897 => self.package_group_group_1(&children[0]), + 898 => self.package_group_list_0(&children[0], &children[1]), + 899 => self.package_group_list_1(), + 900 => self.package_item_0(&children[0]), + 901 => self.package_item_1(&children[0]), + 902 => self.package_item_2(&children[0]), + 903 => self.package_item_3(&children[0]), + 904 => self.package_item_4(&children[0]), + 905 => self.package_item_5(&children[0]), + 906 => self.package_item_6(&children[0]), + 907 => self.package_item_7(&children[0]), + 908 => self.package_item_8(&children[0]), + 909 => self.package_item_9(&children[0]), + 910 => self.embed_declaration( &children[0], &children[1], &children[2], @@ -34379,8 +35144,8 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[4], &children[5], ), - 891 => self.embed_content(&children[0]), - 892 => self.embed_content_token( + 911 => self.embed_content(&children[0]), + 912 => self.embed_content_token( &children[0], &children[1], &children[2], @@ -34390,13 +35155,13 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[6], &children[7], ), - 893 => self.embed_content_token_list_0(&children[0], &children[1]), - 894 => self.embed_content_token_list_1(), - 895 => self.embed_item_0(&children[0], &children[1], &children[2]), - 896 => self.embed_item_list_0(&children[0], &children[1]), - 897 => self.embed_item_list_1(), - 898 => self.embed_item_1(&children[0]), - 899 => self.include_declaration( + 913 => self.embed_content_token_list_0(&children[0], &children[1]), + 914 => self.embed_content_token_list_1(), + 915 => self.embed_item_0(&children[0], &children[1], &children[2]), + 916 => self.embed_item_list_0(&children[0], &children[1]), + 917 => self.embed_item_list_1(), + 918 => self.embed_item_1(&children[0]), + 919 => self.include_declaration( &children[0], &children[1], &children[2], @@ -34405,22 +35170,22 @@ impl<'t> UserActionsTrait<'t> for VerylGrammarAuto<'t, '_> { &children[5], &children[6], ), - 900 => self.description_group(&children[0], &children[1]), - 901 => self.description_group_group_0(&children[0], &children[1], &children[2]), - 902 => self.description_group_group_list_0(&children[0], &children[1]), - 903 => self.description_group_group_list_1(), - 904 => self.description_group_group_1(&children[0]), - 905 => self.description_group_list_0(&children[0], &children[1]), - 906 => self.description_group_list_1(), - 907 => self.description_item_0(&children[0]), - 908 => self.description_item_1(&children[0]), - 909 => self.description_item_2(&children[0]), - 910 => self.description_item_3(&children[0]), - 911 => self.description_item_4(&children[0]), - 912 => self.description_item_5(&children[0]), - 913 => self.veryl(&children[0], &children[1]), - 914 => self.veryl_list_0(&children[0], &children[1]), - 915 => self.veryl_list_1(), + 920 => self.description_group(&children[0], &children[1]), + 921 => self.description_group_group_0(&children[0], &children[1], &children[2]), + 922 => self.description_group_group_list_0(&children[0], &children[1]), + 923 => self.description_group_group_list_1(), + 924 => self.description_group_group_1(&children[0]), + 925 => self.description_group_list_0(&children[0], &children[1]), + 926 => self.description_group_list_1(), + 927 => self.description_item_0(&children[0]), + 928 => self.description_item_1(&children[0]), + 929 => self.description_item_2(&children[0]), + 930 => self.description_item_3(&children[0]), + 931 => self.description_item_4(&children[0]), + 932 => self.description_item_5(&children[0]), + 933 => self.veryl(&children[0], &children[1]), + 934 => self.veryl_list_0(&children[0], &children[1]), + 935 => self.veryl_list_1(), _ => Err(ParserError::InternalError(format!( "Unhandled production number: {}", prod_num diff --git a/crates/parser/src/generated/veryl_parser.rs b/crates/parser/src/generated/veryl_parser.rs index 6d13d1cd..e5775be4 100644 --- a/crates/parser/src/generated/veryl_parser.rs +++ b/crates/parser/src/generated/veryl_parser.rs @@ -18,7 +18,7 @@ use parol_runtime::lexer::tokenizer::{ ERROR_TOKEN, NEW_LINE_TOKEN, UNMATCHABLE_TOKEN, WHITESPACE_TOKEN, }; -pub const TERMINALS: &[&str; 115] = &[ +pub const TERMINALS: &[&str; 116] = &[ /* 0 */ UNMATCHABLE_TOKEN, /* 1 */ UNMATCHABLE_TOKEN, /* 2 */ UNMATCHABLE_TOKEN, @@ -125,19 +125,20 @@ pub const TERMINALS: &[&str; 115] = &[ /* 102 */ r"(?-u:\b)step(?-u:\b)", /* 103 */ r"(?-u:\b)string(?-u:\b)", /* 104 */ r"(?-u:\b)struct(?-u:\b)", - /* 105 */ r"(?-u:\b)tri(?-u:\b)", - /* 106 */ r"(?-u:\b)type(?-u:\b)", - /* 107 */ r"(?-u:\b)u32(?-u:\b)", - /* 108 */ r"(?-u:\b)u64(?-u:\b)", - /* 109 */ r"(?-u:\b)union(?-u:\b)", - /* 110 */ r"(?-u:\b)var(?-u:\b)", - /* 111 */ r"\$[a-zA-Z_][0-9a-zA-Z_$]*", - /* 112 */ r"[a-zA-Z_][0-9a-zA-Z_$]*", - /* 113 */ r"[^{}]*", - /* 114 */ ERROR_TOKEN, + /* 105 */ r"(?-u:\b)switch(?-u:\b)", + /* 106 */ r"(?-u:\b)tri(?-u:\b)", + /* 107 */ r"(?-u:\b)type(?-u:\b)", + /* 108 */ r"(?-u:\b)u32(?-u:\b)", + /* 109 */ r"(?-u:\b)u64(?-u:\b)", + /* 110 */ r"(?-u:\b)union(?-u:\b)", + /* 111 */ r"(?-u:\b)var(?-u:\b)", + /* 112 */ r"\$[a-zA-Z_][0-9a-zA-Z_$]*", + /* 113 */ r"[a-zA-Z_][0-9a-zA-Z_$]*", + /* 114 */ r"[^{}]*", + /* 115 */ ERROR_TOKEN, ]; -pub const TERMINAL_NAMES: &[&str; 115] = &[ +pub const TERMINAL_NAMES: &[&str; 116] = &[ /* 0 */ "EndOfInput", /* 1 */ "Newline", /* 2 */ "Whitespace", @@ -243,20 +244,21 @@ pub const TERMINAL_NAMES: &[&str; 115] = &[ /* 102 */ "StepTerm", /* 103 */ "StringTerm", /* 104 */ "StructTerm", - /* 105 */ "TriTerm", - /* 106 */ "TypeTerm", - /* 107 */ "U32Term", - /* 108 */ "U64Term", - /* 109 */ "UnionTerm", - /* 110 */ "VarTerm", - /* 111 */ "DollarIdentifierTerm", - /* 112 */ "IdentifierTerm", - /* 113 */ "AnyTerm", - /* 114 */ "Error", + /* 105 */ "SwitchTerm", + /* 106 */ "TriTerm", + /* 107 */ "TypeTerm", + /* 108 */ "U32Term", + /* 109 */ "U64Term", + /* 110 */ "UnionTerm", + /* 111 */ "VarTerm", + /* 112 */ "DollarIdentifierTerm", + /* 113 */ "IdentifierTerm", + /* 114 */ "AnyTerm", + /* 115 */ "Error", ]; /* SCANNER_0: "INITIAL" */ -const SCANNER_0: (&[&str; 5], &[TerminalIndex; 108]) = ( +const SCANNER_0: (&[&str; 5], &[TerminalIndex; 109]) = ( &[ /* 0 */ UNMATCHABLE_TOKEN, /* 1 */ NEW_LINE_TOKEN, @@ -365,14 +367,15 @@ const SCANNER_0: (&[&str; 5], &[TerminalIndex; 108]) = ( 102, /* StepTerm */ 103, /* StringTerm */ 104, /* StructTerm */ - 105, /* TriTerm */ - 106, /* TypeTerm */ - 107, /* U32Term */ - 108, /* U64Term */ - 109, /* UnionTerm */ - 110, /* VarTerm */ - 111, /* DollarIdentifierTerm */ - 112, /* IdentifierTerm */ + 105, /* SwitchTerm */ + 106, /* TriTerm */ + 107, /* TypeTerm */ + 108, /* U32Term */ + 109, /* U64Term */ + 110, /* UnionTerm */ + 111, /* VarTerm */ + 112, /* DollarIdentifierTerm */ + 113, /* IdentifierTerm */ ], ); @@ -388,12 +391,12 @@ const SCANNER_1: (&[&str; 5], &[TerminalIndex; 3]) = ( &[ 39, /* LBraceTerm */ 43, /* RBraceTerm */ - 113, /* AnyTerm */ + 114, /* AnyTerm */ ], ); /* SCANNER_2: "Generic" */ -const SCANNER_2: (&[&str; 5], &[TerminalIndex; 92]) = ( +const SCANNER_2: (&[&str; 5], &[TerminalIndex; 93]) = ( &[ /* 0 */ UNMATCHABLE_TOKEN, /* 1 */ NEW_LINE_TOKEN, @@ -486,20 +489,21 @@ const SCANNER_2: (&[&str; 5], &[TerminalIndex; 92]) = ( 102, /* StepTerm */ 103, /* StringTerm */ 104, /* StructTerm */ - 105, /* TriTerm */ - 106, /* TypeTerm */ - 107, /* U32Term */ - 108, /* U64Term */ - 109, /* UnionTerm */ - 110, /* VarTerm */ - 111, /* DollarIdentifierTerm */ - 112, /* IdentifierTerm */ + 105, /* SwitchTerm */ + 106, /* TriTerm */ + 107, /* TypeTerm */ + 108, /* U32Term */ + 109, /* U64Term */ + 110, /* UnionTerm */ + 111, /* VarTerm */ + 112, /* DollarIdentifierTerm */ + 113, /* IdentifierTerm */ ], ); const MAX_K: usize = 3; -pub const NON_TERMINALS: &[&str; 640] = &[ +pub const NON_TERMINALS: &[&str; 653] = &[ /* 0 */ "AllBit", /* 1 */ "AllBitTerm", /* 2 */ "AllBitToken", @@ -565,587 +569,600 @@ pub const NON_TERMINALS: &[&str; 640] = &[ /* 62 */ "BreakTerm", /* 63 */ "BreakToken", /* 64 */ "Case", - /* 65 */ "CaseExpression", - /* 66 */ "CaseExpressionList", - /* 67 */ "CaseExpressionList0", - /* 68 */ "CaseExpressionList0List", + /* 65 */ "CaseCondition", + /* 66 */ "CaseConditionList", + /* 67 */ "CaseExpression", + /* 68 */ "CaseExpressionList", /* 69 */ "CaseExpressionOpt", /* 70 */ "CaseItem", /* 71 */ "CaseItemGroup", /* 72 */ "CaseItemGroup0", /* 73 */ "CaseItemGroup0List", - /* 74 */ "CaseItemGroupList", - /* 75 */ "CaseStatement", - /* 76 */ "CaseStatementList", - /* 77 */ "CaseTerm", - /* 78 */ "CaseToken", - /* 79 */ "Clock", - /* 80 */ "ClockNegedge", - /* 81 */ "ClockNegedgeTerm", - /* 82 */ "ClockNegedgeToken", - /* 83 */ "ClockPosedge", - /* 84 */ "ClockPosedgeTerm", - /* 85 */ "ClockPosedgeToken", - /* 86 */ "ClockTerm", - /* 87 */ "ClockToken", - /* 88 */ "Colon", - /* 89 */ "ColonColon", - /* 90 */ "ColonColonLAngle", - /* 91 */ "ColonColonLAngleTerm", - /* 92 */ "ColonColonLAngleToken", - /* 93 */ "ColonColonTerm", - /* 94 */ "ColonColonToken", - /* 95 */ "ColonTerm", - /* 96 */ "ColonToken", - /* 97 */ "Comma", - /* 98 */ "CommaTerm", - /* 99 */ "CommaToken", - /* 100 */ "Comments", - /* 101 */ "CommentsOpt", - /* 102 */ "CommentsTerm", - /* 103 */ "ConcatenationItem", - /* 104 */ "ConcatenationItemOpt", - /* 105 */ "ConcatenationList", - /* 106 */ "ConcatenationListList", - /* 107 */ "ConcatenationListOpt", - /* 108 */ "Defaul", - /* 109 */ "DefaultTerm", - /* 110 */ "DefaultToken", - /* 111 */ "DescriptionGroup", - /* 112 */ "DescriptionGroupGroup", - /* 113 */ "DescriptionGroupGroupList", - /* 114 */ "DescriptionGroupList", - /* 115 */ "DescriptionItem", - /* 116 */ "Direction", - /* 117 */ "DollarIdentifier", - /* 118 */ "DollarIdentifierTerm", - /* 119 */ "DollarIdentifierToken", - /* 120 */ "Dot", - /* 121 */ "DotDot", - /* 122 */ "DotDotEqu", - /* 123 */ "DotDotEquTerm", - /* 124 */ "DotDotEquToken", - /* 125 */ "DotDotTerm", - /* 126 */ "DotDotToken", - /* 127 */ "DotTerm", - /* 128 */ "DotToken", - /* 129 */ "Else", - /* 130 */ "ElseTerm", - /* 131 */ "ElseToken", - /* 132 */ "Embed", - /* 133 */ "EmbedContent", - /* 134 */ "EmbedContentToken", - /* 135 */ "EmbedContentTokenList", - /* 136 */ "EmbedDeclaration", - /* 137 */ "EmbedItem", - /* 138 */ "EmbedItemList", - /* 139 */ "EmbedTerm", - /* 140 */ "EmbedToken", - /* 141 */ "Enum", - /* 142 */ "EnumDeclaration", - /* 143 */ "EnumGroup", - /* 144 */ "EnumGroupGroup", - /* 145 */ "EnumGroupList", - /* 146 */ "EnumItem", - /* 147 */ "EnumItemOpt", - /* 148 */ "EnumList", - /* 149 */ "EnumListList", - /* 150 */ "EnumListOpt", - /* 151 */ "EnumTerm", - /* 152 */ "EnumToken", - /* 153 */ "Equ", - /* 154 */ "EquTerm", - /* 155 */ "EquToken", - /* 156 */ "Exponent", - /* 157 */ "ExponentTerm", - /* 158 */ "ExponentToken", - /* 159 */ "Export", - /* 160 */ "ExportDeclaration", - /* 161 */ "ExportDeclarationGroup", - /* 162 */ "ExportDeclarationOpt", - /* 163 */ "ExportTerm", - /* 164 */ "ExportToken", - /* 165 */ "Expression", - /* 166 */ "Expression01", - /* 167 */ "Expression01List", - /* 168 */ "Expression02", - /* 169 */ "Expression02List", - /* 170 */ "Expression03", - /* 171 */ "Expression03List", - /* 172 */ "Expression04", - /* 173 */ "Expression04List", - /* 174 */ "Expression05", - /* 175 */ "Expression05List", - /* 176 */ "Expression06", - /* 177 */ "Expression06List", - /* 178 */ "Expression07", - /* 179 */ "Expression07List", - /* 180 */ "Expression08", - /* 181 */ "Expression08List", - /* 182 */ "Expression09", - /* 183 */ "Expression09List", - /* 184 */ "Expression09ListGroup", - /* 185 */ "Expression10", - /* 186 */ "Expression10List", - /* 187 */ "Expression11", - /* 188 */ "Expression11List", - /* 189 */ "Expression12", - /* 190 */ "Expression12List", - /* 191 */ "Expression12ListGroup", - /* 192 */ "ExpressionIdentifier", - /* 193 */ "ExpressionIdentifierList", - /* 194 */ "ExpressionIdentifierList0", - /* 195 */ "ExpressionIdentifierList0List", - /* 196 */ "ExpressionList", - /* 197 */ "F32", - /* 198 */ "F32Term", - /* 199 */ "F32Token", - /* 200 */ "F64", - /* 201 */ "F64Term", - /* 202 */ "F64Token", - /* 203 */ "Factor", - /* 204 */ "FactorGroup", - /* 205 */ "FactorOpt", - /* 206 */ "Final", - /* 207 */ "FinalDeclaration", - /* 208 */ "FinalDeclarationList", - /* 209 */ "FinalTerm", - /* 210 */ "FinalToken", - /* 211 */ "FixedPoint", - /* 212 */ "FixedPointTerm", - /* 213 */ "FixedPointToken", - /* 214 */ "FixedType", - /* 215 */ "For", - /* 216 */ "ForStatement", - /* 217 */ "ForStatementList", - /* 218 */ "ForStatementOpt", - /* 219 */ "ForTerm", - /* 220 */ "ForToken", - /* 221 */ "Function", - /* 222 */ "FunctionCall", - /* 223 */ "FunctionCallOpt", - /* 224 */ "FunctionDeclaration", - /* 225 */ "FunctionDeclarationList", - /* 226 */ "FunctionDeclarationOpt", - /* 227 */ "FunctionDeclarationOpt0", - /* 228 */ "FunctionDeclarationOpt1", - /* 229 */ "FunctionItem", - /* 230 */ "FunctionTerm", - /* 231 */ "FunctionToken", - /* 232 */ "Hash", - /* 233 */ "HashTerm", - /* 234 */ "HashToken", - /* 235 */ "HierarchicalIdentifier", - /* 236 */ "HierarchicalIdentifierList", - /* 237 */ "HierarchicalIdentifierList0", - /* 238 */ "HierarchicalIdentifierList0List", - /* 239 */ "I32", - /* 240 */ "I32Term", - /* 241 */ "I32Token", - /* 242 */ "I64", - /* 243 */ "I64Term", - /* 244 */ "I64Token", - /* 245 */ "Identifier", - /* 246 */ "IdentifierStatement", - /* 247 */ "IdentifierStatementGroup", - /* 248 */ "IdentifierTerm", - /* 249 */ "IdentifierToken", - /* 250 */ "If", - /* 251 */ "IfExpression", - /* 252 */ "IfExpressionList", - /* 253 */ "IfReset", - /* 254 */ "IfResetStatement", - /* 255 */ "IfResetStatementList", - /* 256 */ "IfResetStatementList0", - /* 257 */ "IfResetStatementList0List", - /* 258 */ "IfResetStatementOpt", - /* 259 */ "IfResetStatementOptList", - /* 260 */ "IfResetTerm", - /* 261 */ "IfResetToken", - /* 262 */ "IfStatement", - /* 263 */ "IfStatementList", - /* 264 */ "IfStatementList0", - /* 265 */ "IfStatementList0List", - /* 266 */ "IfStatementOpt", - /* 267 */ "IfStatementOptList", - /* 268 */ "IfTerm", - /* 269 */ "IfToken", - /* 270 */ "Import", - /* 271 */ "ImportDeclaration", - /* 272 */ "ImportDeclarationOpt", - /* 273 */ "ImportTerm", - /* 274 */ "ImportToken", - /* 275 */ "In", - /* 276 */ "InTerm", - /* 277 */ "InToken", - /* 278 */ "Include", - /* 279 */ "IncludeDeclaration", - /* 280 */ "IncludeTerm", - /* 281 */ "IncludeToken", - /* 282 */ "Initial", - /* 283 */ "InitialDeclaration", - /* 284 */ "InitialDeclarationList", - /* 285 */ "InitialTerm", - /* 286 */ "InitialToken", - /* 287 */ "Inout", - /* 288 */ "InoutTerm", - /* 289 */ "InoutToken", - /* 290 */ "Input", - /* 291 */ "InputTerm", - /* 292 */ "InputToken", - /* 293 */ "Inside", - /* 294 */ "InsideExpression", - /* 295 */ "InsideTerm", - /* 296 */ "InsideToken", - /* 297 */ "Inst", - /* 298 */ "InstDeclaration", - /* 299 */ "InstDeclarationOpt", - /* 300 */ "InstDeclarationOpt0", - /* 301 */ "InstDeclarationOpt1", - /* 302 */ "InstDeclarationOpt2", - /* 303 */ "InstParameter", - /* 304 */ "InstParameterGroup", - /* 305 */ "InstParameterGroupGroup", - /* 306 */ "InstParameterGroupList", - /* 307 */ "InstParameterItem", - /* 308 */ "InstParameterItemOpt", - /* 309 */ "InstParameterList", - /* 310 */ "InstParameterListList", - /* 311 */ "InstParameterListOpt", - /* 312 */ "InstParameterOpt", - /* 313 */ "InstPortGroup", - /* 314 */ "InstPortGroupGroup", - /* 315 */ "InstPortGroupList", - /* 316 */ "InstPortItem", - /* 317 */ "InstPortItemOpt", - /* 318 */ "InstPortList", - /* 319 */ "InstPortListList", - /* 320 */ "InstPortListOpt", - /* 321 */ "InstTerm", - /* 322 */ "InstToken", - /* 323 */ "IntegralNumber", - /* 324 */ "Interface", - /* 325 */ "InterfaceDeclaration", - /* 326 */ "InterfaceDeclarationList", - /* 327 */ "InterfaceDeclarationOpt", - /* 328 */ "InterfaceDeclarationOpt0", - /* 329 */ "InterfaceDeclarationOpt1", - /* 330 */ "InterfaceForDeclaration", - /* 331 */ "InterfaceForDeclarationOpt", - /* 332 */ "InterfaceGroup", - /* 333 */ "InterfaceGroupGroup", - /* 334 */ "InterfaceGroupGroupList", - /* 335 */ "InterfaceGroupList", - /* 336 */ "InterfaceIfDeclaration", - /* 337 */ "InterfaceIfDeclarationList", - /* 338 */ "InterfaceIfDeclarationOpt", - /* 339 */ "InterfaceItem", - /* 340 */ "InterfaceNamedBlock", - /* 341 */ "InterfaceNamedBlockList", - /* 342 */ "InterfaceOptionalNamedBlock", - /* 343 */ "InterfaceOptionalNamedBlockList", - /* 344 */ "InterfaceOptionalNamedBlockOpt", - /* 345 */ "InterfaceTerm", - /* 346 */ "InterfaceToken", - /* 347 */ "LAngle", - /* 348 */ "LAngleTerm", - /* 349 */ "LAngleToken", - /* 350 */ "LBrace", - /* 351 */ "LBraceTerm", - /* 352 */ "LBraceToken", - /* 353 */ "LBracket", - /* 354 */ "LBracketTerm", - /* 355 */ "LBracketToken", - /* 356 */ "LParen", - /* 357 */ "LParenTerm", - /* 358 */ "LParenToken", - /* 359 */ "Let", - /* 360 */ "LetDeclaration", - /* 361 */ "LetStatement", - /* 362 */ "LetTerm", - /* 363 */ "LetToken", - /* 364 */ "Local", - /* 365 */ "LocalDeclaration", - /* 366 */ "LocalDeclarationGroup", - /* 367 */ "LocalTerm", - /* 368 */ "LocalToken", - /* 369 */ "Logic", - /* 370 */ "LogicTerm", - /* 371 */ "LogicToken", - /* 372 */ "Lsb", - /* 373 */ "LsbTerm", - /* 374 */ "LsbToken", - /* 375 */ "MinusColon", - /* 376 */ "MinusColonTerm", - /* 377 */ "MinusColonToken", - /* 378 */ "MinusGT", - /* 379 */ "MinusGTTerm", - /* 380 */ "MinusGTToken", - /* 381 */ "Modport", - /* 382 */ "ModportDeclaration", - /* 383 */ "ModportGroup", - /* 384 */ "ModportGroupGroup", - /* 385 */ "ModportGroupList", - /* 386 */ "ModportItem", - /* 387 */ "ModportList", - /* 388 */ "ModportListList", - /* 389 */ "ModportListOpt", - /* 390 */ "ModportTerm", - /* 391 */ "ModportToken", - /* 392 */ "Module", - /* 393 */ "ModuleDeclaration", - /* 394 */ "ModuleDeclarationList", - /* 395 */ "ModuleDeclarationOpt", - /* 396 */ "ModuleDeclarationOpt0", - /* 397 */ "ModuleDeclarationOpt1", - /* 398 */ "ModuleDeclarationOpt2", - /* 399 */ "ModuleForDeclaration", - /* 400 */ "ModuleForDeclarationOpt", - /* 401 */ "ModuleGroup", - /* 402 */ "ModuleGroupGroup", - /* 403 */ "ModuleGroupGroupList", - /* 404 */ "ModuleGroupList", - /* 405 */ "ModuleIfDeclaration", - /* 406 */ "ModuleIfDeclarationList", - /* 407 */ "ModuleIfDeclarationOpt", - /* 408 */ "ModuleItem", - /* 409 */ "ModuleNamedBlock", - /* 410 */ "ModuleNamedBlockList", - /* 411 */ "ModuleOptionalNamedBlock", - /* 412 */ "ModuleOptionalNamedBlockList", - /* 413 */ "ModuleOptionalNamedBlockOpt", - /* 414 */ "ModuleTerm", - /* 415 */ "ModuleToken", - /* 416 */ "Msb", - /* 417 */ "MsbTerm", - /* 418 */ "MsbToken", - /* 419 */ "Number", - /* 420 */ "Operator01", - /* 421 */ "Operator01Term", - /* 422 */ "Operator01Token", - /* 423 */ "Operator02", - /* 424 */ "Operator02Term", - /* 425 */ "Operator02Token", - /* 426 */ "Operator03", - /* 427 */ "Operator03Term", - /* 428 */ "Operator03Token", - /* 429 */ "Operator04", - /* 430 */ "Operator04Term", - /* 431 */ "Operator04Token", - /* 432 */ "Operator05", - /* 433 */ "Operator05Term", - /* 434 */ "Operator05Token", - /* 435 */ "Operator06", - /* 436 */ "Operator06Term", - /* 437 */ "Operator06Token", - /* 438 */ "Operator07", - /* 439 */ "Operator07Term", - /* 440 */ "Operator07Token", - /* 441 */ "Operator08", - /* 442 */ "Operator08Term", - /* 443 */ "Operator08Token", - /* 444 */ "Operator09", - /* 445 */ "Operator09Term", - /* 446 */ "Operator09Token", - /* 447 */ "Operator10", - /* 448 */ "Operator10Term", - /* 449 */ "Operator10Token", - /* 450 */ "Operator11", - /* 451 */ "Operator11Term", - /* 452 */ "Operator11Token", - /* 453 */ "Output", - /* 454 */ "OutputTerm", - /* 455 */ "OutputToken", - /* 456 */ "Outside", - /* 457 */ "OutsideExpression", - /* 458 */ "OutsideTerm", - /* 459 */ "OutsideToken", - /* 460 */ "Package", - /* 461 */ "PackageDeclaration", - /* 462 */ "PackageDeclarationList", - /* 463 */ "PackageDeclarationOpt", - /* 464 */ "PackageDeclarationOpt0", - /* 465 */ "PackageGroup", - /* 466 */ "PackageGroupGroup", - /* 467 */ "PackageGroupGroupList", - /* 468 */ "PackageGroupList", - /* 469 */ "PackageItem", - /* 470 */ "PackageTerm", - /* 471 */ "PackageToken", - /* 472 */ "Param", - /* 473 */ "ParamTerm", - /* 474 */ "ParamToken", - /* 475 */ "PlusColon", - /* 476 */ "PlusColonTerm", - /* 477 */ "PlusColonToken", - /* 478 */ "PortDeclaration", - /* 479 */ "PortDeclarationGroup", - /* 480 */ "PortDeclarationGroupGroup", - /* 481 */ "PortDeclarationGroupList", - /* 482 */ "PortDeclarationItem", - /* 483 */ "PortDeclarationItemGroup", - /* 484 */ "PortDeclarationItemOpt", - /* 485 */ "PortDeclarationList", - /* 486 */ "PortDeclarationListList", - /* 487 */ "PortDeclarationListOpt", - /* 488 */ "PortDeclarationOpt", - /* 489 */ "Pub", - /* 490 */ "PubTerm", - /* 491 */ "PubToken", - /* 492 */ "QuoteLBrace", - /* 493 */ "QuoteLBraceTerm", - /* 494 */ "QuoteLBraceToken", - /* 495 */ "RAngle", - /* 496 */ "RAngleTerm", - /* 497 */ "RAngleToken", - /* 498 */ "RBrace", - /* 499 */ "RBraceTerm", - /* 500 */ "RBraceToken", - /* 501 */ "RBracket", - /* 502 */ "RBracketTerm", - /* 503 */ "RBracketToken", - /* 504 */ "RParen", - /* 505 */ "RParenTerm", - /* 506 */ "RParenToken", - /* 507 */ "Range", - /* 508 */ "RangeItem", - /* 509 */ "RangeList", - /* 510 */ "RangeListList", - /* 511 */ "RangeListOpt", - /* 512 */ "RangeOperator", - /* 513 */ "RangeOpt", - /* 514 */ "RealNumber", - /* 515 */ "Ref", - /* 516 */ "RefTerm", - /* 517 */ "RefToken", - /* 518 */ "Repeat", - /* 519 */ "RepeatTerm", - /* 520 */ "RepeatToken", - /* 521 */ "Reset", - /* 522 */ "ResetAsyncHigh", - /* 523 */ "ResetAsyncHighTerm", - /* 524 */ "ResetAsyncHighToken", - /* 525 */ "ResetAsyncLow", - /* 526 */ "ResetAsyncLowTerm", - /* 527 */ "ResetAsyncLowToken", - /* 528 */ "ResetSyncHigh", - /* 529 */ "ResetSyncHighTerm", - /* 530 */ "ResetSyncHighToken", - /* 531 */ "ResetSyncLow", - /* 532 */ "ResetSyncLowTerm", - /* 533 */ "ResetSyncLowToken", - /* 534 */ "ResetTerm", - /* 535 */ "ResetToken", - /* 536 */ "Return", - /* 537 */ "ReturnStatement", - /* 538 */ "ReturnTerm", - /* 539 */ "ReturnToken", - /* 540 */ "ScalarType", - /* 541 */ "ScalarTypeGroup", - /* 542 */ "ScalarTypeList", - /* 543 */ "ScopedIdentifier", - /* 544 */ "ScopedIdentifierGroup", - /* 545 */ "ScopedIdentifierList", - /* 546 */ "ScopedIdentifierOpt", - /* 547 */ "ScopedIdentifierOpt0", - /* 548 */ "Select", - /* 549 */ "SelectOperator", - /* 550 */ "SelectOpt", - /* 551 */ "Semicolon", - /* 552 */ "SemicolonTerm", - /* 553 */ "SemicolonToken", - /* 554 */ "Signed", - /* 555 */ "SignedTerm", - /* 556 */ "SignedToken", - /* 557 */ "Star", - /* 558 */ "StarTerm", - /* 559 */ "StarToken", - /* 560 */ "Start", - /* 561 */ "StartToken", - /* 562 */ "Statement", - /* 563 */ "Step", - /* 564 */ "StepTerm", - /* 565 */ "StepToken", - /* 566 */ "Strin", - /* 567 */ "StringLiteral", - /* 568 */ "StringLiteralTerm", - /* 569 */ "StringLiteralToken", - /* 570 */ "StringTerm", - /* 571 */ "StringToken", - /* 572 */ "Struct", - /* 573 */ "StructTerm", - /* 574 */ "StructToken", - /* 575 */ "StructUnion", - /* 576 */ "StructUnionDeclaration", - /* 577 */ "StructUnionDeclarationOpt", - /* 578 */ "StructUnionGroup", - /* 579 */ "StructUnionGroupGroup", - /* 580 */ "StructUnionGroupList", - /* 581 */ "StructUnionItem", - /* 582 */ "StructUnionList", - /* 583 */ "StructUnionListList", - /* 584 */ "StructUnionListOpt", - /* 585 */ "Tri", - /* 586 */ "TriTerm", - /* 587 */ "TriToken", - /* 588 */ "Type", - /* 589 */ "TypeDefDeclaration", - /* 590 */ "TypeExpression", - /* 591 */ "TypeModifier", - /* 592 */ "TypeTerm", - /* 593 */ "TypeToken", - /* 594 */ "U32", - /* 595 */ "U32Term", - /* 596 */ "U32Token", - /* 597 */ "U64", - /* 598 */ "U64Term", - /* 599 */ "U64Token", - /* 600 */ "UnaryOperator", - /* 601 */ "UnaryOperatorTerm", - /* 602 */ "UnaryOperatorToken", - /* 603 */ "Union", - /* 604 */ "UnionTerm", - /* 605 */ "UnionToken", - /* 606 */ "Var", - /* 607 */ "VarDeclaration", - /* 608 */ "VarTerm", - /* 609 */ "VarToken", - /* 610 */ "VariableType", - /* 611 */ "VariableTypeGroup", - /* 612 */ "VariableTypeOpt", - /* 613 */ "Veryl", - /* 614 */ "VerylList", - /* 615 */ "Width", - /* 616 */ "WidthList", - /* 617 */ "WithGenericArgument", - /* 618 */ "WithGenericArgumentItem", - /* 619 */ "WithGenericArgumentList", - /* 620 */ "WithGenericArgumentListList", - /* 621 */ "WithGenericArgumentListOpt", - /* 622 */ "WithGenericArgumentOpt", - /* 623 */ "WithGenericParameter", - /* 624 */ "WithGenericParameterItem", - /* 625 */ "WithGenericParameterItemOpt", - /* 626 */ "WithGenericParameterList", - /* 627 */ "WithGenericParameterListList", - /* 628 */ "WithGenericParameterListOpt", - /* 629 */ "WithParameter", - /* 630 */ "WithParameterGroup", - /* 631 */ "WithParameterGroupGroup", - /* 632 */ "WithParameterGroupList", - /* 633 */ "WithParameterItem", - /* 634 */ "WithParameterItemGroup", - /* 635 */ "WithParameterItemGroup0", - /* 636 */ "WithParameterList", - /* 637 */ "WithParameterListList", - /* 638 */ "WithParameterListOpt", - /* 639 */ "WithParameterOpt", + /* 74 */ "CaseStatement", + /* 75 */ "CaseStatementList", + /* 76 */ "CaseTerm", + /* 77 */ "CaseToken", + /* 78 */ "Clock", + /* 79 */ "ClockNegedge", + /* 80 */ "ClockNegedgeTerm", + /* 81 */ "ClockNegedgeToken", + /* 82 */ "ClockPosedge", + /* 83 */ "ClockPosedgeTerm", + /* 84 */ "ClockPosedgeToken", + /* 85 */ "ClockTerm", + /* 86 */ "ClockToken", + /* 87 */ "Colon", + /* 88 */ "ColonColon", + /* 89 */ "ColonColonLAngle", + /* 90 */ "ColonColonLAngleTerm", + /* 91 */ "ColonColonLAngleToken", + /* 92 */ "ColonColonTerm", + /* 93 */ "ColonColonToken", + /* 94 */ "ColonTerm", + /* 95 */ "ColonToken", + /* 96 */ "Comma", + /* 97 */ "CommaTerm", + /* 98 */ "CommaToken", + /* 99 */ "Comments", + /* 100 */ "CommentsOpt", + /* 101 */ "CommentsTerm", + /* 102 */ "ConcatenationItem", + /* 103 */ "ConcatenationItemOpt", + /* 104 */ "ConcatenationList", + /* 105 */ "ConcatenationListList", + /* 106 */ "ConcatenationListOpt", + /* 107 */ "Defaul", + /* 108 */ "DefaultTerm", + /* 109 */ "DefaultToken", + /* 110 */ "DescriptionGroup", + /* 111 */ "DescriptionGroupGroup", + /* 112 */ "DescriptionGroupGroupList", + /* 113 */ "DescriptionGroupList", + /* 114 */ "DescriptionItem", + /* 115 */ "Direction", + /* 116 */ "DollarIdentifier", + /* 117 */ "DollarIdentifierTerm", + /* 118 */ "DollarIdentifierToken", + /* 119 */ "Dot", + /* 120 */ "DotDot", + /* 121 */ "DotDotEqu", + /* 122 */ "DotDotEquTerm", + /* 123 */ "DotDotEquToken", + /* 124 */ "DotDotTerm", + /* 125 */ "DotDotToken", + /* 126 */ "DotTerm", + /* 127 */ "DotToken", + /* 128 */ "Else", + /* 129 */ "ElseTerm", + /* 130 */ "ElseToken", + /* 131 */ "Embed", + /* 132 */ "EmbedContent", + /* 133 */ "EmbedContentToken", + /* 134 */ "EmbedContentTokenList", + /* 135 */ "EmbedDeclaration", + /* 136 */ "EmbedItem", + /* 137 */ "EmbedItemList", + /* 138 */ "EmbedTerm", + /* 139 */ "EmbedToken", + /* 140 */ "Enum", + /* 141 */ "EnumDeclaration", + /* 142 */ "EnumGroup", + /* 143 */ "EnumGroupGroup", + /* 144 */ "EnumGroupList", + /* 145 */ "EnumItem", + /* 146 */ "EnumItemOpt", + /* 147 */ "EnumList", + /* 148 */ "EnumListList", + /* 149 */ "EnumListOpt", + /* 150 */ "EnumTerm", + /* 151 */ "EnumToken", + /* 152 */ "Equ", + /* 153 */ "EquTerm", + /* 154 */ "EquToken", + /* 155 */ "Exponent", + /* 156 */ "ExponentTerm", + /* 157 */ "ExponentToken", + /* 158 */ "Export", + /* 159 */ "ExportDeclaration", + /* 160 */ "ExportDeclarationGroup", + /* 161 */ "ExportDeclarationOpt", + /* 162 */ "ExportTerm", + /* 163 */ "ExportToken", + /* 164 */ "Expression", + /* 165 */ "Expression01", + /* 166 */ "Expression01List", + /* 167 */ "Expression02", + /* 168 */ "Expression02List", + /* 169 */ "Expression03", + /* 170 */ "Expression03List", + /* 171 */ "Expression04", + /* 172 */ "Expression04List", + /* 173 */ "Expression05", + /* 174 */ "Expression05List", + /* 175 */ "Expression06", + /* 176 */ "Expression06List", + /* 177 */ "Expression07", + /* 178 */ "Expression07List", + /* 179 */ "Expression08", + /* 180 */ "Expression08List", + /* 181 */ "Expression09", + /* 182 */ "Expression09List", + /* 183 */ "Expression09ListGroup", + /* 184 */ "Expression10", + /* 185 */ "Expression10List", + /* 186 */ "Expression11", + /* 187 */ "Expression11List", + /* 188 */ "Expression12", + /* 189 */ "Expression12List", + /* 190 */ "Expression12ListGroup", + /* 191 */ "ExpressionIdentifier", + /* 192 */ "ExpressionIdentifierList", + /* 193 */ "ExpressionIdentifierList0", + /* 194 */ "ExpressionIdentifierList0List", + /* 195 */ "ExpressionList", + /* 196 */ "F32", + /* 197 */ "F32Term", + /* 198 */ "F32Token", + /* 199 */ "F64", + /* 200 */ "F64Term", + /* 201 */ "F64Token", + /* 202 */ "Factor", + /* 203 */ "FactorGroup", + /* 204 */ "FactorOpt", + /* 205 */ "Final", + /* 206 */ "FinalDeclaration", + /* 207 */ "FinalDeclarationList", + /* 208 */ "FinalTerm", + /* 209 */ "FinalToken", + /* 210 */ "FixedPoint", + /* 211 */ "FixedPointTerm", + /* 212 */ "FixedPointToken", + /* 213 */ "FixedType", + /* 214 */ "For", + /* 215 */ "ForStatement", + /* 216 */ "ForStatementList", + /* 217 */ "ForStatementOpt", + /* 218 */ "ForTerm", + /* 219 */ "ForToken", + /* 220 */ "Function", + /* 221 */ "FunctionCall", + /* 222 */ "FunctionCallOpt", + /* 223 */ "FunctionDeclaration", + /* 224 */ "FunctionDeclarationList", + /* 225 */ "FunctionDeclarationOpt", + /* 226 */ "FunctionDeclarationOpt0", + /* 227 */ "FunctionDeclarationOpt1", + /* 228 */ "FunctionItem", + /* 229 */ "FunctionTerm", + /* 230 */ "FunctionToken", + /* 231 */ "Hash", + /* 232 */ "HashTerm", + /* 233 */ "HashToken", + /* 234 */ "HierarchicalIdentifier", + /* 235 */ "HierarchicalIdentifierList", + /* 236 */ "HierarchicalIdentifierList0", + /* 237 */ "HierarchicalIdentifierList0List", + /* 238 */ "I32", + /* 239 */ "I32Term", + /* 240 */ "I32Token", + /* 241 */ "I64", + /* 242 */ "I64Term", + /* 243 */ "I64Token", + /* 244 */ "Identifier", + /* 245 */ "IdentifierStatement", + /* 246 */ "IdentifierStatementGroup", + /* 247 */ "IdentifierTerm", + /* 248 */ "IdentifierToken", + /* 249 */ "If", + /* 250 */ "IfExpression", + /* 251 */ "IfExpressionList", + /* 252 */ "IfReset", + /* 253 */ "IfResetStatement", + /* 254 */ "IfResetStatementList", + /* 255 */ "IfResetStatementList0", + /* 256 */ "IfResetStatementList0List", + /* 257 */ "IfResetStatementOpt", + /* 258 */ "IfResetStatementOptList", + /* 259 */ "IfResetTerm", + /* 260 */ "IfResetToken", + /* 261 */ "IfStatement", + /* 262 */ "IfStatementList", + /* 263 */ "IfStatementList0", + /* 264 */ "IfStatementList0List", + /* 265 */ "IfStatementOpt", + /* 266 */ "IfStatementOptList", + /* 267 */ "IfTerm", + /* 268 */ "IfToken", + /* 269 */ "Import", + /* 270 */ "ImportDeclaration", + /* 271 */ "ImportDeclarationOpt", + /* 272 */ "ImportTerm", + /* 273 */ "ImportToken", + /* 274 */ "In", + /* 275 */ "InTerm", + /* 276 */ "InToken", + /* 277 */ "Include", + /* 278 */ "IncludeDeclaration", + /* 279 */ "IncludeTerm", + /* 280 */ "IncludeToken", + /* 281 */ "Initial", + /* 282 */ "InitialDeclaration", + /* 283 */ "InitialDeclarationList", + /* 284 */ "InitialTerm", + /* 285 */ "InitialToken", + /* 286 */ "Inout", + /* 287 */ "InoutTerm", + /* 288 */ "InoutToken", + /* 289 */ "Input", + /* 290 */ "InputTerm", + /* 291 */ "InputToken", + /* 292 */ "Inside", + /* 293 */ "InsideExpression", + /* 294 */ "InsideTerm", + /* 295 */ "InsideToken", + /* 296 */ "Inst", + /* 297 */ "InstDeclaration", + /* 298 */ "InstDeclarationOpt", + /* 299 */ "InstDeclarationOpt0", + /* 300 */ "InstDeclarationOpt1", + /* 301 */ "InstDeclarationOpt2", + /* 302 */ "InstParameter", + /* 303 */ "InstParameterGroup", + /* 304 */ "InstParameterGroupGroup", + /* 305 */ "InstParameterGroupList", + /* 306 */ "InstParameterItem", + /* 307 */ "InstParameterItemOpt", + /* 308 */ "InstParameterList", + /* 309 */ "InstParameterListList", + /* 310 */ "InstParameterListOpt", + /* 311 */ "InstParameterOpt", + /* 312 */ "InstPortGroup", + /* 313 */ "InstPortGroupGroup", + /* 314 */ "InstPortGroupList", + /* 315 */ "InstPortItem", + /* 316 */ "InstPortItemOpt", + /* 317 */ "InstPortList", + /* 318 */ "InstPortListList", + /* 319 */ "InstPortListOpt", + /* 320 */ "InstTerm", + /* 321 */ "InstToken", + /* 322 */ "IntegralNumber", + /* 323 */ "Interface", + /* 324 */ "InterfaceDeclaration", + /* 325 */ "InterfaceDeclarationList", + /* 326 */ "InterfaceDeclarationOpt", + /* 327 */ "InterfaceDeclarationOpt0", + /* 328 */ "InterfaceDeclarationOpt1", + /* 329 */ "InterfaceForDeclaration", + /* 330 */ "InterfaceForDeclarationOpt", + /* 331 */ "InterfaceGroup", + /* 332 */ "InterfaceGroupGroup", + /* 333 */ "InterfaceGroupGroupList", + /* 334 */ "InterfaceGroupList", + /* 335 */ "InterfaceIfDeclaration", + /* 336 */ "InterfaceIfDeclarationList", + /* 337 */ "InterfaceIfDeclarationOpt", + /* 338 */ "InterfaceItem", + /* 339 */ "InterfaceNamedBlock", + /* 340 */ "InterfaceNamedBlockList", + /* 341 */ "InterfaceOptionalNamedBlock", + /* 342 */ "InterfaceOptionalNamedBlockList", + /* 343 */ "InterfaceOptionalNamedBlockOpt", + /* 344 */ "InterfaceTerm", + /* 345 */ "InterfaceToken", + /* 346 */ "LAngle", + /* 347 */ "LAngleTerm", + /* 348 */ "LAngleToken", + /* 349 */ "LBrace", + /* 350 */ "LBraceTerm", + /* 351 */ "LBraceToken", + /* 352 */ "LBracket", + /* 353 */ "LBracketTerm", + /* 354 */ "LBracketToken", + /* 355 */ "LParen", + /* 356 */ "LParenTerm", + /* 357 */ "LParenToken", + /* 358 */ "Let", + /* 359 */ "LetDeclaration", + /* 360 */ "LetStatement", + /* 361 */ "LetTerm", + /* 362 */ "LetToken", + /* 363 */ "Local", + /* 364 */ "LocalDeclaration", + /* 365 */ "LocalDeclarationGroup", + /* 366 */ "LocalTerm", + /* 367 */ "LocalToken", + /* 368 */ "Logic", + /* 369 */ "LogicTerm", + /* 370 */ "LogicToken", + /* 371 */ "Lsb", + /* 372 */ "LsbTerm", + /* 373 */ "LsbToken", + /* 374 */ "MinusColon", + /* 375 */ "MinusColonTerm", + /* 376 */ "MinusColonToken", + /* 377 */ "MinusGT", + /* 378 */ "MinusGTTerm", + /* 379 */ "MinusGTToken", + /* 380 */ "Modport", + /* 381 */ "ModportDeclaration", + /* 382 */ "ModportGroup", + /* 383 */ "ModportGroupGroup", + /* 384 */ "ModportGroupList", + /* 385 */ "ModportItem", + /* 386 */ "ModportList", + /* 387 */ "ModportListList", + /* 388 */ "ModportListOpt", + /* 389 */ "ModportTerm", + /* 390 */ "ModportToken", + /* 391 */ "Module", + /* 392 */ "ModuleDeclaration", + /* 393 */ "ModuleDeclarationList", + /* 394 */ "ModuleDeclarationOpt", + /* 395 */ "ModuleDeclarationOpt0", + /* 396 */ "ModuleDeclarationOpt1", + /* 397 */ "ModuleDeclarationOpt2", + /* 398 */ "ModuleForDeclaration", + /* 399 */ "ModuleForDeclarationOpt", + /* 400 */ "ModuleGroup", + /* 401 */ "ModuleGroupGroup", + /* 402 */ "ModuleGroupGroupList", + /* 403 */ "ModuleGroupList", + /* 404 */ "ModuleIfDeclaration", + /* 405 */ "ModuleIfDeclarationList", + /* 406 */ "ModuleIfDeclarationOpt", + /* 407 */ "ModuleItem", + /* 408 */ "ModuleNamedBlock", + /* 409 */ "ModuleNamedBlockList", + /* 410 */ "ModuleOptionalNamedBlock", + /* 411 */ "ModuleOptionalNamedBlockList", + /* 412 */ "ModuleOptionalNamedBlockOpt", + /* 413 */ "ModuleTerm", + /* 414 */ "ModuleToken", + /* 415 */ "Msb", + /* 416 */ "MsbTerm", + /* 417 */ "MsbToken", + /* 418 */ "Number", + /* 419 */ "Operator01", + /* 420 */ "Operator01Term", + /* 421 */ "Operator01Token", + /* 422 */ "Operator02", + /* 423 */ "Operator02Term", + /* 424 */ "Operator02Token", + /* 425 */ "Operator03", + /* 426 */ "Operator03Term", + /* 427 */ "Operator03Token", + /* 428 */ "Operator04", + /* 429 */ "Operator04Term", + /* 430 */ "Operator04Token", + /* 431 */ "Operator05", + /* 432 */ "Operator05Term", + /* 433 */ "Operator05Token", + /* 434 */ "Operator06", + /* 435 */ "Operator06Term", + /* 436 */ "Operator06Token", + /* 437 */ "Operator07", + /* 438 */ "Operator07Term", + /* 439 */ "Operator07Token", + /* 440 */ "Operator08", + /* 441 */ "Operator08Term", + /* 442 */ "Operator08Token", + /* 443 */ "Operator09", + /* 444 */ "Operator09Term", + /* 445 */ "Operator09Token", + /* 446 */ "Operator10", + /* 447 */ "Operator10Term", + /* 448 */ "Operator10Token", + /* 449 */ "Operator11", + /* 450 */ "Operator11Term", + /* 451 */ "Operator11Token", + /* 452 */ "Output", + /* 453 */ "OutputTerm", + /* 454 */ "OutputToken", + /* 455 */ "Outside", + /* 456 */ "OutsideExpression", + /* 457 */ "OutsideTerm", + /* 458 */ "OutsideToken", + /* 459 */ "Package", + /* 460 */ "PackageDeclaration", + /* 461 */ "PackageDeclarationList", + /* 462 */ "PackageDeclarationOpt", + /* 463 */ "PackageDeclarationOpt0", + /* 464 */ "PackageGroup", + /* 465 */ "PackageGroupGroup", + /* 466 */ "PackageGroupGroupList", + /* 467 */ "PackageGroupList", + /* 468 */ "PackageItem", + /* 469 */ "PackageTerm", + /* 470 */ "PackageToken", + /* 471 */ "Param", + /* 472 */ "ParamTerm", + /* 473 */ "ParamToken", + /* 474 */ "PlusColon", + /* 475 */ "PlusColonTerm", + /* 476 */ "PlusColonToken", + /* 477 */ "PortDeclaration", + /* 478 */ "PortDeclarationGroup", + /* 479 */ "PortDeclarationGroupGroup", + /* 480 */ "PortDeclarationGroupList", + /* 481 */ "PortDeclarationItem", + /* 482 */ "PortDeclarationItemGroup", + /* 483 */ "PortDeclarationItemOpt", + /* 484 */ "PortDeclarationList", + /* 485 */ "PortDeclarationListList", + /* 486 */ "PortDeclarationListOpt", + /* 487 */ "PortDeclarationOpt", + /* 488 */ "Pub", + /* 489 */ "PubTerm", + /* 490 */ "PubToken", + /* 491 */ "QuoteLBrace", + /* 492 */ "QuoteLBraceTerm", + /* 493 */ "QuoteLBraceToken", + /* 494 */ "RAngle", + /* 495 */ "RAngleTerm", + /* 496 */ "RAngleToken", + /* 497 */ "RBrace", + /* 498 */ "RBraceTerm", + /* 499 */ "RBraceToken", + /* 500 */ "RBracket", + /* 501 */ "RBracketTerm", + /* 502 */ "RBracketToken", + /* 503 */ "RParen", + /* 504 */ "RParenTerm", + /* 505 */ "RParenToken", + /* 506 */ "Range", + /* 507 */ "RangeItem", + /* 508 */ "RangeList", + /* 509 */ "RangeListList", + /* 510 */ "RangeListOpt", + /* 511 */ "RangeOperator", + /* 512 */ "RangeOpt", + /* 513 */ "RealNumber", + /* 514 */ "Ref", + /* 515 */ "RefTerm", + /* 516 */ "RefToken", + /* 517 */ "Repeat", + /* 518 */ "RepeatTerm", + /* 519 */ "RepeatToken", + /* 520 */ "Reset", + /* 521 */ "ResetAsyncHigh", + /* 522 */ "ResetAsyncHighTerm", + /* 523 */ "ResetAsyncHighToken", + /* 524 */ "ResetAsyncLow", + /* 525 */ "ResetAsyncLowTerm", + /* 526 */ "ResetAsyncLowToken", + /* 527 */ "ResetSyncHigh", + /* 528 */ "ResetSyncHighTerm", + /* 529 */ "ResetSyncHighToken", + /* 530 */ "ResetSyncLow", + /* 531 */ "ResetSyncLowTerm", + /* 532 */ "ResetSyncLowToken", + /* 533 */ "ResetTerm", + /* 534 */ "ResetToken", + /* 535 */ "Return", + /* 536 */ "ReturnStatement", + /* 537 */ "ReturnTerm", + /* 538 */ "ReturnToken", + /* 539 */ "ScalarType", + /* 540 */ "ScalarTypeGroup", + /* 541 */ "ScalarTypeList", + /* 542 */ "ScopedIdentifier", + /* 543 */ "ScopedIdentifierGroup", + /* 544 */ "ScopedIdentifierList", + /* 545 */ "ScopedIdentifierOpt", + /* 546 */ "ScopedIdentifierOpt0", + /* 547 */ "Select", + /* 548 */ "SelectOperator", + /* 549 */ "SelectOpt", + /* 550 */ "Semicolon", + /* 551 */ "SemicolonTerm", + /* 552 */ "SemicolonToken", + /* 553 */ "Signed", + /* 554 */ "SignedTerm", + /* 555 */ "SignedToken", + /* 556 */ "Star", + /* 557 */ "StarTerm", + /* 558 */ "StarToken", + /* 559 */ "Start", + /* 560 */ "StartToken", + /* 561 */ "Statement", + /* 562 */ "Step", + /* 563 */ "StepTerm", + /* 564 */ "StepToken", + /* 565 */ "Strin", + /* 566 */ "StringLiteral", + /* 567 */ "StringLiteralTerm", + /* 568 */ "StringLiteralToken", + /* 569 */ "StringTerm", + /* 570 */ "StringToken", + /* 571 */ "Struct", + /* 572 */ "StructTerm", + /* 573 */ "StructToken", + /* 574 */ "StructUnion", + /* 575 */ "StructUnionDeclaration", + /* 576 */ "StructUnionDeclarationOpt", + /* 577 */ "StructUnionGroup", + /* 578 */ "StructUnionGroupGroup", + /* 579 */ "StructUnionGroupList", + /* 580 */ "StructUnionItem", + /* 581 */ "StructUnionList", + /* 582 */ "StructUnionListList", + /* 583 */ "StructUnionListOpt", + /* 584 */ "Switch", + /* 585 */ "SwitchCondition", + /* 586 */ "SwitchConditionList", + /* 587 */ "SwitchExpression", + /* 588 */ "SwitchExpressionList", + /* 589 */ "SwitchExpressionOpt", + /* 590 */ "SwitchItem", + /* 591 */ "SwitchItemGroup", + /* 592 */ "SwitchItemGroup0", + /* 593 */ "SwitchItemGroup0List", + /* 594 */ "SwitchStatement", + /* 595 */ "SwitchStatementList", + /* 596 */ "SwitchTerm", + /* 597 */ "SwitchToken", + /* 598 */ "Tri", + /* 599 */ "TriTerm", + /* 600 */ "TriToken", + /* 601 */ "Type", + /* 602 */ "TypeDefDeclaration", + /* 603 */ "TypeExpression", + /* 604 */ "TypeModifier", + /* 605 */ "TypeTerm", + /* 606 */ "TypeToken", + /* 607 */ "U32", + /* 608 */ "U32Term", + /* 609 */ "U32Token", + /* 610 */ "U64", + /* 611 */ "U64Term", + /* 612 */ "U64Token", + /* 613 */ "UnaryOperator", + /* 614 */ "UnaryOperatorTerm", + /* 615 */ "UnaryOperatorToken", + /* 616 */ "Union", + /* 617 */ "UnionTerm", + /* 618 */ "UnionToken", + /* 619 */ "Var", + /* 620 */ "VarDeclaration", + /* 621 */ "VarTerm", + /* 622 */ "VarToken", + /* 623 */ "VariableType", + /* 624 */ "VariableTypeGroup", + /* 625 */ "VariableTypeOpt", + /* 626 */ "Veryl", + /* 627 */ "VerylList", + /* 628 */ "Width", + /* 629 */ "WidthList", + /* 630 */ "WithGenericArgument", + /* 631 */ "WithGenericArgumentItem", + /* 632 */ "WithGenericArgumentList", + /* 633 */ "WithGenericArgumentListList", + /* 634 */ "WithGenericArgumentListOpt", + /* 635 */ "WithGenericArgumentOpt", + /* 636 */ "WithGenericParameter", + /* 637 */ "WithGenericParameterItem", + /* 638 */ "WithGenericParameterItemOpt", + /* 639 */ "WithGenericParameterList", + /* 640 */ "WithGenericParameterListList", + /* 641 */ "WithGenericParameterListOpt", + /* 642 */ "WithParameter", + /* 643 */ "WithParameterGroup", + /* 644 */ "WithParameterGroupGroup", + /* 645 */ "WithParameterGroupList", + /* 646 */ "WithParameterItem", + /* 647 */ "WithParameterItemGroup", + /* 648 */ "WithParameterItemGroup0", + /* 649 */ "WithParameterList", + /* 650 */ "WithParameterListList", + /* 651 */ "WithParameterListOpt", + /* 652 */ "WithParameterOpt", ]; -pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ +pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 653] = &[ /* 0 - "AllBit" */ LookaheadDFA { - prod0: 226, + prod0: 228, transitions: &[], k: 0, }, @@ -1157,31 +1174,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 2 - "AllBitToken" */ LookaheadDFA { - prod0: 118, + prod0: 119, transitions: &[], k: 0, }, /* 3 - "AlwayfFfEventList" */ LookaheadDFA { - prod0: 594, + prod0: 614, transitions: &[], k: 0, }, /* 4 - "AlwayfFfEventListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 595), Trans(0, 45, 2, 596)], + transitions: &[Trans(0, 31, 1, 615), Trans(0, 45, 2, 616)], k: 1, }, /* 5 - "AlwaysComb" */ LookaheadDFA { - prod0: 263, + prod0: 265, transitions: &[], k: 0, }, /* 6 - "AlwaysCombDeclaration" */ LookaheadDFA { - prod0: 599, + prod0: 619, transitions: &[], k: 0, }, @@ -1189,16 +1206,17 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 601), - Trans(0, 53, 1, 600), - Trans(0, 65, 1, 600), - Trans(0, 69, 1, 600), - Trans(0, 70, 1, 600), - Trans(0, 80, 1, 600), - Trans(0, 99, 1, 600), - Trans(0, 100, 1, 600), - Trans(0, 111, 1, 600), - Trans(0, 112, 1, 600), + Trans(0, 43, 2, 621), + Trans(0, 53, 1, 620), + Trans(0, 65, 1, 620), + Trans(0, 69, 1, 620), + Trans(0, 70, 1, 620), + Trans(0, 80, 1, 620), + Trans(0, 99, 1, 620), + Trans(0, 100, 1, 620), + Trans(0, 105, 1, 620), + Trans(0, 112, 1, 620), + Trans(0, 113, 1, 620), ], k: 1, }, @@ -1210,25 +1228,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 9 - "AlwaysCombToken" */ LookaheadDFA { - prod0: 155, + prod0: 156, transitions: &[], k: 0, }, /* 10 - "AlwaysFf" */ LookaheadDFA { - prod0: 264, + prod0: 266, transitions: &[], k: 0, }, /* 11 - "AlwaysFfClock" */ LookaheadDFA { - prod0: 597, + prod0: 617, transitions: &[], k: 0, }, /* 12 - "AlwaysFfDeclaration" */ LookaheadDFA { - prod0: 589, + prod0: 609, transitions: &[], k: 0, }, @@ -1236,28 +1254,29 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 591), - Trans(0, 53, 1, 590), - Trans(0, 65, 1, 590), - Trans(0, 69, 1, 590), - Trans(0, 70, 1, 590), - Trans(0, 80, 1, 590), - Trans(0, 99, 1, 590), - Trans(0, 100, 1, 590), - Trans(0, 111, 1, 590), - Trans(0, 112, 1, 590), + Trans(0, 43, 2, 611), + Trans(0, 53, 1, 610), + Trans(0, 65, 1, 610), + Trans(0, 69, 1, 610), + Trans(0, 70, 1, 610), + Trans(0, 80, 1, 610), + Trans(0, 99, 1, 610), + Trans(0, 100, 1, 610), + Trans(0, 105, 1, 610), + Trans(0, 112, 1, 610), + Trans(0, 113, 1, 610), ], k: 1, }, /* 14 - "AlwaysFfDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 2, 593), Trans(0, 41, 1, 592)], + transitions: &[Trans(0, 39, 2, 613), Trans(0, 41, 1, 612)], k: 1, }, /* 15 - "AlwaysFfReset" */ LookaheadDFA { - prod0: 598, + prod0: 618, transitions: &[], k: 0, }, @@ -1269,25 +1288,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 17 - "AlwaysFfToken" */ LookaheadDFA { - prod0: 156, + prod0: 157, transitions: &[], k: 0, }, /* 18 - "AnyTerm" */ LookaheadDFA { - prod0: 108, + prod0: 109, transitions: &[], k: 0, }, /* 19 - "ArgumentItem" */ LookaheadDFA { - prod0: 427, + prod0: 431, transitions: &[], k: 0, }, /* 20 - "ArgumentList" */ LookaheadDFA { - prod0: 422, + prod0: 426, transitions: &[], k: 0, }, @@ -1296,8 +1315,8 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ prod0: -1, transitions: &[ Trans(0, 31, 1, -1), - Trans(0, 45, 9, -1), - Trans(1, 5, 8, -1), + Trans(0, 45, 10, -1), + Trans(1, 5, 9, -1), Trans(1, 6, 2, -1), Trans(1, 7, 2, -1), Trans(1, 8, 2, -1), @@ -1312,572 +1331,584 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 38, 5, -1), Trans(1, 39, 4, -1), Trans(1, 41, 4, -1), - Trans(1, 45, 23, -1), + Trans(1, 45, 24, -1), Trans(1, 53, 4, -1), Trans(1, 70, 4, -1), Trans(1, 76, 4, -1), Trans(1, 83, 2, -1), Trans(1, 86, 2, -1), Trans(1, 88, 4, -1), - Trans(1, 111, 6, -1), + Trans(1, 105, 6, -1), Trans(1, 112, 7, -1), - Trans(2, 5, 3, 423), - Trans(2, 16, 3, 423), - Trans(2, 17, 3, 423), - Trans(2, 18, 3, 423), - Trans(2, 19, 3, 423), - Trans(2, 20, 3, 423), - Trans(2, 21, 3, 423), - Trans(2, 22, 3, 423), - Trans(2, 23, 3, 423), - Trans(2, 24, 3, 423), - Trans(2, 25, 3, 423), - Trans(2, 26, 3, 423), - Trans(2, 31, 3, 423), - Trans(2, 45, 3, 423), - Trans(2, 47, 3, 423), - Trans(2, 51, 3, 423), - Trans(4, 5, 3, 423), - Trans(4, 6, 3, 423), - Trans(4, 7, 3, 423), - Trans(4, 8, 3, 423), - Trans(4, 9, 3, 423), - Trans(4, 10, 3, 423), - Trans(4, 11, 3, 423), - Trans(4, 18, 3, 423), - Trans(4, 24, 3, 423), - Trans(4, 25, 3, 423), - Trans(4, 26, 3, 423), - Trans(4, 27, 3, 423), - Trans(4, 38, 3, 423), - Trans(4, 39, 3, 423), - Trans(4, 41, 3, 423), - Trans(4, 53, 3, 423), - Trans(4, 70, 3, 423), - Trans(4, 76, 3, 423), - Trans(4, 83, 3, 423), - Trans(4, 86, 3, 423), - Trans(4, 88, 3, 423), - Trans(4, 111, 3, 423), - Trans(4, 112, 3, 423), - Trans(5, 5, 3, 423), - Trans(5, 6, 3, 423), - Trans(5, 7, 3, 423), - Trans(5, 8, 3, 423), - Trans(5, 9, 3, 423), - Trans(5, 10, 3, 423), - Trans(5, 11, 3, 423), - Trans(5, 18, 3, 423), - Trans(5, 24, 3, 423), - Trans(5, 25, 3, 423), - Trans(5, 26, 3, 423), - Trans(5, 27, 3, 423), - Trans(5, 38, 3, 423), - Trans(5, 39, 3, 423), - Trans(5, 41, 3, 423), - Trans(5, 53, 3, 423), - Trans(5, 57, 3, 423), - Trans(5, 70, 3, 423), - Trans(5, 76, 3, 423), - Trans(5, 83, 3, 423), - Trans(5, 86, 3, 423), - Trans(5, 88, 3, 423), - Trans(5, 111, 3, 423), - Trans(5, 112, 3, 423), - Trans(6, 5, 3, 423), - Trans(6, 16, 3, 423), - Trans(6, 17, 3, 423), - Trans(6, 18, 3, 423), - Trans(6, 19, 3, 423), - Trans(6, 20, 3, 423), - Trans(6, 21, 3, 423), - Trans(6, 22, 3, 423), - Trans(6, 23, 3, 423), - Trans(6, 24, 3, 423), - Trans(6, 25, 3, 423), - Trans(6, 26, 3, 423), - Trans(6, 29, 3, 423), - Trans(6, 31, 3, 423), - Trans(6, 34, 3, 423), - Trans(6, 40, 3, 423), - Trans(6, 41, 3, 423), - Trans(6, 45, 3, 423), - Trans(6, 47, 3, 423), - Trans(6, 51, 3, 423), - Trans(7, 5, 3, 423), - Trans(7, 16, 3, 423), - Trans(7, 17, 3, 423), - Trans(7, 18, 3, 423), - Trans(7, 19, 3, 423), - Trans(7, 20, 3, 423), - Trans(7, 21, 3, 423), - Trans(7, 22, 3, 423), - Trans(7, 23, 3, 423), - Trans(7, 24, 3, 423), - Trans(7, 25, 3, 423), - Trans(7, 26, 3, 423), - Trans(7, 28, 3, 423), - Trans(7, 29, 3, 423), - Trans(7, 31, 3, 423), - Trans(7, 34, 3, 423), - Trans(7, 40, 3, 423), - Trans(7, 41, 3, 423), - Trans(7, 45, 3, 423), - Trans(7, 47, 3, 423), - Trans(7, 51, 3, 423), - Trans(8, 6, 3, 423), - Trans(8, 7, 3, 423), - Trans(8, 8, 3, 423), - Trans(8, 9, 3, 423), - Trans(8, 10, 3, 423), - Trans(8, 11, 3, 423), - Trans(8, 18, 3, 423), - Trans(8, 24, 3, 423), - Trans(8, 25, 3, 423), - Trans(8, 26, 3, 423), - Trans(8, 27, 3, 423), - Trans(8, 38, 3, 423), - Trans(8, 39, 3, 423), - Trans(8, 41, 3, 423), - Trans(8, 45, 22, 424), - Trans(8, 53, 3, 423), - Trans(8, 70, 3, 423), - Trans(8, 76, 3, 423), - Trans(8, 83, 3, 423), - Trans(8, 86, 3, 423), - Trans(8, 88, 3, 423), - Trans(8, 111, 3, 423), - Trans(8, 112, 3, 423), - Trans(9, 5, 10, -1), - Trans(9, 12, 11, -1), - Trans(9, 14, 11, -1), - Trans(9, 16, 11, -1), - Trans(9, 17, 11, -1), - Trans(9, 18, 11, -1), - Trans(9, 19, 11, -1), - Trans(9, 20, 11, -1), - Trans(9, 21, 11, -1), - Trans(9, 22, 11, -1), - Trans(9, 23, 11, -1), - Trans(9, 24, 11, -1), - Trans(9, 25, 11, -1), - Trans(9, 26, 11, -1), - Trans(9, 30, 12, -1), - Trans(9, 31, 13, -1), - Trans(9, 32, 11, -1), - Trans(9, 33, 11, -1), - Trans(9, 39, 14, -1), - Trans(9, 42, 15, -1), - Trans(9, 43, 16, -1), - Trans(9, 44, 17, -1), - Trans(9, 45, 18, -1), - Trans(9, 46, 19, -1), - Trans(9, 47, 11, -1), - Trans(9, 51, 20, -1), - Trans(9, 93, 11, -1), - Trans(9, 102, 21, -1), - Trans(10, 12, 22, 424), - Trans(10, 14, 22, 424), - Trans(10, 16, 22, 424), - Trans(10, 17, 22, 424), - Trans(10, 18, 22, 424), - Trans(10, 19, 22, 424), - Trans(10, 20, 22, 424), - Trans(10, 21, 22, 424), - Trans(10, 22, 22, 424), - Trans(10, 23, 22, 424), - Trans(10, 24, 22, 424), - Trans(10, 25, 22, 424), - Trans(10, 26, 22, 424), - Trans(10, 30, 22, 424), - Trans(10, 31, 22, 424), - Trans(10, 32, 22, 424), - Trans(10, 33, 22, 424), - Trans(10, 39, 22, 424), - Trans(10, 42, 22, 424), - Trans(10, 43, 22, 424), - Trans(10, 44, 22, 424), - Trans(10, 45, 22, 424), - Trans(10, 46, 22, 424), - Trans(10, 47, 22, 424), - Trans(10, 51, 22, 424), - Trans(10, 93, 22, 424), - Trans(10, 102, 22, 424), - Trans(11, 5, 22, 424), - Trans(11, 6, 22, 424), - Trans(11, 7, 22, 424), - Trans(11, 8, 22, 424), - Trans(11, 9, 22, 424), - Trans(11, 10, 22, 424), - Trans(11, 11, 22, 424), - Trans(11, 18, 22, 424), - Trans(11, 24, 22, 424), - Trans(11, 25, 22, 424), - Trans(11, 26, 22, 424), - Trans(11, 27, 22, 424), - Trans(11, 38, 22, 424), - Trans(11, 39, 22, 424), - Trans(11, 41, 22, 424), - Trans(11, 53, 22, 424), - Trans(11, 70, 22, 424), - Trans(11, 76, 22, 424), - Trans(11, 83, 22, 424), - Trans(11, 86, 22, 424), - Trans(11, 88, 22, 424), - Trans(11, 111, 22, 424), - Trans(11, 112, 22, 424), - Trans(12, 5, 22, 424), - Trans(12, 6, 22, 424), - Trans(12, 7, 22, 424), - Trans(12, 8, 22, 424), - Trans(12, 9, 22, 424), - Trans(12, 10, 22, 424), - Trans(12, 11, 22, 424), - Trans(12, 18, 22, 424), - Trans(12, 24, 22, 424), - Trans(12, 25, 22, 424), - Trans(12, 26, 22, 424), - Trans(12, 27, 22, 424), - Trans(12, 38, 22, 424), - Trans(12, 39, 22, 424), - Trans(12, 41, 22, 424), - Trans(12, 53, 22, 424), - Trans(12, 65, 22, 424), - Trans(12, 69, 22, 424), - Trans(12, 70, 22, 424), - Trans(12, 76, 22, 424), - Trans(12, 80, 22, 424), - Trans(12, 83, 22, 424), - Trans(12, 86, 22, 424), - Trans(12, 88, 22, 424), - Trans(12, 99, 22, 424), - Trans(12, 100, 22, 424), - Trans(12, 111, 22, 424), - Trans(12, 112, 22, 424), - Trans(13, 5, 22, 424), - Trans(13, 6, 22, 424), - Trans(13, 7, 22, 424), - Trans(13, 8, 22, 424), - Trans(13, 9, 22, 424), - Trans(13, 10, 22, 424), - Trans(13, 11, 22, 424), - Trans(13, 18, 22, 424), - Trans(13, 24, 22, 424), - Trans(13, 25, 22, 424), - Trans(13, 26, 22, 424), - Trans(13, 27, 22, 424), - Trans(13, 36, 22, 424), - Trans(13, 38, 22, 424), - Trans(13, 39, 22, 424), - Trans(13, 41, 22, 424), - Trans(13, 43, 22, 424), - Trans(13, 45, 22, 424), - Trans(13, 53, 22, 424), - Trans(13, 57, 22, 424), - Trans(13, 70, 22, 424), - Trans(13, 76, 22, 424), - Trans(13, 81, 22, 424), - Trans(13, 83, 22, 424), - Trans(13, 86, 22, 424), - Trans(13, 88, 22, 424), - Trans(13, 90, 22, 424), - Trans(13, 111, 22, 424), - Trans(13, 112, 22, 424), - Trans(14, 5, 22, 424), - Trans(14, 6, 22, 424), - Trans(14, 7, 22, 424), - Trans(14, 8, 22, 424), - Trans(14, 9, 22, 424), - Trans(14, 10, 22, 424), - Trans(14, 11, 22, 424), - Trans(14, 18, 22, 424), - Trans(14, 24, 22, 424), - Trans(14, 25, 22, 424), - Trans(14, 26, 22, 424), - Trans(14, 27, 22, 424), - Trans(14, 30, 22, 424), - Trans(14, 36, 22, 424), - Trans(14, 38, 22, 424), - Trans(14, 39, 22, 424), - Trans(14, 41, 22, 424), - Trans(14, 43, 22, 424), - Trans(14, 48, 22, 424), - Trans(14, 49, 22, 424), - Trans(14, 50, 22, 424), - Trans(14, 53, 22, 424), - Trans(14, 57, 22, 424), - Trans(14, 60, 22, 424), - Trans(14, 64, 22, 424), - Trans(14, 65, 22, 424), - Trans(14, 66, 22, 424), - Trans(14, 69, 22, 424), - Trans(14, 70, 22, 424), - Trans(14, 71, 22, 424), - Trans(14, 73, 22, 424), - Trans(14, 76, 22, 424), - Trans(14, 77, 22, 424), - Trans(14, 80, 22, 424), - Trans(14, 81, 22, 424), - Trans(14, 83, 22, 424), - Trans(14, 84, 22, 424), - Trans(14, 86, 22, 424), - Trans(14, 88, 22, 424), - Trans(14, 99, 22, 424), - Trans(14, 100, 22, 424), - Trans(14, 104, 22, 424), - Trans(14, 106, 22, 424), - Trans(14, 109, 22, 424), - Trans(14, 110, 22, 424), - Trans(14, 111, 22, 424), - Trans(14, 112, 22, 424), - Trans(15, 5, 22, 424), - Trans(15, 31, 22, 424), - Trans(15, 35, 22, 424), - Trans(15, 39, 22, 424), - Trans(15, 40, 22, 424), - Trans(15, 43, 22, 424), - Trans(15, 45, 22, 424), - Trans(15, 46, 22, 424), - Trans(15, 79, 22, 424), - Trans(16, 5, 22, 424), - Trans(16, 12, 22, 424), - Trans(16, 14, 22, 424), - Trans(16, 16, 22, 424), - Trans(16, 17, 22, 424), - Trans(16, 18, 22, 424), - Trans(16, 19, 22, 424), - Trans(16, 20, 22, 424), - Trans(16, 21, 22, 424), - Trans(16, 22, 22, 424), - Trans(16, 23, 22, 424), - Trans(16, 24, 22, 424), - Trans(16, 25, 22, 424), - Trans(16, 26, 22, 424), - Trans(16, 30, 22, 424), - Trans(16, 31, 22, 424), - Trans(16, 32, 22, 424), - Trans(16, 33, 22, 424), - Trans(16, 36, 22, 424), - Trans(16, 39, 22, 424), - Trans(16, 42, 22, 424), - Trans(16, 43, 22, 424), - Trans(16, 44, 22, 424), - Trans(16, 45, 22, 424), - Trans(16, 46, 22, 424), - Trans(16, 47, 22, 424), - Trans(16, 48, 22, 424), - Trans(16, 49, 22, 424), - Trans(16, 50, 22, 424), - Trans(16, 51, 22, 424), - Trans(16, 58, 22, 424), - Trans(16, 60, 22, 424), - Trans(16, 61, 22, 424), - Trans(16, 64, 22, 424), - Trans(16, 65, 22, 424), - Trans(16, 66, 22, 424), - Trans(16, 70, 22, 424), - Trans(16, 71, 22, 424), - Trans(16, 73, 22, 424), - Trans(16, 77, 22, 424), - Trans(16, 80, 22, 424), - Trans(16, 81, 22, 424), - Trans(16, 84, 22, 424), - Trans(16, 93, 22, 424), - Trans(16, 102, 22, 424), - Trans(16, 104, 22, 424), - Trans(16, 106, 22, 424), - Trans(16, 109, 22, 424), - Trans(16, 110, 22, 424), - Trans(17, 5, 22, 424), - Trans(17, 12, 22, 424), - Trans(17, 14, 22, 424), - Trans(17, 15, 22, 424), - Trans(17, 16, 22, 424), - Trans(17, 17, 22, 424), - Trans(17, 18, 22, 424), - Trans(17, 19, 22, 424), - Trans(17, 20, 22, 424), - Trans(17, 21, 22, 424), - Trans(17, 22, 22, 424), - Trans(17, 23, 22, 424), - Trans(17, 24, 22, 424), - Trans(17, 25, 22, 424), - Trans(17, 26, 22, 424), - Trans(17, 30, 22, 424), - Trans(17, 31, 22, 424), - Trans(17, 32, 22, 424), - Trans(17, 33, 22, 424), - Trans(17, 34, 22, 424), - Trans(17, 35, 22, 424), - Trans(17, 36, 22, 424), - Trans(17, 39, 22, 424), - Trans(17, 40, 22, 424), - Trans(17, 41, 22, 424), - Trans(17, 42, 22, 424), - Trans(17, 43, 22, 424), - Trans(17, 44, 22, 424), - Trans(17, 45, 22, 424), - Trans(17, 46, 22, 424), - Trans(17, 47, 22, 424), - Trans(17, 51, 22, 424), - Trans(17, 93, 22, 424), - Trans(17, 102, 22, 424), - Trans(18, 5, 22, 424), - Trans(18, 12, 22, 424), - Trans(18, 14, 22, 424), - Trans(18, 16, 22, 424), - Trans(18, 17, 22, 424), - Trans(18, 18, 22, 424), - Trans(18, 19, 22, 424), - Trans(18, 20, 22, 424), - Trans(18, 21, 22, 424), - Trans(18, 22, 22, 424), - Trans(18, 23, 22, 424), - Trans(18, 24, 22, 424), - Trans(18, 25, 22, 424), - Trans(18, 26, 22, 424), - Trans(18, 30, 22, 424), - Trans(18, 31, 22, 424), - Trans(18, 32, 22, 424), - Trans(18, 33, 22, 424), - Trans(18, 39, 22, 424), - Trans(18, 41, 22, 424), - Trans(18, 42, 22, 424), - Trans(18, 43, 22, 424), - Trans(18, 44, 22, 424), - Trans(18, 45, 22, 424), - Trans(18, 46, 22, 424), - Trans(18, 47, 22, 424), - Trans(18, 51, 22, 424), - Trans(18, 93, 22, 424), - Trans(18, 102, 22, 424), - Trans(19, 5, 22, 424), - Trans(19, 6, 22, 424), - Trans(19, 7, 22, 424), - Trans(19, 8, 22, 424), - Trans(19, 9, 22, 424), - Trans(19, 10, 22, 424), - Trans(19, 11, 22, 424), - Trans(19, 18, 22, 424), - Trans(19, 24, 22, 424), - Trans(19, 25, 22, 424), - Trans(19, 26, 22, 424), - Trans(19, 27, 22, 424), - Trans(19, 30, 22, 424), - Trans(19, 36, 22, 424), - Trans(19, 38, 22, 424), - Trans(19, 39, 22, 424), - Trans(19, 41, 22, 424), - Trans(19, 43, 22, 424), - Trans(19, 48, 22, 424), - Trans(19, 49, 22, 424), - Trans(19, 50, 22, 424), - Trans(19, 53, 22, 424), - Trans(19, 57, 22, 424), - Trans(19, 60, 22, 424), - Trans(19, 61, 22, 424), - Trans(19, 64, 22, 424), - Trans(19, 65, 22, 424), - Trans(19, 66, 22, 424), - Trans(19, 69, 22, 424), - Trans(19, 70, 22, 424), - Trans(19, 71, 22, 424), - Trans(19, 73, 22, 424), - Trans(19, 76, 22, 424), - Trans(19, 77, 22, 424), - Trans(19, 80, 22, 424), - Trans(19, 81, 22, 424), - Trans(19, 83, 22, 424), - Trans(19, 84, 22, 424), - Trans(19, 86, 22, 424), - Trans(19, 88, 22, 424), - Trans(19, 99, 22, 424), - Trans(19, 100, 22, 424), - Trans(19, 104, 22, 424), - Trans(19, 106, 22, 424), - Trans(19, 109, 22, 424), - Trans(19, 110, 22, 424), - Trans(19, 111, 22, 424), - Trans(19, 112, 22, 424), - Trans(20, 5, 22, 424), - Trans(20, 111, 22, 424), - Trans(20, 112, 22, 424), - Trans(21, 5, 22, 424), - Trans(21, 6, 22, 424), - Trans(21, 7, 22, 424), - Trans(21, 8, 22, 424), - Trans(21, 9, 22, 424), - Trans(21, 10, 22, 424), - Trans(21, 11, 22, 424), - Trans(21, 15, 22, 424), - Trans(21, 18, 22, 424), - Trans(21, 24, 22, 424), - Trans(21, 25, 22, 424), - Trans(21, 26, 22, 424), - Trans(21, 27, 22, 424), - Trans(21, 38, 22, 424), - Trans(21, 39, 22, 424), - Trans(21, 41, 22, 424), - Trans(21, 53, 22, 424), - Trans(21, 70, 22, 424), - Trans(21, 76, 22, 424), - Trans(21, 83, 22, 424), - Trans(21, 86, 22, 424), - Trans(21, 88, 22, 424), - Trans(21, 111, 22, 424), - Trans(21, 112, 22, 424), - Trans(23, 5, 22, 424), - Trans(23, 12, 22, 424), - Trans(23, 14, 22, 424), - Trans(23, 16, 22, 424), - Trans(23, 17, 22, 424), - Trans(23, 18, 22, 424), - Trans(23, 19, 22, 424), - Trans(23, 20, 22, 424), - Trans(23, 21, 22, 424), - Trans(23, 22, 22, 424), - Trans(23, 23, 22, 424), - Trans(23, 24, 22, 424), - Trans(23, 25, 22, 424), - Trans(23, 26, 22, 424), - Trans(23, 30, 22, 424), - Trans(23, 31, 22, 424), - Trans(23, 32, 22, 424), - Trans(23, 33, 22, 424), - Trans(23, 39, 22, 424), - Trans(23, 42, 22, 424), - Trans(23, 43, 22, 424), - Trans(23, 44, 22, 424), - Trans(23, 45, 22, 424), - Trans(23, 46, 22, 424), - Trans(23, 47, 22, 424), - Trans(23, 51, 22, 424), - Trans(23, 93, 22, 424), - Trans(23, 102, 22, 424), + Trans(1, 113, 8, -1), + Trans(2, 5, 3, 427), + Trans(2, 16, 3, 427), + Trans(2, 17, 3, 427), + Trans(2, 18, 3, 427), + Trans(2, 19, 3, 427), + Trans(2, 20, 3, 427), + Trans(2, 21, 3, 427), + Trans(2, 22, 3, 427), + Trans(2, 23, 3, 427), + Trans(2, 24, 3, 427), + Trans(2, 25, 3, 427), + Trans(2, 26, 3, 427), + Trans(2, 31, 3, 427), + Trans(2, 45, 3, 427), + Trans(2, 47, 3, 427), + Trans(2, 51, 3, 427), + Trans(4, 5, 3, 427), + Trans(4, 6, 3, 427), + Trans(4, 7, 3, 427), + Trans(4, 8, 3, 427), + Trans(4, 9, 3, 427), + Trans(4, 10, 3, 427), + Trans(4, 11, 3, 427), + Trans(4, 18, 3, 427), + Trans(4, 24, 3, 427), + Trans(4, 25, 3, 427), + Trans(4, 26, 3, 427), + Trans(4, 27, 3, 427), + Trans(4, 38, 3, 427), + Trans(4, 39, 3, 427), + Trans(4, 41, 3, 427), + Trans(4, 53, 3, 427), + Trans(4, 70, 3, 427), + Trans(4, 76, 3, 427), + Trans(4, 83, 3, 427), + Trans(4, 86, 3, 427), + Trans(4, 88, 3, 427), + Trans(4, 105, 3, 427), + Trans(4, 112, 3, 427), + Trans(4, 113, 3, 427), + Trans(5, 5, 3, 427), + Trans(5, 6, 3, 427), + Trans(5, 7, 3, 427), + Trans(5, 8, 3, 427), + Trans(5, 9, 3, 427), + Trans(5, 10, 3, 427), + Trans(5, 11, 3, 427), + Trans(5, 18, 3, 427), + Trans(5, 24, 3, 427), + Trans(5, 25, 3, 427), + Trans(5, 26, 3, 427), + Trans(5, 27, 3, 427), + Trans(5, 38, 3, 427), + Trans(5, 39, 3, 427), + Trans(5, 41, 3, 427), + Trans(5, 53, 3, 427), + Trans(5, 57, 3, 427), + Trans(5, 70, 3, 427), + Trans(5, 76, 3, 427), + Trans(5, 83, 3, 427), + Trans(5, 86, 3, 427), + Trans(5, 88, 3, 427), + Trans(5, 105, 3, 427), + Trans(5, 112, 3, 427), + Trans(5, 113, 3, 427), + Trans(6, 5, 3, 427), + Trans(6, 39, 3, 427), + Trans(7, 5, 3, 427), + Trans(7, 16, 3, 427), + Trans(7, 17, 3, 427), + Trans(7, 18, 3, 427), + Trans(7, 19, 3, 427), + Trans(7, 20, 3, 427), + Trans(7, 21, 3, 427), + Trans(7, 22, 3, 427), + Trans(7, 23, 3, 427), + Trans(7, 24, 3, 427), + Trans(7, 25, 3, 427), + Trans(7, 26, 3, 427), + Trans(7, 29, 3, 427), + Trans(7, 31, 3, 427), + Trans(7, 34, 3, 427), + Trans(7, 40, 3, 427), + Trans(7, 41, 3, 427), + Trans(7, 45, 3, 427), + Trans(7, 47, 3, 427), + Trans(7, 51, 3, 427), + Trans(8, 5, 3, 427), + Trans(8, 16, 3, 427), + Trans(8, 17, 3, 427), + Trans(8, 18, 3, 427), + Trans(8, 19, 3, 427), + Trans(8, 20, 3, 427), + Trans(8, 21, 3, 427), + Trans(8, 22, 3, 427), + Trans(8, 23, 3, 427), + Trans(8, 24, 3, 427), + Trans(8, 25, 3, 427), + Trans(8, 26, 3, 427), + Trans(8, 28, 3, 427), + Trans(8, 29, 3, 427), + Trans(8, 31, 3, 427), + Trans(8, 34, 3, 427), + Trans(8, 40, 3, 427), + Trans(8, 41, 3, 427), + Trans(8, 45, 3, 427), + Trans(8, 47, 3, 427), + Trans(8, 51, 3, 427), + Trans(9, 6, 3, 427), + Trans(9, 7, 3, 427), + Trans(9, 8, 3, 427), + Trans(9, 9, 3, 427), + Trans(9, 10, 3, 427), + Trans(9, 11, 3, 427), + Trans(9, 18, 3, 427), + Trans(9, 24, 3, 427), + Trans(9, 25, 3, 427), + Trans(9, 26, 3, 427), + Trans(9, 27, 3, 427), + Trans(9, 38, 3, 427), + Trans(9, 39, 3, 427), + Trans(9, 41, 3, 427), + Trans(9, 45, 23, 428), + Trans(9, 53, 3, 427), + Trans(9, 70, 3, 427), + Trans(9, 76, 3, 427), + Trans(9, 83, 3, 427), + Trans(9, 86, 3, 427), + Trans(9, 88, 3, 427), + Trans(9, 105, 3, 427), + Trans(9, 112, 3, 427), + Trans(9, 113, 3, 427), + Trans(10, 5, 11, -1), + Trans(10, 12, 12, -1), + Trans(10, 14, 12, -1), + Trans(10, 16, 12, -1), + Trans(10, 17, 12, -1), + Trans(10, 18, 12, -1), + Trans(10, 19, 12, -1), + Trans(10, 20, 12, -1), + Trans(10, 21, 12, -1), + Trans(10, 22, 12, -1), + Trans(10, 23, 12, -1), + Trans(10, 24, 12, -1), + Trans(10, 25, 12, -1), + Trans(10, 26, 12, -1), + Trans(10, 30, 13, -1), + Trans(10, 31, 14, -1), + Trans(10, 32, 12, -1), + Trans(10, 33, 12, -1), + Trans(10, 39, 15, -1), + Trans(10, 42, 16, -1), + Trans(10, 43, 17, -1), + Trans(10, 44, 18, -1), + Trans(10, 45, 19, -1), + Trans(10, 46, 20, -1), + Trans(10, 47, 12, -1), + Trans(10, 51, 21, -1), + Trans(10, 93, 12, -1), + Trans(10, 102, 22, -1), + Trans(11, 12, 23, 428), + Trans(11, 14, 23, 428), + Trans(11, 16, 23, 428), + Trans(11, 17, 23, 428), + Trans(11, 18, 23, 428), + Trans(11, 19, 23, 428), + Trans(11, 20, 23, 428), + Trans(11, 21, 23, 428), + Trans(11, 22, 23, 428), + Trans(11, 23, 23, 428), + Trans(11, 24, 23, 428), + Trans(11, 25, 23, 428), + Trans(11, 26, 23, 428), + Trans(11, 30, 23, 428), + Trans(11, 31, 23, 428), + Trans(11, 32, 23, 428), + Trans(11, 33, 23, 428), + Trans(11, 39, 23, 428), + Trans(11, 42, 23, 428), + Trans(11, 43, 23, 428), + Trans(11, 44, 23, 428), + Trans(11, 45, 23, 428), + Trans(11, 46, 23, 428), + Trans(11, 47, 23, 428), + Trans(11, 51, 23, 428), + Trans(11, 93, 23, 428), + Trans(11, 102, 23, 428), + Trans(12, 5, 23, 428), + Trans(12, 6, 23, 428), + Trans(12, 7, 23, 428), + Trans(12, 8, 23, 428), + Trans(12, 9, 23, 428), + Trans(12, 10, 23, 428), + Trans(12, 11, 23, 428), + Trans(12, 18, 23, 428), + Trans(12, 24, 23, 428), + Trans(12, 25, 23, 428), + Trans(12, 26, 23, 428), + Trans(12, 27, 23, 428), + Trans(12, 38, 23, 428), + Trans(12, 39, 23, 428), + Trans(12, 41, 23, 428), + Trans(12, 53, 23, 428), + Trans(12, 70, 23, 428), + Trans(12, 76, 23, 428), + Trans(12, 83, 23, 428), + Trans(12, 86, 23, 428), + Trans(12, 88, 23, 428), + Trans(12, 105, 23, 428), + Trans(12, 112, 23, 428), + Trans(12, 113, 23, 428), + Trans(13, 5, 23, 428), + Trans(13, 6, 23, 428), + Trans(13, 7, 23, 428), + Trans(13, 8, 23, 428), + Trans(13, 9, 23, 428), + Trans(13, 10, 23, 428), + Trans(13, 11, 23, 428), + Trans(13, 18, 23, 428), + Trans(13, 24, 23, 428), + Trans(13, 25, 23, 428), + Trans(13, 26, 23, 428), + Trans(13, 27, 23, 428), + Trans(13, 38, 23, 428), + Trans(13, 39, 23, 428), + Trans(13, 41, 23, 428), + Trans(13, 53, 23, 428), + Trans(13, 65, 23, 428), + Trans(13, 69, 23, 428), + Trans(13, 70, 23, 428), + Trans(13, 76, 23, 428), + Trans(13, 80, 23, 428), + Trans(13, 83, 23, 428), + Trans(13, 86, 23, 428), + Trans(13, 88, 23, 428), + Trans(13, 99, 23, 428), + Trans(13, 100, 23, 428), + Trans(13, 105, 23, 428), + Trans(13, 112, 23, 428), + Trans(13, 113, 23, 428), + Trans(14, 5, 23, 428), + Trans(14, 6, 23, 428), + Trans(14, 7, 23, 428), + Trans(14, 8, 23, 428), + Trans(14, 9, 23, 428), + Trans(14, 10, 23, 428), + Trans(14, 11, 23, 428), + Trans(14, 18, 23, 428), + Trans(14, 24, 23, 428), + Trans(14, 25, 23, 428), + Trans(14, 26, 23, 428), + Trans(14, 27, 23, 428), + Trans(14, 36, 23, 428), + Trans(14, 38, 23, 428), + Trans(14, 39, 23, 428), + Trans(14, 41, 23, 428), + Trans(14, 43, 23, 428), + Trans(14, 45, 23, 428), + Trans(14, 53, 23, 428), + Trans(14, 57, 23, 428), + Trans(14, 70, 23, 428), + Trans(14, 76, 23, 428), + Trans(14, 81, 23, 428), + Trans(14, 83, 23, 428), + Trans(14, 86, 23, 428), + Trans(14, 88, 23, 428), + Trans(14, 90, 23, 428), + Trans(14, 105, 23, 428), + Trans(14, 112, 23, 428), + Trans(14, 113, 23, 428), + Trans(15, 5, 23, 428), + Trans(15, 6, 23, 428), + Trans(15, 7, 23, 428), + Trans(15, 8, 23, 428), + Trans(15, 9, 23, 428), + Trans(15, 10, 23, 428), + Trans(15, 11, 23, 428), + Trans(15, 18, 23, 428), + Trans(15, 24, 23, 428), + Trans(15, 25, 23, 428), + Trans(15, 26, 23, 428), + Trans(15, 27, 23, 428), + Trans(15, 30, 23, 428), + Trans(15, 36, 23, 428), + Trans(15, 38, 23, 428), + Trans(15, 39, 23, 428), + Trans(15, 41, 23, 428), + Trans(15, 43, 23, 428), + Trans(15, 48, 23, 428), + Trans(15, 49, 23, 428), + Trans(15, 50, 23, 428), + Trans(15, 53, 23, 428), + Trans(15, 57, 23, 428), + Trans(15, 60, 23, 428), + Trans(15, 64, 23, 428), + Trans(15, 65, 23, 428), + Trans(15, 66, 23, 428), + Trans(15, 69, 23, 428), + Trans(15, 70, 23, 428), + Trans(15, 71, 23, 428), + Trans(15, 73, 23, 428), + Trans(15, 76, 23, 428), + Trans(15, 77, 23, 428), + Trans(15, 80, 23, 428), + Trans(15, 81, 23, 428), + Trans(15, 83, 23, 428), + Trans(15, 84, 23, 428), + Trans(15, 86, 23, 428), + Trans(15, 88, 23, 428), + Trans(15, 99, 23, 428), + Trans(15, 100, 23, 428), + Trans(15, 104, 23, 428), + Trans(15, 105, 23, 428), + Trans(15, 107, 23, 428), + Trans(15, 110, 23, 428), + Trans(15, 111, 23, 428), + Trans(15, 112, 23, 428), + Trans(15, 113, 23, 428), + Trans(16, 5, 23, 428), + Trans(16, 31, 23, 428), + Trans(16, 35, 23, 428), + Trans(16, 39, 23, 428), + Trans(16, 40, 23, 428), + Trans(16, 43, 23, 428), + Trans(16, 45, 23, 428), + Trans(16, 46, 23, 428), + Trans(16, 79, 23, 428), + Trans(17, 5, 23, 428), + Trans(17, 12, 23, 428), + Trans(17, 14, 23, 428), + Trans(17, 16, 23, 428), + Trans(17, 17, 23, 428), + Trans(17, 18, 23, 428), + Trans(17, 19, 23, 428), + Trans(17, 20, 23, 428), + Trans(17, 21, 23, 428), + Trans(17, 22, 23, 428), + Trans(17, 23, 23, 428), + Trans(17, 24, 23, 428), + Trans(17, 25, 23, 428), + Trans(17, 26, 23, 428), + Trans(17, 30, 23, 428), + Trans(17, 31, 23, 428), + Trans(17, 32, 23, 428), + Trans(17, 33, 23, 428), + Trans(17, 36, 23, 428), + Trans(17, 39, 23, 428), + Trans(17, 42, 23, 428), + Trans(17, 43, 23, 428), + Trans(17, 44, 23, 428), + Trans(17, 45, 23, 428), + Trans(17, 46, 23, 428), + Trans(17, 47, 23, 428), + Trans(17, 48, 23, 428), + Trans(17, 49, 23, 428), + Trans(17, 50, 23, 428), + Trans(17, 51, 23, 428), + Trans(17, 58, 23, 428), + Trans(17, 60, 23, 428), + Trans(17, 61, 23, 428), + Trans(17, 64, 23, 428), + Trans(17, 65, 23, 428), + Trans(17, 66, 23, 428), + Trans(17, 70, 23, 428), + Trans(17, 71, 23, 428), + Trans(17, 73, 23, 428), + Trans(17, 77, 23, 428), + Trans(17, 80, 23, 428), + Trans(17, 81, 23, 428), + Trans(17, 84, 23, 428), + Trans(17, 93, 23, 428), + Trans(17, 102, 23, 428), + Trans(17, 104, 23, 428), + Trans(17, 107, 23, 428), + Trans(17, 110, 23, 428), + Trans(17, 111, 23, 428), + Trans(18, 5, 23, 428), + Trans(18, 12, 23, 428), + Trans(18, 14, 23, 428), + Trans(18, 15, 23, 428), + Trans(18, 16, 23, 428), + Trans(18, 17, 23, 428), + Trans(18, 18, 23, 428), + Trans(18, 19, 23, 428), + Trans(18, 20, 23, 428), + Trans(18, 21, 23, 428), + Trans(18, 22, 23, 428), + Trans(18, 23, 23, 428), + Trans(18, 24, 23, 428), + Trans(18, 25, 23, 428), + Trans(18, 26, 23, 428), + Trans(18, 30, 23, 428), + Trans(18, 31, 23, 428), + Trans(18, 32, 23, 428), + Trans(18, 33, 23, 428), + Trans(18, 34, 23, 428), + Trans(18, 35, 23, 428), + Trans(18, 36, 23, 428), + Trans(18, 39, 23, 428), + Trans(18, 40, 23, 428), + Trans(18, 41, 23, 428), + Trans(18, 42, 23, 428), + Trans(18, 43, 23, 428), + Trans(18, 44, 23, 428), + Trans(18, 45, 23, 428), + Trans(18, 46, 23, 428), + Trans(18, 47, 23, 428), + Trans(18, 51, 23, 428), + Trans(18, 93, 23, 428), + Trans(18, 102, 23, 428), + Trans(19, 5, 23, 428), + Trans(19, 12, 23, 428), + Trans(19, 14, 23, 428), + Trans(19, 16, 23, 428), + Trans(19, 17, 23, 428), + Trans(19, 18, 23, 428), + Trans(19, 19, 23, 428), + Trans(19, 20, 23, 428), + Trans(19, 21, 23, 428), + Trans(19, 22, 23, 428), + Trans(19, 23, 23, 428), + Trans(19, 24, 23, 428), + Trans(19, 25, 23, 428), + Trans(19, 26, 23, 428), + Trans(19, 30, 23, 428), + Trans(19, 31, 23, 428), + Trans(19, 32, 23, 428), + Trans(19, 33, 23, 428), + Trans(19, 39, 23, 428), + Trans(19, 41, 23, 428), + Trans(19, 42, 23, 428), + Trans(19, 43, 23, 428), + Trans(19, 44, 23, 428), + Trans(19, 45, 23, 428), + Trans(19, 46, 23, 428), + Trans(19, 47, 23, 428), + Trans(19, 51, 23, 428), + Trans(19, 93, 23, 428), + Trans(19, 102, 23, 428), + Trans(20, 5, 23, 428), + Trans(20, 6, 23, 428), + Trans(20, 7, 23, 428), + Trans(20, 8, 23, 428), + Trans(20, 9, 23, 428), + Trans(20, 10, 23, 428), + Trans(20, 11, 23, 428), + Trans(20, 18, 23, 428), + Trans(20, 24, 23, 428), + Trans(20, 25, 23, 428), + Trans(20, 26, 23, 428), + Trans(20, 27, 23, 428), + Trans(20, 30, 23, 428), + Trans(20, 36, 23, 428), + Trans(20, 38, 23, 428), + Trans(20, 39, 23, 428), + Trans(20, 41, 23, 428), + Trans(20, 43, 23, 428), + Trans(20, 48, 23, 428), + Trans(20, 49, 23, 428), + Trans(20, 50, 23, 428), + Trans(20, 53, 23, 428), + Trans(20, 57, 23, 428), + Trans(20, 60, 23, 428), + Trans(20, 61, 23, 428), + Trans(20, 64, 23, 428), + Trans(20, 65, 23, 428), + Trans(20, 66, 23, 428), + Trans(20, 69, 23, 428), + Trans(20, 70, 23, 428), + Trans(20, 71, 23, 428), + Trans(20, 73, 23, 428), + Trans(20, 76, 23, 428), + Trans(20, 77, 23, 428), + Trans(20, 80, 23, 428), + Trans(20, 81, 23, 428), + Trans(20, 83, 23, 428), + Trans(20, 84, 23, 428), + Trans(20, 86, 23, 428), + Trans(20, 88, 23, 428), + Trans(20, 99, 23, 428), + Trans(20, 100, 23, 428), + Trans(20, 104, 23, 428), + Trans(20, 105, 23, 428), + Trans(20, 107, 23, 428), + Trans(20, 110, 23, 428), + Trans(20, 111, 23, 428), + Trans(20, 112, 23, 428), + Trans(20, 113, 23, 428), + Trans(21, 5, 23, 428), + Trans(21, 112, 23, 428), + Trans(21, 113, 23, 428), + Trans(22, 5, 23, 428), + Trans(22, 6, 23, 428), + Trans(22, 7, 23, 428), + Trans(22, 8, 23, 428), + Trans(22, 9, 23, 428), + Trans(22, 10, 23, 428), + Trans(22, 11, 23, 428), + Trans(22, 15, 23, 428), + Trans(22, 18, 23, 428), + Trans(22, 24, 23, 428), + Trans(22, 25, 23, 428), + Trans(22, 26, 23, 428), + Trans(22, 27, 23, 428), + Trans(22, 38, 23, 428), + Trans(22, 39, 23, 428), + Trans(22, 41, 23, 428), + Trans(22, 53, 23, 428), + Trans(22, 70, 23, 428), + Trans(22, 76, 23, 428), + Trans(22, 83, 23, 428), + Trans(22, 86, 23, 428), + Trans(22, 88, 23, 428), + Trans(22, 105, 23, 428), + Trans(22, 112, 23, 428), + Trans(22, 113, 23, 428), + Trans(24, 5, 23, 428), + Trans(24, 12, 23, 428), + Trans(24, 14, 23, 428), + Trans(24, 16, 23, 428), + Trans(24, 17, 23, 428), + Trans(24, 18, 23, 428), + Trans(24, 19, 23, 428), + Trans(24, 20, 23, 428), + Trans(24, 21, 23, 428), + Trans(24, 22, 23, 428), + Trans(24, 23, 23, 428), + Trans(24, 24, 23, 428), + Trans(24, 25, 23, 428), + Trans(24, 26, 23, 428), + Trans(24, 30, 23, 428), + Trans(24, 31, 23, 428), + Trans(24, 32, 23, 428), + Trans(24, 33, 23, 428), + Trans(24, 39, 23, 428), + Trans(24, 42, 23, 428), + Trans(24, 43, 23, 428), + Trans(24, 44, 23, 428), + Trans(24, 45, 23, 428), + Trans(24, 46, 23, 428), + Trans(24, 47, 23, 428), + Trans(24, 51, 23, 428), + Trans(24, 93, 23, 428), + Trans(24, 102, 23, 428), ], k: 3, }, /* 22 - "ArgumentListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 425), Trans(0, 45, 2, 426)], + transitions: &[Trans(0, 31, 1, 429), Trans(0, 45, 2, 430)], k: 1, }, /* 23 - "Array" */ LookaheadDFA { - prod0: 478, + prod0: 483, transitions: &[], k: 0, }, /* 24 - "ArrayList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 479), Trans(0, 44, 2, 480)], + transitions: &[Trans(0, 31, 1, 484), Trans(0, 44, 2, 485)], k: 1, }, /* 25 - "ArrayLiteralItem" */ LookaheadDFA { - prod0: 441, + prod0: 445, transitions: &[], k: 0, }, @@ -1885,29 +1916,30 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 442), - Trans(0, 7, 1, 442), - Trans(0, 8, 1, 442), - Trans(0, 9, 1, 442), - Trans(0, 10, 1, 442), - Trans(0, 11, 1, 442), - Trans(0, 18, 1, 442), - Trans(0, 24, 1, 442), - Trans(0, 25, 1, 442), - Trans(0, 26, 1, 442), - Trans(0, 27, 1, 442), - Trans(0, 38, 1, 442), - Trans(0, 39, 1, 442), - Trans(0, 41, 1, 442), - Trans(0, 53, 1, 442), - Trans(0, 57, 2, 443), - Trans(0, 70, 1, 442), - Trans(0, 76, 1, 442), - Trans(0, 83, 1, 442), - Trans(0, 86, 1, 442), - Trans(0, 88, 1, 442), - Trans(0, 111, 1, 442), - Trans(0, 112, 1, 442), + Trans(0, 6, 1, 446), + Trans(0, 7, 1, 446), + Trans(0, 8, 1, 446), + Trans(0, 9, 1, 446), + Trans(0, 10, 1, 446), + Trans(0, 11, 1, 446), + Trans(0, 18, 1, 446), + Trans(0, 24, 1, 446), + Trans(0, 25, 1, 446), + Trans(0, 26, 1, 446), + Trans(0, 27, 1, 446), + Trans(0, 38, 1, 446), + Trans(0, 39, 1, 446), + Trans(0, 41, 1, 446), + Trans(0, 53, 1, 446), + Trans(0, 57, 2, 447), + Trans(0, 70, 1, 446), + Trans(0, 76, 1, 446), + Trans(0, 83, 1, 446), + Trans(0, 86, 1, 446), + Trans(0, 88, 1, 446), + Trans(0, 105, 1, 446), + Trans(0, 112, 1, 446), + Trans(0, 113, 1, 446), ], k: 1, }, @@ -1915,15 +1947,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 445), - Trans(0, 43, 2, 445), - Trans(0, 93, 1, 444), + Trans(0, 31, 2, 449), + Trans(0, 43, 2, 449), + Trans(0, 93, 1, 448), ], k: 1, }, /* 28 - "ArrayLiteralList" */ LookaheadDFA { - prod0: 436, + prod0: 440, transitions: &[], k: 0, }, @@ -1932,8 +1964,8 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ prod0: -1, transitions: &[ Trans(0, 31, 1, -1), - Trans(0, 43, 10, -1), - Trans(1, 5, 9, -1), + Trans(0, 43, 11, -1), + Trans(1, 5, 10, -1), Trans(1, 6, 2, -1), Trans(1, 7, 2, -1), Trans(1, 8, 2, -1), @@ -1948,7 +1980,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 38, 5, -1), Trans(1, 39, 4, -1), Trans(1, 41, 4, -1), - Trans(1, 43, 24, -1), + Trans(1, 43, 25, -1), Trans(1, 53, 4, -1), Trans(1, 57, 6, -1), Trans(1, 70, 4, -1), @@ -1956,559 +1988,571 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 83, 2, -1), Trans(1, 86, 2, -1), Trans(1, 88, 4, -1), - Trans(1, 111, 7, -1), + Trans(1, 105, 7, -1), Trans(1, 112, 8, -1), - Trans(2, 5, 3, 437), - Trans(2, 16, 3, 437), - Trans(2, 17, 3, 437), - Trans(2, 18, 3, 437), - Trans(2, 19, 3, 437), - Trans(2, 20, 3, 437), - Trans(2, 21, 3, 437), - Trans(2, 22, 3, 437), - Trans(2, 23, 3, 437), - Trans(2, 24, 3, 437), - Trans(2, 25, 3, 437), - Trans(2, 26, 3, 437), - Trans(2, 31, 3, 437), - Trans(2, 43, 3, 437), - Trans(2, 47, 3, 437), - Trans(2, 51, 3, 437), - Trans(2, 93, 3, 437), - Trans(4, 5, 3, 437), - Trans(4, 6, 3, 437), - Trans(4, 7, 3, 437), - Trans(4, 8, 3, 437), - Trans(4, 9, 3, 437), - Trans(4, 10, 3, 437), - Trans(4, 11, 3, 437), - Trans(4, 18, 3, 437), - Trans(4, 24, 3, 437), - Trans(4, 25, 3, 437), - Trans(4, 26, 3, 437), - Trans(4, 27, 3, 437), - Trans(4, 38, 3, 437), - Trans(4, 39, 3, 437), - Trans(4, 41, 3, 437), - Trans(4, 53, 3, 437), - Trans(4, 70, 3, 437), - Trans(4, 76, 3, 437), - Trans(4, 83, 3, 437), - Trans(4, 86, 3, 437), - Trans(4, 88, 3, 437), - Trans(4, 111, 3, 437), - Trans(4, 112, 3, 437), - Trans(5, 5, 3, 437), - Trans(5, 6, 3, 437), - Trans(5, 7, 3, 437), - Trans(5, 8, 3, 437), - Trans(5, 9, 3, 437), - Trans(5, 10, 3, 437), - Trans(5, 11, 3, 437), - Trans(5, 18, 3, 437), - Trans(5, 24, 3, 437), - Trans(5, 25, 3, 437), - Trans(5, 26, 3, 437), - Trans(5, 27, 3, 437), - Trans(5, 38, 3, 437), - Trans(5, 39, 3, 437), - Trans(5, 41, 3, 437), - Trans(5, 53, 3, 437), - Trans(5, 57, 3, 437), - Trans(5, 70, 3, 437), - Trans(5, 76, 3, 437), - Trans(5, 83, 3, 437), - Trans(5, 86, 3, 437), - Trans(5, 88, 3, 437), - Trans(5, 111, 3, 437), - Trans(5, 112, 3, 437), - Trans(6, 5, 3, 437), - Trans(6, 30, 3, 437), - Trans(7, 5, 3, 437), - Trans(7, 16, 3, 437), - Trans(7, 17, 3, 437), - Trans(7, 18, 3, 437), - Trans(7, 19, 3, 437), - Trans(7, 20, 3, 437), - Trans(7, 21, 3, 437), - Trans(7, 22, 3, 437), - Trans(7, 23, 3, 437), - Trans(7, 24, 3, 437), - Trans(7, 25, 3, 437), - Trans(7, 26, 3, 437), - Trans(7, 29, 3, 437), - Trans(7, 31, 3, 437), - Trans(7, 34, 3, 437), - Trans(7, 40, 3, 437), - Trans(7, 41, 3, 437), - Trans(7, 43, 3, 437), - Trans(7, 47, 3, 437), - Trans(7, 51, 3, 437), - Trans(7, 93, 3, 437), - Trans(8, 5, 3, 437), - Trans(8, 16, 3, 437), - Trans(8, 17, 3, 437), - Trans(8, 18, 3, 437), - Trans(8, 19, 3, 437), - Trans(8, 20, 3, 437), - Trans(8, 21, 3, 437), - Trans(8, 22, 3, 437), - Trans(8, 23, 3, 437), - Trans(8, 24, 3, 437), - Trans(8, 25, 3, 437), - Trans(8, 26, 3, 437), - Trans(8, 28, 3, 437), - Trans(8, 29, 3, 437), - Trans(8, 31, 3, 437), - Trans(8, 34, 3, 437), - Trans(8, 40, 3, 437), - Trans(8, 41, 3, 437), - Trans(8, 43, 3, 437), - Trans(8, 47, 3, 437), - Trans(8, 51, 3, 437), - Trans(8, 93, 3, 437), - Trans(9, 6, 3, 437), - Trans(9, 7, 3, 437), - Trans(9, 8, 3, 437), - Trans(9, 9, 3, 437), - Trans(9, 10, 3, 437), - Trans(9, 11, 3, 437), - Trans(9, 18, 3, 437), - Trans(9, 24, 3, 437), - Trans(9, 25, 3, 437), - Trans(9, 26, 3, 437), - Trans(9, 27, 3, 437), - Trans(9, 38, 3, 437), - Trans(9, 39, 3, 437), - Trans(9, 41, 3, 437), - Trans(9, 43, 23, 438), - Trans(9, 53, 3, 437), - Trans(9, 57, 3, 437), - Trans(9, 70, 3, 437), - Trans(9, 76, 3, 437), - Trans(9, 83, 3, 437), - Trans(9, 86, 3, 437), - Trans(9, 88, 3, 437), - Trans(9, 111, 3, 437), - Trans(9, 112, 3, 437), - Trans(10, 5, 11, -1), - Trans(10, 12, 12, -1), - Trans(10, 14, 12, -1), - Trans(10, 16, 12, -1), - Trans(10, 17, 12, -1), - Trans(10, 18, 12, -1), - Trans(10, 19, 12, -1), - Trans(10, 20, 12, -1), - Trans(10, 21, 12, -1), - Trans(10, 22, 12, -1), - Trans(10, 23, 12, -1), - Trans(10, 24, 12, -1), - Trans(10, 25, 12, -1), - Trans(10, 26, 12, -1), - Trans(10, 30, 13, -1), - Trans(10, 31, 14, -1), - Trans(10, 32, 12, -1), - Trans(10, 33, 12, -1), - Trans(10, 39, 15, -1), - Trans(10, 42, 16, -1), - Trans(10, 43, 17, -1), - Trans(10, 44, 18, -1), - Trans(10, 45, 19, -1), - Trans(10, 46, 20, -1), - Trans(10, 47, 12, -1), - Trans(10, 51, 21, -1), - Trans(10, 93, 12, -1), - Trans(10, 102, 22, -1), - Trans(11, 12, 23, 438), - Trans(11, 14, 23, 438), - Trans(11, 16, 23, 438), - Trans(11, 17, 23, 438), - Trans(11, 18, 23, 438), - Trans(11, 19, 23, 438), - Trans(11, 20, 23, 438), - Trans(11, 21, 23, 438), - Trans(11, 22, 23, 438), - Trans(11, 23, 23, 438), - Trans(11, 24, 23, 438), - Trans(11, 25, 23, 438), - Trans(11, 26, 23, 438), - Trans(11, 30, 23, 438), - Trans(11, 31, 23, 438), - Trans(11, 32, 23, 438), - Trans(11, 33, 23, 438), - Trans(11, 39, 23, 438), - Trans(11, 42, 23, 438), - Trans(11, 43, 23, 438), - Trans(11, 44, 23, 438), - Trans(11, 45, 23, 438), - Trans(11, 46, 23, 438), - Trans(11, 47, 23, 438), - Trans(11, 51, 23, 438), - Trans(11, 93, 23, 438), - Trans(11, 102, 23, 438), - Trans(12, 5, 23, 438), - Trans(12, 6, 23, 438), - Trans(12, 7, 23, 438), - Trans(12, 8, 23, 438), - Trans(12, 9, 23, 438), - Trans(12, 10, 23, 438), - Trans(12, 11, 23, 438), - Trans(12, 18, 23, 438), - Trans(12, 24, 23, 438), - Trans(12, 25, 23, 438), - Trans(12, 26, 23, 438), - Trans(12, 27, 23, 438), - Trans(12, 38, 23, 438), - Trans(12, 39, 23, 438), - Trans(12, 41, 23, 438), - Trans(12, 53, 23, 438), - Trans(12, 70, 23, 438), - Trans(12, 76, 23, 438), - Trans(12, 83, 23, 438), - Trans(12, 86, 23, 438), - Trans(12, 88, 23, 438), - Trans(12, 111, 23, 438), - Trans(12, 112, 23, 438), - Trans(13, 5, 23, 438), - Trans(13, 6, 23, 438), - Trans(13, 7, 23, 438), - Trans(13, 8, 23, 438), - Trans(13, 9, 23, 438), - Trans(13, 10, 23, 438), - Trans(13, 11, 23, 438), - Trans(13, 18, 23, 438), - Trans(13, 24, 23, 438), - Trans(13, 25, 23, 438), - Trans(13, 26, 23, 438), - Trans(13, 27, 23, 438), - Trans(13, 38, 23, 438), - Trans(13, 39, 23, 438), - Trans(13, 41, 23, 438), - Trans(13, 53, 23, 438), - Trans(13, 65, 23, 438), - Trans(13, 69, 23, 438), - Trans(13, 70, 23, 438), - Trans(13, 76, 23, 438), - Trans(13, 80, 23, 438), - Trans(13, 83, 23, 438), - Trans(13, 86, 23, 438), - Trans(13, 88, 23, 438), - Trans(13, 99, 23, 438), - Trans(13, 100, 23, 438), - Trans(13, 111, 23, 438), - Trans(13, 112, 23, 438), - Trans(14, 5, 23, 438), - Trans(14, 6, 23, 438), - Trans(14, 7, 23, 438), - Trans(14, 8, 23, 438), - Trans(14, 9, 23, 438), - Trans(14, 10, 23, 438), - Trans(14, 11, 23, 438), - Trans(14, 18, 23, 438), - Trans(14, 24, 23, 438), - Trans(14, 25, 23, 438), - Trans(14, 26, 23, 438), - Trans(14, 27, 23, 438), - Trans(14, 36, 23, 438), - Trans(14, 38, 23, 438), - Trans(14, 39, 23, 438), - Trans(14, 41, 23, 438), - Trans(14, 43, 23, 438), - Trans(14, 45, 23, 438), - Trans(14, 53, 23, 438), - Trans(14, 57, 23, 438), - Trans(14, 70, 23, 438), - Trans(14, 76, 23, 438), - Trans(14, 81, 23, 438), - Trans(14, 83, 23, 438), - Trans(14, 86, 23, 438), - Trans(14, 88, 23, 438), - Trans(14, 90, 23, 438), - Trans(14, 111, 23, 438), - Trans(14, 112, 23, 438), - Trans(15, 5, 23, 438), - Trans(15, 6, 23, 438), - Trans(15, 7, 23, 438), - Trans(15, 8, 23, 438), - Trans(15, 9, 23, 438), - Trans(15, 10, 23, 438), - Trans(15, 11, 23, 438), - Trans(15, 18, 23, 438), - Trans(15, 24, 23, 438), - Trans(15, 25, 23, 438), - Trans(15, 26, 23, 438), - Trans(15, 27, 23, 438), - Trans(15, 30, 23, 438), - Trans(15, 36, 23, 438), - Trans(15, 38, 23, 438), - Trans(15, 39, 23, 438), - Trans(15, 41, 23, 438), - Trans(15, 43, 23, 438), - Trans(15, 48, 23, 438), - Trans(15, 49, 23, 438), - Trans(15, 50, 23, 438), - Trans(15, 53, 23, 438), - Trans(15, 57, 23, 438), - Trans(15, 60, 23, 438), - Trans(15, 64, 23, 438), - Trans(15, 65, 23, 438), - Trans(15, 66, 23, 438), - Trans(15, 69, 23, 438), - Trans(15, 70, 23, 438), - Trans(15, 71, 23, 438), - Trans(15, 73, 23, 438), - Trans(15, 76, 23, 438), - Trans(15, 77, 23, 438), - Trans(15, 80, 23, 438), - Trans(15, 81, 23, 438), - Trans(15, 83, 23, 438), - Trans(15, 84, 23, 438), - Trans(15, 86, 23, 438), - Trans(15, 88, 23, 438), - Trans(15, 99, 23, 438), - Trans(15, 100, 23, 438), - Trans(15, 104, 23, 438), - Trans(15, 106, 23, 438), - Trans(15, 109, 23, 438), - Trans(15, 110, 23, 438), - Trans(15, 111, 23, 438), - Trans(15, 112, 23, 438), - Trans(16, 5, 23, 438), - Trans(16, 31, 23, 438), - Trans(16, 35, 23, 438), - Trans(16, 39, 23, 438), - Trans(16, 40, 23, 438), - Trans(16, 43, 23, 438), - Trans(16, 45, 23, 438), - Trans(16, 46, 23, 438), - Trans(16, 79, 23, 438), - Trans(17, 5, 23, 438), - Trans(17, 12, 23, 438), - Trans(17, 14, 23, 438), - Trans(17, 16, 23, 438), - Trans(17, 17, 23, 438), - Trans(17, 18, 23, 438), - Trans(17, 19, 23, 438), - Trans(17, 20, 23, 438), - Trans(17, 21, 23, 438), - Trans(17, 22, 23, 438), - Trans(17, 23, 23, 438), - Trans(17, 24, 23, 438), - Trans(17, 25, 23, 438), - Trans(17, 26, 23, 438), - Trans(17, 30, 23, 438), - Trans(17, 31, 23, 438), - Trans(17, 32, 23, 438), - Trans(17, 33, 23, 438), - Trans(17, 36, 23, 438), - Trans(17, 39, 23, 438), - Trans(17, 42, 23, 438), - Trans(17, 43, 23, 438), - Trans(17, 44, 23, 438), - Trans(17, 45, 23, 438), - Trans(17, 46, 23, 438), - Trans(17, 47, 23, 438), - Trans(17, 48, 23, 438), - Trans(17, 49, 23, 438), - Trans(17, 50, 23, 438), - Trans(17, 51, 23, 438), - Trans(17, 58, 23, 438), - Trans(17, 60, 23, 438), - Trans(17, 61, 23, 438), - Trans(17, 64, 23, 438), - Trans(17, 65, 23, 438), - Trans(17, 66, 23, 438), - Trans(17, 70, 23, 438), - Trans(17, 71, 23, 438), - Trans(17, 73, 23, 438), - Trans(17, 77, 23, 438), - Trans(17, 80, 23, 438), - Trans(17, 81, 23, 438), - Trans(17, 84, 23, 438), - Trans(17, 93, 23, 438), - Trans(17, 102, 23, 438), - Trans(17, 104, 23, 438), - Trans(17, 106, 23, 438), - Trans(17, 109, 23, 438), - Trans(17, 110, 23, 438), - Trans(18, 5, 23, 438), - Trans(18, 12, 23, 438), - Trans(18, 14, 23, 438), - Trans(18, 15, 23, 438), - Trans(18, 16, 23, 438), - Trans(18, 17, 23, 438), - Trans(18, 18, 23, 438), - Trans(18, 19, 23, 438), - Trans(18, 20, 23, 438), - Trans(18, 21, 23, 438), - Trans(18, 22, 23, 438), - Trans(18, 23, 23, 438), - Trans(18, 24, 23, 438), - Trans(18, 25, 23, 438), - Trans(18, 26, 23, 438), - Trans(18, 30, 23, 438), - Trans(18, 31, 23, 438), - Trans(18, 32, 23, 438), - Trans(18, 33, 23, 438), - Trans(18, 34, 23, 438), - Trans(18, 35, 23, 438), - Trans(18, 36, 23, 438), - Trans(18, 39, 23, 438), - Trans(18, 40, 23, 438), - Trans(18, 41, 23, 438), - Trans(18, 42, 23, 438), - Trans(18, 43, 23, 438), - Trans(18, 44, 23, 438), - Trans(18, 45, 23, 438), - Trans(18, 46, 23, 438), - Trans(18, 47, 23, 438), - Trans(18, 51, 23, 438), - Trans(18, 93, 23, 438), - Trans(18, 102, 23, 438), - Trans(19, 5, 23, 438), - Trans(19, 12, 23, 438), - Trans(19, 14, 23, 438), - Trans(19, 16, 23, 438), - Trans(19, 17, 23, 438), - Trans(19, 18, 23, 438), - Trans(19, 19, 23, 438), - Trans(19, 20, 23, 438), - Trans(19, 21, 23, 438), - Trans(19, 22, 23, 438), - Trans(19, 23, 23, 438), - Trans(19, 24, 23, 438), - Trans(19, 25, 23, 438), - Trans(19, 26, 23, 438), - Trans(19, 30, 23, 438), - Trans(19, 31, 23, 438), - Trans(19, 32, 23, 438), - Trans(19, 33, 23, 438), - Trans(19, 39, 23, 438), - Trans(19, 41, 23, 438), - Trans(19, 42, 23, 438), - Trans(19, 43, 23, 438), - Trans(19, 44, 23, 438), - Trans(19, 45, 23, 438), - Trans(19, 46, 23, 438), - Trans(19, 47, 23, 438), - Trans(19, 51, 23, 438), - Trans(19, 93, 23, 438), - Trans(19, 102, 23, 438), - Trans(20, 5, 23, 438), - Trans(20, 6, 23, 438), - Trans(20, 7, 23, 438), - Trans(20, 8, 23, 438), - Trans(20, 9, 23, 438), - Trans(20, 10, 23, 438), - Trans(20, 11, 23, 438), - Trans(20, 18, 23, 438), - Trans(20, 24, 23, 438), - Trans(20, 25, 23, 438), - Trans(20, 26, 23, 438), - Trans(20, 27, 23, 438), - Trans(20, 30, 23, 438), - Trans(20, 36, 23, 438), - Trans(20, 38, 23, 438), - Trans(20, 39, 23, 438), - Trans(20, 41, 23, 438), - Trans(20, 43, 23, 438), - Trans(20, 48, 23, 438), - Trans(20, 49, 23, 438), - Trans(20, 50, 23, 438), - Trans(20, 53, 23, 438), - Trans(20, 57, 23, 438), - Trans(20, 60, 23, 438), - Trans(20, 61, 23, 438), - Trans(20, 64, 23, 438), - Trans(20, 65, 23, 438), - Trans(20, 66, 23, 438), - Trans(20, 69, 23, 438), - Trans(20, 70, 23, 438), - Trans(20, 71, 23, 438), - Trans(20, 73, 23, 438), - Trans(20, 76, 23, 438), - Trans(20, 77, 23, 438), - Trans(20, 80, 23, 438), - Trans(20, 81, 23, 438), - Trans(20, 83, 23, 438), - Trans(20, 84, 23, 438), - Trans(20, 86, 23, 438), - Trans(20, 88, 23, 438), - Trans(20, 99, 23, 438), - Trans(20, 100, 23, 438), - Trans(20, 104, 23, 438), - Trans(20, 106, 23, 438), - Trans(20, 109, 23, 438), - Trans(20, 110, 23, 438), - Trans(20, 111, 23, 438), - Trans(20, 112, 23, 438), - Trans(21, 5, 23, 438), - Trans(21, 111, 23, 438), - Trans(21, 112, 23, 438), - Trans(22, 5, 23, 438), - Trans(22, 6, 23, 438), - Trans(22, 7, 23, 438), - Trans(22, 8, 23, 438), - Trans(22, 9, 23, 438), - Trans(22, 10, 23, 438), - Trans(22, 11, 23, 438), - Trans(22, 15, 23, 438), - Trans(22, 18, 23, 438), - Trans(22, 24, 23, 438), - Trans(22, 25, 23, 438), - Trans(22, 26, 23, 438), - Trans(22, 27, 23, 438), - Trans(22, 38, 23, 438), - Trans(22, 39, 23, 438), - Trans(22, 41, 23, 438), - Trans(22, 53, 23, 438), - Trans(22, 70, 23, 438), - Trans(22, 76, 23, 438), - Trans(22, 83, 23, 438), - Trans(22, 86, 23, 438), - Trans(22, 88, 23, 438), - Trans(22, 111, 23, 438), - Trans(22, 112, 23, 438), - Trans(24, 5, 23, 438), - Trans(24, 12, 23, 438), - Trans(24, 14, 23, 438), - Trans(24, 16, 23, 438), - Trans(24, 17, 23, 438), - Trans(24, 18, 23, 438), - Trans(24, 19, 23, 438), - Trans(24, 20, 23, 438), - Trans(24, 21, 23, 438), - Trans(24, 22, 23, 438), - Trans(24, 23, 23, 438), - Trans(24, 24, 23, 438), - Trans(24, 25, 23, 438), - Trans(24, 26, 23, 438), - Trans(24, 30, 23, 438), - Trans(24, 31, 23, 438), - Trans(24, 32, 23, 438), - Trans(24, 33, 23, 438), - Trans(24, 39, 23, 438), - Trans(24, 42, 23, 438), - Trans(24, 43, 23, 438), - Trans(24, 44, 23, 438), - Trans(24, 45, 23, 438), - Trans(24, 46, 23, 438), - Trans(24, 47, 23, 438), - Trans(24, 51, 23, 438), - Trans(24, 93, 23, 438), - Trans(24, 102, 23, 438), + Trans(1, 113, 9, -1), + Trans(2, 5, 3, 441), + Trans(2, 16, 3, 441), + Trans(2, 17, 3, 441), + Trans(2, 18, 3, 441), + Trans(2, 19, 3, 441), + Trans(2, 20, 3, 441), + Trans(2, 21, 3, 441), + Trans(2, 22, 3, 441), + Trans(2, 23, 3, 441), + Trans(2, 24, 3, 441), + Trans(2, 25, 3, 441), + Trans(2, 26, 3, 441), + Trans(2, 31, 3, 441), + Trans(2, 43, 3, 441), + Trans(2, 47, 3, 441), + Trans(2, 51, 3, 441), + Trans(2, 93, 3, 441), + Trans(4, 5, 3, 441), + Trans(4, 6, 3, 441), + Trans(4, 7, 3, 441), + Trans(4, 8, 3, 441), + Trans(4, 9, 3, 441), + Trans(4, 10, 3, 441), + Trans(4, 11, 3, 441), + Trans(4, 18, 3, 441), + Trans(4, 24, 3, 441), + Trans(4, 25, 3, 441), + Trans(4, 26, 3, 441), + Trans(4, 27, 3, 441), + Trans(4, 38, 3, 441), + Trans(4, 39, 3, 441), + Trans(4, 41, 3, 441), + Trans(4, 53, 3, 441), + Trans(4, 70, 3, 441), + Trans(4, 76, 3, 441), + Trans(4, 83, 3, 441), + Trans(4, 86, 3, 441), + Trans(4, 88, 3, 441), + Trans(4, 105, 3, 441), + Trans(4, 112, 3, 441), + Trans(4, 113, 3, 441), + Trans(5, 5, 3, 441), + Trans(5, 6, 3, 441), + Trans(5, 7, 3, 441), + Trans(5, 8, 3, 441), + Trans(5, 9, 3, 441), + Trans(5, 10, 3, 441), + Trans(5, 11, 3, 441), + Trans(5, 18, 3, 441), + Trans(5, 24, 3, 441), + Trans(5, 25, 3, 441), + Trans(5, 26, 3, 441), + Trans(5, 27, 3, 441), + Trans(5, 38, 3, 441), + Trans(5, 39, 3, 441), + Trans(5, 41, 3, 441), + Trans(5, 53, 3, 441), + Trans(5, 57, 3, 441), + Trans(5, 70, 3, 441), + Trans(5, 76, 3, 441), + Trans(5, 83, 3, 441), + Trans(5, 86, 3, 441), + Trans(5, 88, 3, 441), + Trans(5, 105, 3, 441), + Trans(5, 112, 3, 441), + Trans(5, 113, 3, 441), + Trans(6, 5, 3, 441), + Trans(6, 30, 3, 441), + Trans(7, 5, 3, 441), + Trans(7, 39, 3, 441), + Trans(8, 5, 3, 441), + Trans(8, 16, 3, 441), + Trans(8, 17, 3, 441), + Trans(8, 18, 3, 441), + Trans(8, 19, 3, 441), + Trans(8, 20, 3, 441), + Trans(8, 21, 3, 441), + Trans(8, 22, 3, 441), + Trans(8, 23, 3, 441), + Trans(8, 24, 3, 441), + Trans(8, 25, 3, 441), + Trans(8, 26, 3, 441), + Trans(8, 29, 3, 441), + Trans(8, 31, 3, 441), + Trans(8, 34, 3, 441), + Trans(8, 40, 3, 441), + Trans(8, 41, 3, 441), + Trans(8, 43, 3, 441), + Trans(8, 47, 3, 441), + Trans(8, 51, 3, 441), + Trans(8, 93, 3, 441), + Trans(9, 5, 3, 441), + Trans(9, 16, 3, 441), + Trans(9, 17, 3, 441), + Trans(9, 18, 3, 441), + Trans(9, 19, 3, 441), + Trans(9, 20, 3, 441), + Trans(9, 21, 3, 441), + Trans(9, 22, 3, 441), + Trans(9, 23, 3, 441), + Trans(9, 24, 3, 441), + Trans(9, 25, 3, 441), + Trans(9, 26, 3, 441), + Trans(9, 28, 3, 441), + Trans(9, 29, 3, 441), + Trans(9, 31, 3, 441), + Trans(9, 34, 3, 441), + Trans(9, 40, 3, 441), + Trans(9, 41, 3, 441), + Trans(9, 43, 3, 441), + Trans(9, 47, 3, 441), + Trans(9, 51, 3, 441), + Trans(9, 93, 3, 441), + Trans(10, 6, 3, 441), + Trans(10, 7, 3, 441), + Trans(10, 8, 3, 441), + Trans(10, 9, 3, 441), + Trans(10, 10, 3, 441), + Trans(10, 11, 3, 441), + Trans(10, 18, 3, 441), + Trans(10, 24, 3, 441), + Trans(10, 25, 3, 441), + Trans(10, 26, 3, 441), + Trans(10, 27, 3, 441), + Trans(10, 38, 3, 441), + Trans(10, 39, 3, 441), + Trans(10, 41, 3, 441), + Trans(10, 43, 24, 442), + Trans(10, 53, 3, 441), + Trans(10, 57, 3, 441), + Trans(10, 70, 3, 441), + Trans(10, 76, 3, 441), + Trans(10, 83, 3, 441), + Trans(10, 86, 3, 441), + Trans(10, 88, 3, 441), + Trans(10, 105, 3, 441), + Trans(10, 112, 3, 441), + Trans(10, 113, 3, 441), + Trans(11, 5, 12, -1), + Trans(11, 12, 13, -1), + Trans(11, 14, 13, -1), + Trans(11, 16, 13, -1), + Trans(11, 17, 13, -1), + Trans(11, 18, 13, -1), + Trans(11, 19, 13, -1), + Trans(11, 20, 13, -1), + Trans(11, 21, 13, -1), + Trans(11, 22, 13, -1), + Trans(11, 23, 13, -1), + Trans(11, 24, 13, -1), + Trans(11, 25, 13, -1), + Trans(11, 26, 13, -1), + Trans(11, 30, 14, -1), + Trans(11, 31, 15, -1), + Trans(11, 32, 13, -1), + Trans(11, 33, 13, -1), + Trans(11, 39, 16, -1), + Trans(11, 42, 17, -1), + Trans(11, 43, 18, -1), + Trans(11, 44, 19, -1), + Trans(11, 45, 20, -1), + Trans(11, 46, 21, -1), + Trans(11, 47, 13, -1), + Trans(11, 51, 22, -1), + Trans(11, 93, 13, -1), + Trans(11, 102, 23, -1), + Trans(12, 12, 24, 442), + Trans(12, 14, 24, 442), + Trans(12, 16, 24, 442), + Trans(12, 17, 24, 442), + Trans(12, 18, 24, 442), + Trans(12, 19, 24, 442), + Trans(12, 20, 24, 442), + Trans(12, 21, 24, 442), + Trans(12, 22, 24, 442), + Trans(12, 23, 24, 442), + Trans(12, 24, 24, 442), + Trans(12, 25, 24, 442), + Trans(12, 26, 24, 442), + Trans(12, 30, 24, 442), + Trans(12, 31, 24, 442), + Trans(12, 32, 24, 442), + Trans(12, 33, 24, 442), + Trans(12, 39, 24, 442), + Trans(12, 42, 24, 442), + Trans(12, 43, 24, 442), + Trans(12, 44, 24, 442), + Trans(12, 45, 24, 442), + Trans(12, 46, 24, 442), + Trans(12, 47, 24, 442), + Trans(12, 51, 24, 442), + Trans(12, 93, 24, 442), + Trans(12, 102, 24, 442), + Trans(13, 5, 24, 442), + Trans(13, 6, 24, 442), + Trans(13, 7, 24, 442), + Trans(13, 8, 24, 442), + Trans(13, 9, 24, 442), + Trans(13, 10, 24, 442), + Trans(13, 11, 24, 442), + Trans(13, 18, 24, 442), + Trans(13, 24, 24, 442), + Trans(13, 25, 24, 442), + Trans(13, 26, 24, 442), + Trans(13, 27, 24, 442), + Trans(13, 38, 24, 442), + Trans(13, 39, 24, 442), + Trans(13, 41, 24, 442), + Trans(13, 53, 24, 442), + Trans(13, 70, 24, 442), + Trans(13, 76, 24, 442), + Trans(13, 83, 24, 442), + Trans(13, 86, 24, 442), + Trans(13, 88, 24, 442), + Trans(13, 105, 24, 442), + Trans(13, 112, 24, 442), + Trans(13, 113, 24, 442), + Trans(14, 5, 24, 442), + Trans(14, 6, 24, 442), + Trans(14, 7, 24, 442), + Trans(14, 8, 24, 442), + Trans(14, 9, 24, 442), + Trans(14, 10, 24, 442), + Trans(14, 11, 24, 442), + Trans(14, 18, 24, 442), + Trans(14, 24, 24, 442), + Trans(14, 25, 24, 442), + Trans(14, 26, 24, 442), + Trans(14, 27, 24, 442), + Trans(14, 38, 24, 442), + Trans(14, 39, 24, 442), + Trans(14, 41, 24, 442), + Trans(14, 53, 24, 442), + Trans(14, 65, 24, 442), + Trans(14, 69, 24, 442), + Trans(14, 70, 24, 442), + Trans(14, 76, 24, 442), + Trans(14, 80, 24, 442), + Trans(14, 83, 24, 442), + Trans(14, 86, 24, 442), + Trans(14, 88, 24, 442), + Trans(14, 99, 24, 442), + Trans(14, 100, 24, 442), + Trans(14, 105, 24, 442), + Trans(14, 112, 24, 442), + Trans(14, 113, 24, 442), + Trans(15, 5, 24, 442), + Trans(15, 6, 24, 442), + Trans(15, 7, 24, 442), + Trans(15, 8, 24, 442), + Trans(15, 9, 24, 442), + Trans(15, 10, 24, 442), + Trans(15, 11, 24, 442), + Trans(15, 18, 24, 442), + Trans(15, 24, 24, 442), + Trans(15, 25, 24, 442), + Trans(15, 26, 24, 442), + Trans(15, 27, 24, 442), + Trans(15, 36, 24, 442), + Trans(15, 38, 24, 442), + Trans(15, 39, 24, 442), + Trans(15, 41, 24, 442), + Trans(15, 43, 24, 442), + Trans(15, 45, 24, 442), + Trans(15, 53, 24, 442), + Trans(15, 57, 24, 442), + Trans(15, 70, 24, 442), + Trans(15, 76, 24, 442), + Trans(15, 81, 24, 442), + Trans(15, 83, 24, 442), + Trans(15, 86, 24, 442), + Trans(15, 88, 24, 442), + Trans(15, 90, 24, 442), + Trans(15, 105, 24, 442), + Trans(15, 112, 24, 442), + Trans(15, 113, 24, 442), + Trans(16, 5, 24, 442), + Trans(16, 6, 24, 442), + Trans(16, 7, 24, 442), + Trans(16, 8, 24, 442), + Trans(16, 9, 24, 442), + Trans(16, 10, 24, 442), + Trans(16, 11, 24, 442), + Trans(16, 18, 24, 442), + Trans(16, 24, 24, 442), + Trans(16, 25, 24, 442), + Trans(16, 26, 24, 442), + Trans(16, 27, 24, 442), + Trans(16, 30, 24, 442), + Trans(16, 36, 24, 442), + Trans(16, 38, 24, 442), + Trans(16, 39, 24, 442), + Trans(16, 41, 24, 442), + Trans(16, 43, 24, 442), + Trans(16, 48, 24, 442), + Trans(16, 49, 24, 442), + Trans(16, 50, 24, 442), + Trans(16, 53, 24, 442), + Trans(16, 57, 24, 442), + Trans(16, 60, 24, 442), + Trans(16, 64, 24, 442), + Trans(16, 65, 24, 442), + Trans(16, 66, 24, 442), + Trans(16, 69, 24, 442), + Trans(16, 70, 24, 442), + Trans(16, 71, 24, 442), + Trans(16, 73, 24, 442), + Trans(16, 76, 24, 442), + Trans(16, 77, 24, 442), + Trans(16, 80, 24, 442), + Trans(16, 81, 24, 442), + Trans(16, 83, 24, 442), + Trans(16, 84, 24, 442), + Trans(16, 86, 24, 442), + Trans(16, 88, 24, 442), + Trans(16, 99, 24, 442), + Trans(16, 100, 24, 442), + Trans(16, 104, 24, 442), + Trans(16, 105, 24, 442), + Trans(16, 107, 24, 442), + Trans(16, 110, 24, 442), + Trans(16, 111, 24, 442), + Trans(16, 112, 24, 442), + Trans(16, 113, 24, 442), + Trans(17, 5, 24, 442), + Trans(17, 31, 24, 442), + Trans(17, 35, 24, 442), + Trans(17, 39, 24, 442), + Trans(17, 40, 24, 442), + Trans(17, 43, 24, 442), + Trans(17, 45, 24, 442), + Trans(17, 46, 24, 442), + Trans(17, 79, 24, 442), + Trans(18, 5, 24, 442), + Trans(18, 12, 24, 442), + Trans(18, 14, 24, 442), + Trans(18, 16, 24, 442), + Trans(18, 17, 24, 442), + Trans(18, 18, 24, 442), + Trans(18, 19, 24, 442), + Trans(18, 20, 24, 442), + Trans(18, 21, 24, 442), + Trans(18, 22, 24, 442), + Trans(18, 23, 24, 442), + Trans(18, 24, 24, 442), + Trans(18, 25, 24, 442), + Trans(18, 26, 24, 442), + Trans(18, 30, 24, 442), + Trans(18, 31, 24, 442), + Trans(18, 32, 24, 442), + Trans(18, 33, 24, 442), + Trans(18, 36, 24, 442), + Trans(18, 39, 24, 442), + Trans(18, 42, 24, 442), + Trans(18, 43, 24, 442), + Trans(18, 44, 24, 442), + Trans(18, 45, 24, 442), + Trans(18, 46, 24, 442), + Trans(18, 47, 24, 442), + Trans(18, 48, 24, 442), + Trans(18, 49, 24, 442), + Trans(18, 50, 24, 442), + Trans(18, 51, 24, 442), + Trans(18, 58, 24, 442), + Trans(18, 60, 24, 442), + Trans(18, 61, 24, 442), + Trans(18, 64, 24, 442), + Trans(18, 65, 24, 442), + Trans(18, 66, 24, 442), + Trans(18, 70, 24, 442), + Trans(18, 71, 24, 442), + Trans(18, 73, 24, 442), + Trans(18, 77, 24, 442), + Trans(18, 80, 24, 442), + Trans(18, 81, 24, 442), + Trans(18, 84, 24, 442), + Trans(18, 93, 24, 442), + Trans(18, 102, 24, 442), + Trans(18, 104, 24, 442), + Trans(18, 107, 24, 442), + Trans(18, 110, 24, 442), + Trans(18, 111, 24, 442), + Trans(19, 5, 24, 442), + Trans(19, 12, 24, 442), + Trans(19, 14, 24, 442), + Trans(19, 15, 24, 442), + Trans(19, 16, 24, 442), + Trans(19, 17, 24, 442), + Trans(19, 18, 24, 442), + Trans(19, 19, 24, 442), + Trans(19, 20, 24, 442), + Trans(19, 21, 24, 442), + Trans(19, 22, 24, 442), + Trans(19, 23, 24, 442), + Trans(19, 24, 24, 442), + Trans(19, 25, 24, 442), + Trans(19, 26, 24, 442), + Trans(19, 30, 24, 442), + Trans(19, 31, 24, 442), + Trans(19, 32, 24, 442), + Trans(19, 33, 24, 442), + Trans(19, 34, 24, 442), + Trans(19, 35, 24, 442), + Trans(19, 36, 24, 442), + Trans(19, 39, 24, 442), + Trans(19, 40, 24, 442), + Trans(19, 41, 24, 442), + Trans(19, 42, 24, 442), + Trans(19, 43, 24, 442), + Trans(19, 44, 24, 442), + Trans(19, 45, 24, 442), + Trans(19, 46, 24, 442), + Trans(19, 47, 24, 442), + Trans(19, 51, 24, 442), + Trans(19, 93, 24, 442), + Trans(19, 102, 24, 442), + Trans(20, 5, 24, 442), + Trans(20, 12, 24, 442), + Trans(20, 14, 24, 442), + Trans(20, 16, 24, 442), + Trans(20, 17, 24, 442), + Trans(20, 18, 24, 442), + Trans(20, 19, 24, 442), + Trans(20, 20, 24, 442), + Trans(20, 21, 24, 442), + Trans(20, 22, 24, 442), + Trans(20, 23, 24, 442), + Trans(20, 24, 24, 442), + Trans(20, 25, 24, 442), + Trans(20, 26, 24, 442), + Trans(20, 30, 24, 442), + Trans(20, 31, 24, 442), + Trans(20, 32, 24, 442), + Trans(20, 33, 24, 442), + Trans(20, 39, 24, 442), + Trans(20, 41, 24, 442), + Trans(20, 42, 24, 442), + Trans(20, 43, 24, 442), + Trans(20, 44, 24, 442), + Trans(20, 45, 24, 442), + Trans(20, 46, 24, 442), + Trans(20, 47, 24, 442), + Trans(20, 51, 24, 442), + Trans(20, 93, 24, 442), + Trans(20, 102, 24, 442), + Trans(21, 5, 24, 442), + Trans(21, 6, 24, 442), + Trans(21, 7, 24, 442), + Trans(21, 8, 24, 442), + Trans(21, 9, 24, 442), + Trans(21, 10, 24, 442), + Trans(21, 11, 24, 442), + Trans(21, 18, 24, 442), + Trans(21, 24, 24, 442), + Trans(21, 25, 24, 442), + Trans(21, 26, 24, 442), + Trans(21, 27, 24, 442), + Trans(21, 30, 24, 442), + Trans(21, 36, 24, 442), + Trans(21, 38, 24, 442), + Trans(21, 39, 24, 442), + Trans(21, 41, 24, 442), + Trans(21, 43, 24, 442), + Trans(21, 48, 24, 442), + Trans(21, 49, 24, 442), + Trans(21, 50, 24, 442), + Trans(21, 53, 24, 442), + Trans(21, 57, 24, 442), + Trans(21, 60, 24, 442), + Trans(21, 61, 24, 442), + Trans(21, 64, 24, 442), + Trans(21, 65, 24, 442), + Trans(21, 66, 24, 442), + Trans(21, 69, 24, 442), + Trans(21, 70, 24, 442), + Trans(21, 71, 24, 442), + Trans(21, 73, 24, 442), + Trans(21, 76, 24, 442), + Trans(21, 77, 24, 442), + Trans(21, 80, 24, 442), + Trans(21, 81, 24, 442), + Trans(21, 83, 24, 442), + Trans(21, 84, 24, 442), + Trans(21, 86, 24, 442), + Trans(21, 88, 24, 442), + Trans(21, 99, 24, 442), + Trans(21, 100, 24, 442), + Trans(21, 104, 24, 442), + Trans(21, 105, 24, 442), + Trans(21, 107, 24, 442), + Trans(21, 110, 24, 442), + Trans(21, 111, 24, 442), + Trans(21, 112, 24, 442), + Trans(21, 113, 24, 442), + Trans(22, 5, 24, 442), + Trans(22, 112, 24, 442), + Trans(22, 113, 24, 442), + Trans(23, 5, 24, 442), + Trans(23, 6, 24, 442), + Trans(23, 7, 24, 442), + Trans(23, 8, 24, 442), + Trans(23, 9, 24, 442), + Trans(23, 10, 24, 442), + Trans(23, 11, 24, 442), + Trans(23, 15, 24, 442), + Trans(23, 18, 24, 442), + Trans(23, 24, 24, 442), + Trans(23, 25, 24, 442), + Trans(23, 26, 24, 442), + Trans(23, 27, 24, 442), + Trans(23, 38, 24, 442), + Trans(23, 39, 24, 442), + Trans(23, 41, 24, 442), + Trans(23, 53, 24, 442), + Trans(23, 70, 24, 442), + Trans(23, 76, 24, 442), + Trans(23, 83, 24, 442), + Trans(23, 86, 24, 442), + Trans(23, 88, 24, 442), + Trans(23, 105, 24, 442), + Trans(23, 112, 24, 442), + Trans(23, 113, 24, 442), + Trans(25, 5, 24, 442), + Trans(25, 12, 24, 442), + Trans(25, 14, 24, 442), + Trans(25, 16, 24, 442), + Trans(25, 17, 24, 442), + Trans(25, 18, 24, 442), + Trans(25, 19, 24, 442), + Trans(25, 20, 24, 442), + Trans(25, 21, 24, 442), + Trans(25, 22, 24, 442), + Trans(25, 23, 24, 442), + Trans(25, 24, 24, 442), + Trans(25, 25, 24, 442), + Trans(25, 26, 24, 442), + Trans(25, 30, 24, 442), + Trans(25, 31, 24, 442), + Trans(25, 32, 24, 442), + Trans(25, 33, 24, 442), + Trans(25, 39, 24, 442), + Trans(25, 42, 24, 442), + Trans(25, 43, 24, 442), + Trans(25, 44, 24, 442), + Trans(25, 45, 24, 442), + Trans(25, 46, 24, 442), + Trans(25, 47, 24, 442), + Trans(25, 51, 24, 442), + Trans(25, 93, 24, 442), + Trans(25, 102, 24, 442), ], k: 3, }, /* 30 - "ArrayLiteralListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 439), Trans(0, 43, 2, 440)], + transitions: &[Trans(0, 31, 1, 443), Trans(0, 43, 2, 444)], k: 1, }, /* 31 - "ArrayType" */ LookaheadDFA { - prod0: 514, + prod0: 519, transitions: &[], k: 0, }, @@ -2516,18 +2560,18 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 516), - Trans(0, 35, 2, 516), - Trans(0, 40, 1, 515), - Trans(0, 43, 2, 516), - Trans(0, 45, 2, 516), - Trans(0, 46, 2, 516), + Trans(0, 31, 2, 521), + Trans(0, 35, 2, 521), + Trans(0, 40, 1, 520), + Trans(0, 43, 2, 521), + Trans(0, 45, 2, 521), + Trans(0, 46, 2, 521), ], k: 1, }, /* 33 - "As" */ LookaheadDFA { - prod0: 265, + prod0: 267, transitions: &[], k: 0, }, @@ -2539,19 +2583,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 35 - "AsToken" */ LookaheadDFA { - prod0: 157, + prod0: 158, transitions: &[], k: 0, }, /* 36 - "Assign" */ LookaheadDFA { - prod0: 266, + prod0: 268, transitions: &[], k: 0, }, /* 37 - "AssignDeclaration" */ LookaheadDFA { - prod0: 602, + prod0: 622, transitions: &[], k: 0, }, @@ -2563,25 +2607,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 39 - "AssignToken" */ LookaheadDFA { - prod0: 158, + prod0: 159, transitions: &[], k: 0, }, /* 40 - "Assignment" */ LookaheadDFA { - prod0: 529, + prod0: 535, transitions: &[], k: 0, }, /* 41 - "AssignmentGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 15, 2, 531), Trans(0, 35, 1, 530)], + transitions: &[Trans(0, 15, 2, 537), Trans(0, 35, 1, 536)], k: 1, }, /* 42 - "AssignmentOperator" */ LookaheadDFA { - prod0: 227, + prod0: 229, transitions: &[], k: 0, }, @@ -2593,25 +2637,25 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 44 - "AssignmentOperatorToken" */ LookaheadDFA { - prod0: 119, + prod0: 120, transitions: &[], k: 0, }, /* 45 - "Attribute" */ LookaheadDFA { - prod0: 573, + prod0: 593, transitions: &[], k: 0, }, /* 46 - "AttributeItem" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 6, 2, 582), Trans(0, 112, 1, 581)], + transitions: &[Trans(0, 6, 2, 602), Trans(0, 113, 1, 601)], k: 1, }, /* 47 - "AttributeList" */ LookaheadDFA { - prod0: 576, + prod0: 596, transitions: &[], k: 0, }, @@ -2624,67 +2668,67 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 5, 4, -1), Trans(1, 6, 2, -1), Trans(1, 45, 9, -1), - Trans(1, 112, 2, -1), - Trans(2, 5, 3, 577), - Trans(2, 31, 3, 577), - Trans(2, 45, 3, 577), - Trans(4, 6, 3, 577), - Trans(4, 45, 8, 578), - Trans(4, 112, 3, 577), + Trans(1, 113, 2, -1), + Trans(2, 5, 3, 597), + Trans(2, 31, 3, 597), + Trans(2, 45, 3, 597), + Trans(4, 6, 3, 597), + Trans(4, 45, 8, 598), + Trans(4, 113, 3, 597), Trans(5, 5, 6, -1), Trans(5, 44, 7, -1), - Trans(6, 44, 8, 578), - Trans(7, 5, 8, 578), - Trans(7, 30, 8, 578), - Trans(7, 36, 8, 578), - Trans(7, 39, 8, 578), - Trans(7, 48, 8, 578), - Trans(7, 49, 8, 578), - Trans(7, 50, 8, 578), - Trans(7, 59, 8, 578), - Trans(7, 60, 8, 578), - Trans(7, 61, 8, 578), - Trans(7, 64, 8, 578), - Trans(7, 65, 8, 578), - Trans(7, 66, 8, 578), - Trans(7, 70, 8, 578), - Trans(7, 71, 8, 578), - Trans(7, 72, 8, 578), - Trans(7, 73, 8, 578), - Trans(7, 77, 8, 578), - Trans(7, 78, 8, 578), - Trans(7, 80, 8, 578), - Trans(7, 81, 8, 578), - Trans(7, 84, 8, 578), - Trans(7, 85, 8, 578), - Trans(7, 89, 8, 578), - Trans(7, 90, 8, 578), - Trans(7, 91, 8, 578), - Trans(7, 104, 8, 578), - Trans(7, 106, 8, 578), - Trans(7, 109, 8, 578), - Trans(7, 110, 8, 578), - Trans(7, 112, 8, 578), - Trans(9, 5, 8, 578), - Trans(9, 44, 8, 578), + Trans(6, 44, 8, 598), + Trans(7, 5, 8, 598), + Trans(7, 30, 8, 598), + Trans(7, 36, 8, 598), + Trans(7, 39, 8, 598), + Trans(7, 48, 8, 598), + Trans(7, 49, 8, 598), + Trans(7, 50, 8, 598), + Trans(7, 59, 8, 598), + Trans(7, 60, 8, 598), + Trans(7, 61, 8, 598), + Trans(7, 64, 8, 598), + Trans(7, 65, 8, 598), + Trans(7, 66, 8, 598), + Trans(7, 70, 8, 598), + Trans(7, 71, 8, 598), + Trans(7, 72, 8, 598), + Trans(7, 73, 8, 598), + Trans(7, 77, 8, 598), + Trans(7, 78, 8, 598), + Trans(7, 80, 8, 598), + Trans(7, 81, 8, 598), + Trans(7, 84, 8, 598), + Trans(7, 85, 8, 598), + Trans(7, 89, 8, 598), + Trans(7, 90, 8, 598), + Trans(7, 91, 8, 598), + Trans(7, 104, 8, 598), + Trans(7, 107, 8, 598), + Trans(7, 110, 8, 598), + Trans(7, 111, 8, 598), + Trans(7, 113, 8, 598), + Trans(9, 5, 8, 598), + Trans(9, 44, 8, 598), ], k: 3, }, /* 49 - "AttributeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 579), Trans(0, 45, 2, 580)], + transitions: &[Trans(0, 31, 1, 599), Trans(0, 45, 2, 600)], k: 1, }, /* 50 - "AttributeOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 41, 1, 574), Trans(0, 44, 2, 575)], + transitions: &[Trans(0, 41, 1, 594), Trans(0, 44, 2, 595)], k: 1, }, /* 51 - "BaseLess" */ LookaheadDFA { - prod0: 225, + prod0: 227, transitions: &[], k: 0, }, @@ -2696,13 +2740,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 53 - "BaseLessToken" */ LookaheadDFA { - prod0: 117, + prod0: 118, transitions: &[], k: 0, }, /* 54 - "Based" */ LookaheadDFA { - prod0: 224, + prod0: 226, transitions: &[], k: 0, }, @@ -2714,13 +2758,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 56 - "BasedToken" */ LookaheadDFA { - prod0: 116, + prod0: 117, transitions: &[], k: 0, }, /* 57 - "Bit" */ LookaheadDFA { - prod0: 267, + prod0: 269, transitions: &[], k: 0, }, @@ -2732,19 +2776,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 59 - "BitToken" */ LookaheadDFA { - prod0: 159, + prod0: 160, transitions: &[], k: 0, }, /* 60 - "Break" */ LookaheadDFA { - prod0: 268, + prod0: 270, transitions: &[], k: 0, }, /* 61 - "BreakStatement" */ LookaheadDFA { - prod0: 555, + prod0: 561, transitions: &[], k: 0, }, @@ -2756,62 +2800,63 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 63 - "BreakToken" */ LookaheadDFA { - prod0: 207, + prod0: 208, transitions: &[], k: 0, }, /* 64 - "Case" */ LookaheadDFA { - prod0: 269, + prod0: 271, transitions: &[], k: 0, }, - /* 65 - "CaseExpression" */ + /* 65 - "CaseCondition" */ LookaheadDFA { - prod0: 449, + prod0: 577, transitions: &[], k: 0, }, - /* 66 - "CaseExpressionList" */ + /* 66 - "CaseConditionList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 455), Trans(0, 31, 1, 454)], + transitions: &[Trans(0, 30, 2, 579), Trans(0, 31, 1, 578)], k: 1, }, - /* 67 - "CaseExpressionList0" */ + /* 67 - "CaseExpression" */ LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 6, 1, 450), - Trans(0, 7, 1, 450), - Trans(0, 8, 1, 450), - Trans(0, 9, 1, 450), - Trans(0, 10, 1, 450), - Trans(0, 11, 1, 450), - Trans(0, 18, 1, 450), - Trans(0, 24, 1, 450), - Trans(0, 25, 1, 450), - Trans(0, 26, 1, 450), - Trans(0, 27, 1, 450), - Trans(0, 38, 1, 450), - Trans(0, 39, 1, 450), - Trans(0, 41, 1, 450), - Trans(0, 53, 1, 450), - Trans(0, 57, 2, 453), - Trans(0, 70, 1, 450), - Trans(0, 76, 1, 450), - Trans(0, 83, 1, 450), - Trans(0, 86, 1, 450), - Trans(0, 88, 1, 450), - Trans(0, 111, 1, 450), - Trans(0, 112, 1, 450), - ], - k: 1, + prod0: 453, + transitions: &[], + k: 0, }, - /* 68 - "CaseExpressionList0List" */ + /* 68 - "CaseExpressionList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 452), Trans(0, 31, 1, 451)], + transitions: &[ + Trans(0, 6, 1, 454), + Trans(0, 7, 1, 454), + Trans(0, 8, 1, 454), + Trans(0, 9, 1, 454), + Trans(0, 10, 1, 454), + Trans(0, 11, 1, 454), + Trans(0, 18, 1, 454), + Trans(0, 24, 1, 454), + Trans(0, 25, 1, 454), + Trans(0, 26, 1, 454), + Trans(0, 27, 1, 454), + Trans(0, 38, 1, 454), + Trans(0, 39, 1, 454), + Trans(0, 41, 1, 454), + Trans(0, 53, 1, 454), + Trans(0, 57, 2, 455), + Trans(0, 70, 1, 454), + Trans(0, 76, 1, 454), + Trans(0, 83, 1, 454), + Trans(0, 86, 1, 454), + Trans(0, 88, 1, 454), + Trans(0, 105, 1, 454), + Trans(0, 112, 1, 454), + Trans(0, 113, 1, 454), + ], k: 1, }, /* 69 - "CaseExpressionOpt" */ @@ -2822,7 +2867,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ }, /* 70 - "CaseItem" */ LookaheadDFA { - prod0: 564, + prod0: 570, transitions: &[], k: 0, }, @@ -2830,29 +2875,30 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 569), - Trans(0, 7, 1, 569), - Trans(0, 8, 1, 569), - Trans(0, 9, 1, 569), - Trans(0, 10, 1, 569), - Trans(0, 11, 1, 569), - Trans(0, 18, 1, 569), - Trans(0, 24, 1, 569), - Trans(0, 25, 1, 569), - Trans(0, 26, 1, 569), - Trans(0, 27, 1, 569), - Trans(0, 38, 1, 569), - Trans(0, 39, 1, 569), - Trans(0, 41, 1, 569), - Trans(0, 53, 1, 569), - Trans(0, 57, 2, 572), - Trans(0, 70, 1, 569), - Trans(0, 76, 1, 569), - Trans(0, 83, 1, 569), - Trans(0, 86, 1, 569), - Trans(0, 88, 1, 569), - Trans(0, 111, 1, 569), - Trans(0, 112, 1, 569), + Trans(0, 6, 1, 575), + Trans(0, 7, 1, 575), + Trans(0, 8, 1, 575), + Trans(0, 9, 1, 575), + Trans(0, 10, 1, 575), + Trans(0, 11, 1, 575), + Trans(0, 18, 1, 575), + Trans(0, 24, 1, 575), + Trans(0, 25, 1, 575), + Trans(0, 26, 1, 575), + Trans(0, 27, 1, 575), + Trans(0, 38, 1, 575), + Trans(0, 39, 1, 575), + Trans(0, 41, 1, 575), + Trans(0, 53, 1, 575), + Trans(0, 57, 2, 576), + Trans(0, 70, 1, 575), + Trans(0, 76, 1, 575), + Trans(0, 83, 1, 575), + Trans(0, 86, 1, 575), + Trans(0, 88, 1, 575), + Trans(0, 105, 1, 575), + Trans(0, 112, 1, 575), + Trans(0, 113, 1, 575), ], k: 1, }, @@ -2860,16 +2906,17 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 2, 566), - Trans(0, 53, 1, 565), - Trans(0, 65, 1, 565), - Trans(0, 69, 1, 565), - Trans(0, 70, 1, 565), - Trans(0, 80, 1, 565), - Trans(0, 99, 1, 565), - Trans(0, 100, 1, 565), - Trans(0, 111, 1, 565), - Trans(0, 112, 1, 565), + Trans(0, 39, 2, 572), + Trans(0, 53, 1, 571), + Trans(0, 65, 1, 571), + Trans(0, 69, 1, 571), + Trans(0, 70, 1, 571), + Trans(0, 80, 1, 571), + Trans(0, 99, 1, 571), + Trans(0, 100, 1, 571), + Trans(0, 105, 1, 571), + Trans(0, 112, 1, 571), + Trans(0, 113, 1, 571), ], k: 1, }, @@ -2877,357 +2924,354 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 568), - Trans(0, 53, 1, 567), - Trans(0, 65, 1, 567), - Trans(0, 69, 1, 567), - Trans(0, 70, 1, 567), - Trans(0, 80, 1, 567), - Trans(0, 99, 1, 567), - Trans(0, 100, 1, 567), - Trans(0, 111, 1, 567), - Trans(0, 112, 1, 567), + Trans(0, 43, 2, 574), + Trans(0, 53, 1, 573), + Trans(0, 65, 1, 573), + Trans(0, 69, 1, 573), + Trans(0, 70, 1, 573), + Trans(0, 80, 1, 573), + Trans(0, 99, 1, 573), + Trans(0, 100, 1, 573), + Trans(0, 105, 1, 573), + Trans(0, 112, 1, 573), + Trans(0, 113, 1, 573), ], k: 1, }, - /* 74 - "CaseItemGroupList" */ - LookaheadDFA { - prod0: -1, - transitions: &[Trans(0, 30, 2, 571), Trans(0, 31, 1, 570)], - k: 1, - }, - /* 75 - "CaseStatement" */ + /* 74 - "CaseStatement" */ LookaheadDFA { - prod0: 561, + prod0: 567, transitions: &[], k: 0, }, - /* 76 - "CaseStatementList" */ + /* 75 - "CaseStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 562), - Trans(0, 7, 1, 562), - Trans(0, 8, 1, 562), - Trans(0, 9, 1, 562), - Trans(0, 10, 1, 562), - Trans(0, 11, 1, 562), - Trans(0, 18, 1, 562), - Trans(0, 24, 1, 562), - Trans(0, 25, 1, 562), - Trans(0, 26, 1, 562), - Trans(0, 27, 1, 562), - Trans(0, 38, 1, 562), - Trans(0, 39, 1, 562), - Trans(0, 41, 1, 562), - Trans(0, 43, 2, 563), - Trans(0, 53, 1, 562), - Trans(0, 57, 1, 562), - Trans(0, 70, 1, 562), - Trans(0, 76, 1, 562), - Trans(0, 83, 1, 562), - Trans(0, 86, 1, 562), - Trans(0, 88, 1, 562), - Trans(0, 111, 1, 562), - Trans(0, 112, 1, 562), + Trans(0, 6, 1, 568), + Trans(0, 7, 1, 568), + Trans(0, 8, 1, 568), + Trans(0, 9, 1, 568), + Trans(0, 10, 1, 568), + Trans(0, 11, 1, 568), + Trans(0, 18, 1, 568), + Trans(0, 24, 1, 568), + Trans(0, 25, 1, 568), + Trans(0, 26, 1, 568), + Trans(0, 27, 1, 568), + Trans(0, 38, 1, 568), + Trans(0, 39, 1, 568), + Trans(0, 41, 1, 568), + Trans(0, 43, 2, 569), + Trans(0, 53, 1, 568), + Trans(0, 57, 1, 568), + Trans(0, 70, 1, 568), + Trans(0, 76, 1, 568), + Trans(0, 83, 1, 568), + Trans(0, 86, 1, 568), + Trans(0, 88, 1, 568), + Trans(0, 105, 1, 568), + Trans(0, 112, 1, 568), + Trans(0, 113, 1, 568), ], k: 1, }, - /* 77 - "CaseTerm" */ + /* 76 - "CaseTerm" */ LookaheadDFA { prod0: 48, transitions: &[], k: 0, }, - /* 78 - "CaseToken" */ + /* 77 - "CaseToken" */ LookaheadDFA { - prod0: 160, + prod0: 161, transitions: &[], k: 0, }, - /* 79 - "Clock" */ + /* 78 - "Clock" */ LookaheadDFA { - prod0: 270, + prod0: 272, transitions: &[], k: 0, }, - /* 80 - "ClockNegedge" */ + /* 79 - "ClockNegedge" */ LookaheadDFA { - prod0: 272, + prod0: 274, transitions: &[], k: 0, }, - /* 81 - "ClockNegedgeTerm" */ + /* 80 - "ClockNegedgeTerm" */ LookaheadDFA { prod0: 51, transitions: &[], k: 0, }, - /* 82 - "ClockNegedgeToken" */ + /* 81 - "ClockNegedgeToken" */ LookaheadDFA { - prod0: 163, + prod0: 164, transitions: &[], k: 0, }, - /* 83 - "ClockPosedge" */ + /* 82 - "ClockPosedge" */ LookaheadDFA { - prod0: 271, + prod0: 273, transitions: &[], k: 0, }, - /* 84 - "ClockPosedgeTerm" */ + /* 83 - "ClockPosedgeTerm" */ LookaheadDFA { prod0: 50, transitions: &[], k: 0, }, - /* 85 - "ClockPosedgeToken" */ + /* 84 - "ClockPosedgeToken" */ LookaheadDFA { - prod0: 162, + prod0: 163, transitions: &[], k: 0, }, - /* 86 - "ClockTerm" */ + /* 85 - "ClockTerm" */ LookaheadDFA { prod0: 49, transitions: &[], k: 0, }, - /* 87 - "ClockToken" */ + /* 86 - "ClockToken" */ LookaheadDFA { - prod0: 161, + prod0: 162, transitions: &[], k: 0, }, - /* 88 - "Colon" */ + /* 87 - "Colon" */ LookaheadDFA { - prod0: 240, + prod0: 242, transitions: &[], k: 0, }, - /* 89 - "ColonColon" */ + /* 88 - "ColonColon" */ LookaheadDFA { - prod0: 242, + prod0: 244, transitions: &[], k: 0, }, - /* 90 - "ColonColonLAngle" */ + /* 89 - "ColonColonLAngle" */ LookaheadDFA { - prod0: 241, + prod0: 243, transitions: &[], k: 0, }, - /* 91 - "ColonColonLAngleTerm" */ + /* 90 - "ColonColonLAngleTerm" */ LookaheadDFA { prod0: 23, transitions: &[], k: 0, }, - /* 92 - "ColonColonLAngleToken" */ + /* 91 - "ColonColonLAngleToken" */ LookaheadDFA { - prod0: 133, + prod0: 134, transitions: &[], k: 0, }, - /* 93 - "ColonColonTerm" */ + /* 92 - "ColonColonTerm" */ LookaheadDFA { prod0: 24, transitions: &[], k: 0, }, - /* 94 - "ColonColonToken" */ + /* 93 - "ColonColonToken" */ LookaheadDFA { - prod0: 134, + prod0: 135, transitions: &[], k: 0, }, - /* 95 - "ColonTerm" */ + /* 94 - "ColonTerm" */ LookaheadDFA { prod0: 25, transitions: &[], k: 0, }, - /* 96 - "ColonToken" */ + /* 95 - "ColonToken" */ LookaheadDFA { - prod0: 132, + prod0: 133, transitions: &[], k: 0, }, - /* 97 - "Comma" */ + /* 96 - "Comma" */ LookaheadDFA { - prod0: 243, + prod0: 245, transitions: &[], k: 0, }, - /* 98 - "CommaTerm" */ + /* 97 - "CommaTerm" */ LookaheadDFA { prod0: 26, transitions: &[], k: 0, }, - /* 99 - "CommaToken" */ + /* 98 - "CommaToken" */ LookaheadDFA { - prod0: 135, + prod0: 136, transitions: &[], k: 0, }, - /* 100 - "Comments" */ + /* 99 - "Comments" */ LookaheadDFA { - prod0: 109, + prod0: 110, transitions: &[], k: 0, }, - /* 101 - "CommentsOpt" */ + /* 100 - "CommentsOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 0, 2, 111), - Trans(0, 5, 1, 110), - Trans(0, 6, 2, 111), - Trans(0, 7, 2, 111), - Trans(0, 8, 2, 111), - Trans(0, 9, 2, 111), - Trans(0, 10, 2, 111), - Trans(0, 11, 2, 111), - Trans(0, 12, 2, 111), - Trans(0, 13, 2, 111), - Trans(0, 14, 2, 111), - Trans(0, 15, 2, 111), - Trans(0, 16, 2, 111), - Trans(0, 17, 2, 111), - Trans(0, 18, 2, 111), - Trans(0, 19, 2, 111), - Trans(0, 20, 2, 111), - Trans(0, 21, 2, 111), - Trans(0, 22, 2, 111), - Trans(0, 23, 2, 111), - Trans(0, 24, 2, 111), - Trans(0, 25, 2, 111), - Trans(0, 26, 2, 111), - Trans(0, 27, 2, 111), - Trans(0, 28, 2, 111), - Trans(0, 29, 2, 111), - Trans(0, 30, 2, 111), - Trans(0, 31, 2, 111), - Trans(0, 32, 2, 111), - Trans(0, 33, 2, 111), - Trans(0, 34, 2, 111), - Trans(0, 35, 2, 111), - Trans(0, 36, 2, 111), - Trans(0, 37, 2, 111), - Trans(0, 38, 2, 111), - Trans(0, 39, 2, 111), - Trans(0, 40, 2, 111), - Trans(0, 41, 2, 111), - Trans(0, 42, 2, 111), - Trans(0, 43, 2, 111), - Trans(0, 44, 2, 111), - Trans(0, 45, 2, 111), - Trans(0, 46, 2, 111), - Trans(0, 47, 2, 111), - Trans(0, 48, 2, 111), - Trans(0, 49, 2, 111), - Trans(0, 50, 2, 111), - Trans(0, 51, 2, 111), - Trans(0, 52, 2, 111), - Trans(0, 53, 2, 111), - Trans(0, 54, 2, 111), - Trans(0, 55, 2, 111), - Trans(0, 56, 2, 111), - Trans(0, 57, 2, 111), - Trans(0, 58, 2, 111), - Trans(0, 59, 2, 111), - Trans(0, 60, 2, 111), - Trans(0, 61, 2, 111), - Trans(0, 62, 2, 111), - Trans(0, 63, 2, 111), - Trans(0, 64, 2, 111), - Trans(0, 65, 2, 111), - Trans(0, 66, 2, 111), - Trans(0, 67, 2, 111), - Trans(0, 68, 2, 111), - Trans(0, 69, 2, 111), - Trans(0, 70, 2, 111), - Trans(0, 71, 2, 111), - Trans(0, 72, 2, 111), - Trans(0, 73, 2, 111), - Trans(0, 74, 2, 111), - Trans(0, 75, 2, 111), - Trans(0, 76, 2, 111), - Trans(0, 77, 2, 111), - Trans(0, 78, 2, 111), - Trans(0, 79, 2, 111), - Trans(0, 80, 2, 111), - Trans(0, 81, 2, 111), - Trans(0, 82, 2, 111), - Trans(0, 83, 2, 111), - Trans(0, 84, 2, 111), - Trans(0, 85, 2, 111), - Trans(0, 86, 2, 111), - Trans(0, 87, 2, 111), - Trans(0, 88, 2, 111), - Trans(0, 89, 2, 111), - Trans(0, 90, 2, 111), - Trans(0, 91, 2, 111), - Trans(0, 92, 2, 111), - Trans(0, 93, 2, 111), - Trans(0, 94, 2, 111), - Trans(0, 95, 2, 111), - Trans(0, 96, 2, 111), - Trans(0, 97, 2, 111), - Trans(0, 98, 2, 111), - Trans(0, 99, 2, 111), - Trans(0, 100, 2, 111), - Trans(0, 101, 2, 111), - Trans(0, 102, 2, 111), - Trans(0, 103, 2, 111), - Trans(0, 104, 2, 111), - Trans(0, 105, 2, 111), - Trans(0, 106, 2, 111), - Trans(0, 107, 2, 111), - Trans(0, 108, 2, 111), - Trans(0, 109, 2, 111), - Trans(0, 110, 2, 111), - Trans(0, 111, 2, 111), - Trans(0, 112, 2, 111), + Trans(0, 0, 2, 112), + Trans(0, 5, 1, 111), + Trans(0, 6, 2, 112), + Trans(0, 7, 2, 112), + Trans(0, 8, 2, 112), + Trans(0, 9, 2, 112), + Trans(0, 10, 2, 112), + Trans(0, 11, 2, 112), + Trans(0, 12, 2, 112), + Trans(0, 13, 2, 112), + Trans(0, 14, 2, 112), + Trans(0, 15, 2, 112), + Trans(0, 16, 2, 112), + Trans(0, 17, 2, 112), + Trans(0, 18, 2, 112), + Trans(0, 19, 2, 112), + Trans(0, 20, 2, 112), + Trans(0, 21, 2, 112), + Trans(0, 22, 2, 112), + Trans(0, 23, 2, 112), + Trans(0, 24, 2, 112), + Trans(0, 25, 2, 112), + Trans(0, 26, 2, 112), + Trans(0, 27, 2, 112), + Trans(0, 28, 2, 112), + Trans(0, 29, 2, 112), + Trans(0, 30, 2, 112), + Trans(0, 31, 2, 112), + Trans(0, 32, 2, 112), + Trans(0, 33, 2, 112), + Trans(0, 34, 2, 112), + Trans(0, 35, 2, 112), + Trans(0, 36, 2, 112), + Trans(0, 37, 2, 112), + Trans(0, 38, 2, 112), + Trans(0, 39, 2, 112), + Trans(0, 40, 2, 112), + Trans(0, 41, 2, 112), + Trans(0, 42, 2, 112), + Trans(0, 43, 2, 112), + Trans(0, 44, 2, 112), + Trans(0, 45, 2, 112), + Trans(0, 46, 2, 112), + Trans(0, 47, 2, 112), + Trans(0, 48, 2, 112), + Trans(0, 49, 2, 112), + Trans(0, 50, 2, 112), + Trans(0, 51, 2, 112), + Trans(0, 52, 2, 112), + Trans(0, 53, 2, 112), + Trans(0, 54, 2, 112), + Trans(0, 55, 2, 112), + Trans(0, 56, 2, 112), + Trans(0, 57, 2, 112), + Trans(0, 58, 2, 112), + Trans(0, 59, 2, 112), + Trans(0, 60, 2, 112), + Trans(0, 61, 2, 112), + Trans(0, 62, 2, 112), + Trans(0, 63, 2, 112), + Trans(0, 64, 2, 112), + Trans(0, 65, 2, 112), + Trans(0, 66, 2, 112), + Trans(0, 67, 2, 112), + Trans(0, 68, 2, 112), + Trans(0, 69, 2, 112), + Trans(0, 70, 2, 112), + Trans(0, 71, 2, 112), + Trans(0, 72, 2, 112), + Trans(0, 73, 2, 112), + Trans(0, 74, 2, 112), + Trans(0, 75, 2, 112), + Trans(0, 76, 2, 112), + Trans(0, 77, 2, 112), + Trans(0, 78, 2, 112), + Trans(0, 79, 2, 112), + Trans(0, 80, 2, 112), + Trans(0, 81, 2, 112), + Trans(0, 82, 2, 112), + Trans(0, 83, 2, 112), + Trans(0, 84, 2, 112), + Trans(0, 85, 2, 112), + Trans(0, 86, 2, 112), + Trans(0, 87, 2, 112), + Trans(0, 88, 2, 112), + Trans(0, 89, 2, 112), + Trans(0, 90, 2, 112), + Trans(0, 91, 2, 112), + Trans(0, 92, 2, 112), + Trans(0, 93, 2, 112), + Trans(0, 94, 2, 112), + Trans(0, 95, 2, 112), + Trans(0, 96, 2, 112), + Trans(0, 97, 2, 112), + Trans(0, 98, 2, 112), + Trans(0, 99, 2, 112), + Trans(0, 100, 2, 112), + Trans(0, 101, 2, 112), + Trans(0, 102, 2, 112), + Trans(0, 103, 2, 112), + Trans(0, 104, 2, 112), + Trans(0, 105, 2, 112), + Trans(0, 106, 2, 112), + Trans(0, 107, 2, 112), + Trans(0, 108, 2, 112), + Trans(0, 109, 2, 112), + Trans(0, 110, 2, 112), + Trans(0, 111, 2, 112), + Trans(0, 112, 2, 112), + Trans(0, 113, 2, 112), ], k: 1, }, - /* 102 - "CommentsTerm" */ + /* 101 - "CommentsTerm" */ LookaheadDFA { prod0: 0, transitions: &[], k: 0, }, - /* 103 - "ConcatenationItem" */ + /* 102 - "ConcatenationItem" */ LookaheadDFA { - prod0: 433, + prod0: 437, transitions: &[], k: 0, }, - /* 104 - "ConcatenationItemOpt" */ + /* 103 - "ConcatenationItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 435), - Trans(0, 43, 2, 435), - Trans(0, 93, 1, 434), + Trans(0, 31, 2, 439), + Trans(0, 43, 2, 439), + Trans(0, 93, 1, 438), ], k: 1, }, - /* 105 - "ConcatenationList" */ + /* 104 - "ConcatenationList" */ LookaheadDFA { - prod0: 428, + prod0: 432, transitions: &[], k: 0, }, - /* 106 - "ConcatenationListList" */ + /* 105 - "ConcatenationListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 31, 1, -1), - Trans(0, 43, 9, -1), - Trans(1, 5, 8, -1), + Trans(0, 43, 10, -1), + Trans(1, 5, 9, -1), Trans(1, 6, 2, -1), Trans(1, 7, 2, -1), Trans(1, 8, 2, -1), @@ -3242,633 +3286,645 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 38, 5, -1), Trans(1, 39, 4, -1), Trans(1, 41, 4, -1), - Trans(1, 43, 23, -1), + Trans(1, 43, 24, -1), Trans(1, 53, 4, -1), Trans(1, 70, 4, -1), Trans(1, 76, 4, -1), Trans(1, 83, 2, -1), Trans(1, 86, 2, -1), Trans(1, 88, 4, -1), - Trans(1, 111, 6, -1), + Trans(1, 105, 6, -1), Trans(1, 112, 7, -1), - Trans(2, 5, 3, 429), - Trans(2, 16, 3, 429), - Trans(2, 17, 3, 429), - Trans(2, 18, 3, 429), - Trans(2, 19, 3, 429), - Trans(2, 20, 3, 429), - Trans(2, 21, 3, 429), - Trans(2, 22, 3, 429), - Trans(2, 23, 3, 429), - Trans(2, 24, 3, 429), - Trans(2, 25, 3, 429), - Trans(2, 26, 3, 429), - Trans(2, 31, 3, 429), - Trans(2, 43, 3, 429), - Trans(2, 47, 3, 429), - Trans(2, 51, 3, 429), - Trans(2, 93, 3, 429), - Trans(4, 5, 3, 429), - Trans(4, 6, 3, 429), - Trans(4, 7, 3, 429), - Trans(4, 8, 3, 429), - Trans(4, 9, 3, 429), - Trans(4, 10, 3, 429), - Trans(4, 11, 3, 429), - Trans(4, 18, 3, 429), - Trans(4, 24, 3, 429), - Trans(4, 25, 3, 429), - Trans(4, 26, 3, 429), - Trans(4, 27, 3, 429), - Trans(4, 38, 3, 429), - Trans(4, 39, 3, 429), - Trans(4, 41, 3, 429), - Trans(4, 53, 3, 429), - Trans(4, 70, 3, 429), - Trans(4, 76, 3, 429), - Trans(4, 83, 3, 429), - Trans(4, 86, 3, 429), - Trans(4, 88, 3, 429), - Trans(4, 111, 3, 429), - Trans(4, 112, 3, 429), - Trans(5, 5, 3, 429), - Trans(5, 6, 3, 429), - Trans(5, 7, 3, 429), - Trans(5, 8, 3, 429), - Trans(5, 9, 3, 429), - Trans(5, 10, 3, 429), - Trans(5, 11, 3, 429), - Trans(5, 18, 3, 429), - Trans(5, 24, 3, 429), - Trans(5, 25, 3, 429), - Trans(5, 26, 3, 429), - Trans(5, 27, 3, 429), - Trans(5, 38, 3, 429), - Trans(5, 39, 3, 429), - Trans(5, 41, 3, 429), - Trans(5, 53, 3, 429), - Trans(5, 57, 3, 429), - Trans(5, 70, 3, 429), - Trans(5, 76, 3, 429), - Trans(5, 83, 3, 429), - Trans(5, 86, 3, 429), - Trans(5, 88, 3, 429), - Trans(5, 111, 3, 429), - Trans(5, 112, 3, 429), - Trans(6, 5, 3, 429), - Trans(6, 16, 3, 429), - Trans(6, 17, 3, 429), - Trans(6, 18, 3, 429), - Trans(6, 19, 3, 429), - Trans(6, 20, 3, 429), - Trans(6, 21, 3, 429), - Trans(6, 22, 3, 429), - Trans(6, 23, 3, 429), - Trans(6, 24, 3, 429), - Trans(6, 25, 3, 429), - Trans(6, 26, 3, 429), - Trans(6, 29, 3, 429), - Trans(6, 31, 3, 429), - Trans(6, 34, 3, 429), - Trans(6, 40, 3, 429), - Trans(6, 41, 3, 429), - Trans(6, 43, 3, 429), - Trans(6, 47, 3, 429), - Trans(6, 51, 3, 429), - Trans(6, 93, 3, 429), - Trans(7, 5, 3, 429), - Trans(7, 16, 3, 429), - Trans(7, 17, 3, 429), - Trans(7, 18, 3, 429), - Trans(7, 19, 3, 429), - Trans(7, 20, 3, 429), - Trans(7, 21, 3, 429), - Trans(7, 22, 3, 429), - Trans(7, 23, 3, 429), - Trans(7, 24, 3, 429), - Trans(7, 25, 3, 429), - Trans(7, 26, 3, 429), - Trans(7, 28, 3, 429), - Trans(7, 29, 3, 429), - Trans(7, 31, 3, 429), - Trans(7, 34, 3, 429), - Trans(7, 40, 3, 429), - Trans(7, 41, 3, 429), - Trans(7, 43, 3, 429), - Trans(7, 47, 3, 429), - Trans(7, 51, 3, 429), - Trans(7, 93, 3, 429), - Trans(8, 6, 3, 429), - Trans(8, 7, 3, 429), - Trans(8, 8, 3, 429), - Trans(8, 9, 3, 429), - Trans(8, 10, 3, 429), - Trans(8, 11, 3, 429), - Trans(8, 18, 3, 429), - Trans(8, 24, 3, 429), - Trans(8, 25, 3, 429), - Trans(8, 26, 3, 429), - Trans(8, 27, 3, 429), - Trans(8, 38, 3, 429), - Trans(8, 39, 3, 429), - Trans(8, 41, 3, 429), - Trans(8, 43, 22, 430), - Trans(8, 53, 3, 429), - Trans(8, 70, 3, 429), - Trans(8, 76, 3, 429), - Trans(8, 83, 3, 429), - Trans(8, 86, 3, 429), - Trans(8, 88, 3, 429), - Trans(8, 111, 3, 429), - Trans(8, 112, 3, 429), - Trans(9, 5, 10, -1), - Trans(9, 12, 11, -1), - Trans(9, 14, 11, -1), - Trans(9, 16, 11, -1), - Trans(9, 17, 11, -1), - Trans(9, 18, 11, -1), - Trans(9, 19, 11, -1), - Trans(9, 20, 11, -1), - Trans(9, 21, 11, -1), - Trans(9, 22, 11, -1), - Trans(9, 23, 11, -1), - Trans(9, 24, 11, -1), - Trans(9, 25, 11, -1), - Trans(9, 26, 11, -1), - Trans(9, 30, 12, -1), - Trans(9, 31, 13, -1), - Trans(9, 32, 11, -1), - Trans(9, 33, 11, -1), - Trans(9, 39, 14, -1), - Trans(9, 42, 15, -1), - Trans(9, 43, 16, -1), - Trans(9, 44, 17, -1), - Trans(9, 45, 18, -1), - Trans(9, 46, 19, -1), - Trans(9, 47, 11, -1), - Trans(9, 51, 20, -1), - Trans(9, 93, 11, -1), - Trans(9, 102, 21, -1), - Trans(10, 12, 22, 430), - Trans(10, 14, 22, 430), - Trans(10, 16, 22, 430), - Trans(10, 17, 22, 430), - Trans(10, 18, 22, 430), - Trans(10, 19, 22, 430), - Trans(10, 20, 22, 430), - Trans(10, 21, 22, 430), - Trans(10, 22, 22, 430), - Trans(10, 23, 22, 430), - Trans(10, 24, 22, 430), - Trans(10, 25, 22, 430), - Trans(10, 26, 22, 430), - Trans(10, 30, 22, 430), - Trans(10, 31, 22, 430), - Trans(10, 32, 22, 430), - Trans(10, 33, 22, 430), - Trans(10, 39, 22, 430), - Trans(10, 42, 22, 430), - Trans(10, 43, 22, 430), - Trans(10, 44, 22, 430), - Trans(10, 45, 22, 430), - Trans(10, 46, 22, 430), - Trans(10, 47, 22, 430), - Trans(10, 51, 22, 430), - Trans(10, 93, 22, 430), - Trans(10, 102, 22, 430), - Trans(11, 5, 22, 430), - Trans(11, 6, 22, 430), - Trans(11, 7, 22, 430), - Trans(11, 8, 22, 430), - Trans(11, 9, 22, 430), - Trans(11, 10, 22, 430), - Trans(11, 11, 22, 430), - Trans(11, 18, 22, 430), - Trans(11, 24, 22, 430), - Trans(11, 25, 22, 430), - Trans(11, 26, 22, 430), - Trans(11, 27, 22, 430), - Trans(11, 38, 22, 430), - Trans(11, 39, 22, 430), - Trans(11, 41, 22, 430), - Trans(11, 53, 22, 430), - Trans(11, 70, 22, 430), - Trans(11, 76, 22, 430), - Trans(11, 83, 22, 430), - Trans(11, 86, 22, 430), - Trans(11, 88, 22, 430), - Trans(11, 111, 22, 430), - Trans(11, 112, 22, 430), - Trans(12, 5, 22, 430), - Trans(12, 6, 22, 430), - Trans(12, 7, 22, 430), - Trans(12, 8, 22, 430), - Trans(12, 9, 22, 430), - Trans(12, 10, 22, 430), - Trans(12, 11, 22, 430), - Trans(12, 18, 22, 430), - Trans(12, 24, 22, 430), - Trans(12, 25, 22, 430), - Trans(12, 26, 22, 430), - Trans(12, 27, 22, 430), - Trans(12, 38, 22, 430), - Trans(12, 39, 22, 430), - Trans(12, 41, 22, 430), - Trans(12, 53, 22, 430), - Trans(12, 65, 22, 430), - Trans(12, 69, 22, 430), - Trans(12, 70, 22, 430), - Trans(12, 76, 22, 430), - Trans(12, 80, 22, 430), - Trans(12, 83, 22, 430), - Trans(12, 86, 22, 430), - Trans(12, 88, 22, 430), - Trans(12, 99, 22, 430), - Trans(12, 100, 22, 430), - Trans(12, 111, 22, 430), - Trans(12, 112, 22, 430), - Trans(13, 5, 22, 430), - Trans(13, 6, 22, 430), - Trans(13, 7, 22, 430), - Trans(13, 8, 22, 430), - Trans(13, 9, 22, 430), - Trans(13, 10, 22, 430), - Trans(13, 11, 22, 430), - Trans(13, 18, 22, 430), - Trans(13, 24, 22, 430), - Trans(13, 25, 22, 430), - Trans(13, 26, 22, 430), - Trans(13, 27, 22, 430), - Trans(13, 36, 22, 430), - Trans(13, 38, 22, 430), - Trans(13, 39, 22, 430), - Trans(13, 41, 22, 430), - Trans(13, 43, 22, 430), - Trans(13, 45, 22, 430), - Trans(13, 53, 22, 430), - Trans(13, 57, 22, 430), - Trans(13, 70, 22, 430), - Trans(13, 76, 22, 430), - Trans(13, 81, 22, 430), - Trans(13, 83, 22, 430), - Trans(13, 86, 22, 430), - Trans(13, 88, 22, 430), - Trans(13, 90, 22, 430), - Trans(13, 111, 22, 430), - Trans(13, 112, 22, 430), - Trans(14, 5, 22, 430), - Trans(14, 6, 22, 430), - Trans(14, 7, 22, 430), - Trans(14, 8, 22, 430), - Trans(14, 9, 22, 430), - Trans(14, 10, 22, 430), - Trans(14, 11, 22, 430), - Trans(14, 18, 22, 430), - Trans(14, 24, 22, 430), - Trans(14, 25, 22, 430), - Trans(14, 26, 22, 430), - Trans(14, 27, 22, 430), - Trans(14, 30, 22, 430), - Trans(14, 36, 22, 430), - Trans(14, 38, 22, 430), - Trans(14, 39, 22, 430), - Trans(14, 41, 22, 430), - Trans(14, 43, 22, 430), - Trans(14, 48, 22, 430), - Trans(14, 49, 22, 430), - Trans(14, 50, 22, 430), - Trans(14, 53, 22, 430), - Trans(14, 57, 22, 430), - Trans(14, 60, 22, 430), - Trans(14, 64, 22, 430), - Trans(14, 65, 22, 430), - Trans(14, 66, 22, 430), - Trans(14, 69, 22, 430), - Trans(14, 70, 22, 430), - Trans(14, 71, 22, 430), - Trans(14, 73, 22, 430), - Trans(14, 76, 22, 430), - Trans(14, 77, 22, 430), - Trans(14, 80, 22, 430), - Trans(14, 81, 22, 430), - Trans(14, 83, 22, 430), - Trans(14, 84, 22, 430), - Trans(14, 86, 22, 430), - Trans(14, 88, 22, 430), - Trans(14, 99, 22, 430), - Trans(14, 100, 22, 430), - Trans(14, 104, 22, 430), - Trans(14, 106, 22, 430), - Trans(14, 109, 22, 430), - Trans(14, 110, 22, 430), - Trans(14, 111, 22, 430), - Trans(14, 112, 22, 430), - Trans(15, 5, 22, 430), - Trans(15, 31, 22, 430), - Trans(15, 35, 22, 430), - Trans(15, 39, 22, 430), - Trans(15, 40, 22, 430), - Trans(15, 43, 22, 430), - Trans(15, 45, 22, 430), - Trans(15, 46, 22, 430), - Trans(15, 79, 22, 430), - Trans(16, 5, 22, 430), - Trans(16, 12, 22, 430), - Trans(16, 14, 22, 430), - Trans(16, 16, 22, 430), - Trans(16, 17, 22, 430), - Trans(16, 18, 22, 430), - Trans(16, 19, 22, 430), - Trans(16, 20, 22, 430), - Trans(16, 21, 22, 430), - Trans(16, 22, 22, 430), - Trans(16, 23, 22, 430), - Trans(16, 24, 22, 430), - Trans(16, 25, 22, 430), - Trans(16, 26, 22, 430), - Trans(16, 30, 22, 430), - Trans(16, 31, 22, 430), - Trans(16, 32, 22, 430), - Trans(16, 33, 22, 430), - Trans(16, 36, 22, 430), - Trans(16, 39, 22, 430), - Trans(16, 42, 22, 430), - Trans(16, 43, 22, 430), - Trans(16, 44, 22, 430), - Trans(16, 45, 22, 430), - Trans(16, 46, 22, 430), - Trans(16, 47, 22, 430), - Trans(16, 48, 22, 430), - Trans(16, 49, 22, 430), - Trans(16, 50, 22, 430), - Trans(16, 51, 22, 430), - Trans(16, 58, 22, 430), - Trans(16, 60, 22, 430), - Trans(16, 61, 22, 430), - Trans(16, 64, 22, 430), - Trans(16, 65, 22, 430), - Trans(16, 66, 22, 430), - Trans(16, 70, 22, 430), - Trans(16, 71, 22, 430), - Trans(16, 73, 22, 430), - Trans(16, 77, 22, 430), - Trans(16, 80, 22, 430), - Trans(16, 81, 22, 430), - Trans(16, 84, 22, 430), - Trans(16, 93, 22, 430), - Trans(16, 102, 22, 430), - Trans(16, 104, 22, 430), - Trans(16, 106, 22, 430), - Trans(16, 109, 22, 430), - Trans(16, 110, 22, 430), - Trans(17, 5, 22, 430), - Trans(17, 12, 22, 430), - Trans(17, 14, 22, 430), - Trans(17, 15, 22, 430), - Trans(17, 16, 22, 430), - Trans(17, 17, 22, 430), - Trans(17, 18, 22, 430), - Trans(17, 19, 22, 430), - Trans(17, 20, 22, 430), - Trans(17, 21, 22, 430), - Trans(17, 22, 22, 430), - Trans(17, 23, 22, 430), - Trans(17, 24, 22, 430), - Trans(17, 25, 22, 430), - Trans(17, 26, 22, 430), - Trans(17, 30, 22, 430), - Trans(17, 31, 22, 430), - Trans(17, 32, 22, 430), - Trans(17, 33, 22, 430), - Trans(17, 34, 22, 430), - Trans(17, 35, 22, 430), - Trans(17, 36, 22, 430), - Trans(17, 39, 22, 430), - Trans(17, 40, 22, 430), - Trans(17, 41, 22, 430), - Trans(17, 42, 22, 430), - Trans(17, 43, 22, 430), - Trans(17, 44, 22, 430), - Trans(17, 45, 22, 430), - Trans(17, 46, 22, 430), - Trans(17, 47, 22, 430), - Trans(17, 51, 22, 430), - Trans(17, 93, 22, 430), - Trans(17, 102, 22, 430), - Trans(18, 5, 22, 430), - Trans(18, 12, 22, 430), - Trans(18, 14, 22, 430), - Trans(18, 16, 22, 430), - Trans(18, 17, 22, 430), - Trans(18, 18, 22, 430), - Trans(18, 19, 22, 430), - Trans(18, 20, 22, 430), - Trans(18, 21, 22, 430), - Trans(18, 22, 22, 430), - Trans(18, 23, 22, 430), - Trans(18, 24, 22, 430), - Trans(18, 25, 22, 430), - Trans(18, 26, 22, 430), - Trans(18, 30, 22, 430), - Trans(18, 31, 22, 430), - Trans(18, 32, 22, 430), - Trans(18, 33, 22, 430), - Trans(18, 39, 22, 430), - Trans(18, 41, 22, 430), - Trans(18, 42, 22, 430), - Trans(18, 43, 22, 430), - Trans(18, 44, 22, 430), - Trans(18, 45, 22, 430), - Trans(18, 46, 22, 430), - Trans(18, 47, 22, 430), - Trans(18, 51, 22, 430), - Trans(18, 93, 22, 430), - Trans(18, 102, 22, 430), - Trans(19, 5, 22, 430), - Trans(19, 6, 22, 430), - Trans(19, 7, 22, 430), - Trans(19, 8, 22, 430), - Trans(19, 9, 22, 430), - Trans(19, 10, 22, 430), - Trans(19, 11, 22, 430), - Trans(19, 18, 22, 430), - Trans(19, 24, 22, 430), - Trans(19, 25, 22, 430), - Trans(19, 26, 22, 430), - Trans(19, 27, 22, 430), - Trans(19, 30, 22, 430), - Trans(19, 36, 22, 430), - Trans(19, 38, 22, 430), - Trans(19, 39, 22, 430), - Trans(19, 41, 22, 430), - Trans(19, 43, 22, 430), - Trans(19, 48, 22, 430), - Trans(19, 49, 22, 430), - Trans(19, 50, 22, 430), - Trans(19, 53, 22, 430), - Trans(19, 57, 22, 430), - Trans(19, 60, 22, 430), - Trans(19, 61, 22, 430), - Trans(19, 64, 22, 430), - Trans(19, 65, 22, 430), - Trans(19, 66, 22, 430), - Trans(19, 69, 22, 430), - Trans(19, 70, 22, 430), - Trans(19, 71, 22, 430), - Trans(19, 73, 22, 430), - Trans(19, 76, 22, 430), - Trans(19, 77, 22, 430), - Trans(19, 80, 22, 430), - Trans(19, 81, 22, 430), - Trans(19, 83, 22, 430), - Trans(19, 84, 22, 430), - Trans(19, 86, 22, 430), - Trans(19, 88, 22, 430), - Trans(19, 99, 22, 430), - Trans(19, 100, 22, 430), - Trans(19, 104, 22, 430), - Trans(19, 106, 22, 430), - Trans(19, 109, 22, 430), - Trans(19, 110, 22, 430), - Trans(19, 111, 22, 430), - Trans(19, 112, 22, 430), - Trans(20, 5, 22, 430), - Trans(20, 111, 22, 430), - Trans(20, 112, 22, 430), - Trans(21, 5, 22, 430), - Trans(21, 6, 22, 430), - Trans(21, 7, 22, 430), - Trans(21, 8, 22, 430), - Trans(21, 9, 22, 430), - Trans(21, 10, 22, 430), - Trans(21, 11, 22, 430), - Trans(21, 15, 22, 430), - Trans(21, 18, 22, 430), - Trans(21, 24, 22, 430), - Trans(21, 25, 22, 430), - Trans(21, 26, 22, 430), - Trans(21, 27, 22, 430), - Trans(21, 38, 22, 430), - Trans(21, 39, 22, 430), - Trans(21, 41, 22, 430), - Trans(21, 53, 22, 430), - Trans(21, 70, 22, 430), - Trans(21, 76, 22, 430), - Trans(21, 83, 22, 430), - Trans(21, 86, 22, 430), - Trans(21, 88, 22, 430), - Trans(21, 111, 22, 430), - Trans(21, 112, 22, 430), - Trans(23, 5, 22, 430), - Trans(23, 12, 22, 430), - Trans(23, 14, 22, 430), - Trans(23, 16, 22, 430), - Trans(23, 17, 22, 430), - Trans(23, 18, 22, 430), - Trans(23, 19, 22, 430), - Trans(23, 20, 22, 430), - Trans(23, 21, 22, 430), - Trans(23, 22, 22, 430), - Trans(23, 23, 22, 430), - Trans(23, 24, 22, 430), - Trans(23, 25, 22, 430), - Trans(23, 26, 22, 430), - Trans(23, 30, 22, 430), - Trans(23, 31, 22, 430), - Trans(23, 32, 22, 430), - Trans(23, 33, 22, 430), - Trans(23, 39, 22, 430), - Trans(23, 42, 22, 430), - Trans(23, 43, 22, 430), - Trans(23, 44, 22, 430), - Trans(23, 45, 22, 430), - Trans(23, 46, 22, 430), - Trans(23, 47, 22, 430), - Trans(23, 51, 22, 430), - Trans(23, 93, 22, 430), - Trans(23, 102, 22, 430), + Trans(1, 113, 8, -1), + Trans(2, 5, 3, 433), + Trans(2, 16, 3, 433), + Trans(2, 17, 3, 433), + Trans(2, 18, 3, 433), + Trans(2, 19, 3, 433), + Trans(2, 20, 3, 433), + Trans(2, 21, 3, 433), + Trans(2, 22, 3, 433), + Trans(2, 23, 3, 433), + Trans(2, 24, 3, 433), + Trans(2, 25, 3, 433), + Trans(2, 26, 3, 433), + Trans(2, 31, 3, 433), + Trans(2, 43, 3, 433), + Trans(2, 47, 3, 433), + Trans(2, 51, 3, 433), + Trans(2, 93, 3, 433), + Trans(4, 5, 3, 433), + Trans(4, 6, 3, 433), + Trans(4, 7, 3, 433), + Trans(4, 8, 3, 433), + Trans(4, 9, 3, 433), + Trans(4, 10, 3, 433), + Trans(4, 11, 3, 433), + Trans(4, 18, 3, 433), + Trans(4, 24, 3, 433), + Trans(4, 25, 3, 433), + Trans(4, 26, 3, 433), + Trans(4, 27, 3, 433), + Trans(4, 38, 3, 433), + Trans(4, 39, 3, 433), + Trans(4, 41, 3, 433), + Trans(4, 53, 3, 433), + Trans(4, 70, 3, 433), + Trans(4, 76, 3, 433), + Trans(4, 83, 3, 433), + Trans(4, 86, 3, 433), + Trans(4, 88, 3, 433), + Trans(4, 105, 3, 433), + Trans(4, 112, 3, 433), + Trans(4, 113, 3, 433), + Trans(5, 5, 3, 433), + Trans(5, 6, 3, 433), + Trans(5, 7, 3, 433), + Trans(5, 8, 3, 433), + Trans(5, 9, 3, 433), + Trans(5, 10, 3, 433), + Trans(5, 11, 3, 433), + Trans(5, 18, 3, 433), + Trans(5, 24, 3, 433), + Trans(5, 25, 3, 433), + Trans(5, 26, 3, 433), + Trans(5, 27, 3, 433), + Trans(5, 38, 3, 433), + Trans(5, 39, 3, 433), + Trans(5, 41, 3, 433), + Trans(5, 53, 3, 433), + Trans(5, 57, 3, 433), + Trans(5, 70, 3, 433), + Trans(5, 76, 3, 433), + Trans(5, 83, 3, 433), + Trans(5, 86, 3, 433), + Trans(5, 88, 3, 433), + Trans(5, 105, 3, 433), + Trans(5, 112, 3, 433), + Trans(5, 113, 3, 433), + Trans(6, 5, 3, 433), + Trans(6, 39, 3, 433), + Trans(7, 5, 3, 433), + Trans(7, 16, 3, 433), + Trans(7, 17, 3, 433), + Trans(7, 18, 3, 433), + Trans(7, 19, 3, 433), + Trans(7, 20, 3, 433), + Trans(7, 21, 3, 433), + Trans(7, 22, 3, 433), + Trans(7, 23, 3, 433), + Trans(7, 24, 3, 433), + Trans(7, 25, 3, 433), + Trans(7, 26, 3, 433), + Trans(7, 29, 3, 433), + Trans(7, 31, 3, 433), + Trans(7, 34, 3, 433), + Trans(7, 40, 3, 433), + Trans(7, 41, 3, 433), + Trans(7, 43, 3, 433), + Trans(7, 47, 3, 433), + Trans(7, 51, 3, 433), + Trans(7, 93, 3, 433), + Trans(8, 5, 3, 433), + Trans(8, 16, 3, 433), + Trans(8, 17, 3, 433), + Trans(8, 18, 3, 433), + Trans(8, 19, 3, 433), + Trans(8, 20, 3, 433), + Trans(8, 21, 3, 433), + Trans(8, 22, 3, 433), + Trans(8, 23, 3, 433), + Trans(8, 24, 3, 433), + Trans(8, 25, 3, 433), + Trans(8, 26, 3, 433), + Trans(8, 28, 3, 433), + Trans(8, 29, 3, 433), + Trans(8, 31, 3, 433), + Trans(8, 34, 3, 433), + Trans(8, 40, 3, 433), + Trans(8, 41, 3, 433), + Trans(8, 43, 3, 433), + Trans(8, 47, 3, 433), + Trans(8, 51, 3, 433), + Trans(8, 93, 3, 433), + Trans(9, 6, 3, 433), + Trans(9, 7, 3, 433), + Trans(9, 8, 3, 433), + Trans(9, 9, 3, 433), + Trans(9, 10, 3, 433), + Trans(9, 11, 3, 433), + Trans(9, 18, 3, 433), + Trans(9, 24, 3, 433), + Trans(9, 25, 3, 433), + Trans(9, 26, 3, 433), + Trans(9, 27, 3, 433), + Trans(9, 38, 3, 433), + Trans(9, 39, 3, 433), + Trans(9, 41, 3, 433), + Trans(9, 43, 23, 434), + Trans(9, 53, 3, 433), + Trans(9, 70, 3, 433), + Trans(9, 76, 3, 433), + Trans(9, 83, 3, 433), + Trans(9, 86, 3, 433), + Trans(9, 88, 3, 433), + Trans(9, 105, 3, 433), + Trans(9, 112, 3, 433), + Trans(9, 113, 3, 433), + Trans(10, 5, 11, -1), + Trans(10, 12, 12, -1), + Trans(10, 14, 12, -1), + Trans(10, 16, 12, -1), + Trans(10, 17, 12, -1), + Trans(10, 18, 12, -1), + Trans(10, 19, 12, -1), + Trans(10, 20, 12, -1), + Trans(10, 21, 12, -1), + Trans(10, 22, 12, -1), + Trans(10, 23, 12, -1), + Trans(10, 24, 12, -1), + Trans(10, 25, 12, -1), + Trans(10, 26, 12, -1), + Trans(10, 30, 13, -1), + Trans(10, 31, 14, -1), + Trans(10, 32, 12, -1), + Trans(10, 33, 12, -1), + Trans(10, 39, 15, -1), + Trans(10, 42, 16, -1), + Trans(10, 43, 17, -1), + Trans(10, 44, 18, -1), + Trans(10, 45, 19, -1), + Trans(10, 46, 20, -1), + Trans(10, 47, 12, -1), + Trans(10, 51, 21, -1), + Trans(10, 93, 12, -1), + Trans(10, 102, 22, -1), + Trans(11, 12, 23, 434), + Trans(11, 14, 23, 434), + Trans(11, 16, 23, 434), + Trans(11, 17, 23, 434), + Trans(11, 18, 23, 434), + Trans(11, 19, 23, 434), + Trans(11, 20, 23, 434), + Trans(11, 21, 23, 434), + Trans(11, 22, 23, 434), + Trans(11, 23, 23, 434), + Trans(11, 24, 23, 434), + Trans(11, 25, 23, 434), + Trans(11, 26, 23, 434), + Trans(11, 30, 23, 434), + Trans(11, 31, 23, 434), + Trans(11, 32, 23, 434), + Trans(11, 33, 23, 434), + Trans(11, 39, 23, 434), + Trans(11, 42, 23, 434), + Trans(11, 43, 23, 434), + Trans(11, 44, 23, 434), + Trans(11, 45, 23, 434), + Trans(11, 46, 23, 434), + Trans(11, 47, 23, 434), + Trans(11, 51, 23, 434), + Trans(11, 93, 23, 434), + Trans(11, 102, 23, 434), + Trans(12, 5, 23, 434), + Trans(12, 6, 23, 434), + Trans(12, 7, 23, 434), + Trans(12, 8, 23, 434), + Trans(12, 9, 23, 434), + Trans(12, 10, 23, 434), + Trans(12, 11, 23, 434), + Trans(12, 18, 23, 434), + Trans(12, 24, 23, 434), + Trans(12, 25, 23, 434), + Trans(12, 26, 23, 434), + Trans(12, 27, 23, 434), + Trans(12, 38, 23, 434), + Trans(12, 39, 23, 434), + Trans(12, 41, 23, 434), + Trans(12, 53, 23, 434), + Trans(12, 70, 23, 434), + Trans(12, 76, 23, 434), + Trans(12, 83, 23, 434), + Trans(12, 86, 23, 434), + Trans(12, 88, 23, 434), + Trans(12, 105, 23, 434), + Trans(12, 112, 23, 434), + Trans(12, 113, 23, 434), + Trans(13, 5, 23, 434), + Trans(13, 6, 23, 434), + Trans(13, 7, 23, 434), + Trans(13, 8, 23, 434), + Trans(13, 9, 23, 434), + Trans(13, 10, 23, 434), + Trans(13, 11, 23, 434), + Trans(13, 18, 23, 434), + Trans(13, 24, 23, 434), + Trans(13, 25, 23, 434), + Trans(13, 26, 23, 434), + Trans(13, 27, 23, 434), + Trans(13, 38, 23, 434), + Trans(13, 39, 23, 434), + Trans(13, 41, 23, 434), + Trans(13, 53, 23, 434), + Trans(13, 65, 23, 434), + Trans(13, 69, 23, 434), + Trans(13, 70, 23, 434), + Trans(13, 76, 23, 434), + Trans(13, 80, 23, 434), + Trans(13, 83, 23, 434), + Trans(13, 86, 23, 434), + Trans(13, 88, 23, 434), + Trans(13, 99, 23, 434), + Trans(13, 100, 23, 434), + Trans(13, 105, 23, 434), + Trans(13, 112, 23, 434), + Trans(13, 113, 23, 434), + Trans(14, 5, 23, 434), + Trans(14, 6, 23, 434), + Trans(14, 7, 23, 434), + Trans(14, 8, 23, 434), + Trans(14, 9, 23, 434), + Trans(14, 10, 23, 434), + Trans(14, 11, 23, 434), + Trans(14, 18, 23, 434), + Trans(14, 24, 23, 434), + Trans(14, 25, 23, 434), + Trans(14, 26, 23, 434), + Trans(14, 27, 23, 434), + Trans(14, 36, 23, 434), + Trans(14, 38, 23, 434), + Trans(14, 39, 23, 434), + Trans(14, 41, 23, 434), + Trans(14, 43, 23, 434), + Trans(14, 45, 23, 434), + Trans(14, 53, 23, 434), + Trans(14, 57, 23, 434), + Trans(14, 70, 23, 434), + Trans(14, 76, 23, 434), + Trans(14, 81, 23, 434), + Trans(14, 83, 23, 434), + Trans(14, 86, 23, 434), + Trans(14, 88, 23, 434), + Trans(14, 90, 23, 434), + Trans(14, 105, 23, 434), + Trans(14, 112, 23, 434), + Trans(14, 113, 23, 434), + Trans(15, 5, 23, 434), + Trans(15, 6, 23, 434), + Trans(15, 7, 23, 434), + Trans(15, 8, 23, 434), + Trans(15, 9, 23, 434), + Trans(15, 10, 23, 434), + Trans(15, 11, 23, 434), + Trans(15, 18, 23, 434), + Trans(15, 24, 23, 434), + Trans(15, 25, 23, 434), + Trans(15, 26, 23, 434), + Trans(15, 27, 23, 434), + Trans(15, 30, 23, 434), + Trans(15, 36, 23, 434), + Trans(15, 38, 23, 434), + Trans(15, 39, 23, 434), + Trans(15, 41, 23, 434), + Trans(15, 43, 23, 434), + Trans(15, 48, 23, 434), + Trans(15, 49, 23, 434), + Trans(15, 50, 23, 434), + Trans(15, 53, 23, 434), + Trans(15, 57, 23, 434), + Trans(15, 60, 23, 434), + Trans(15, 64, 23, 434), + Trans(15, 65, 23, 434), + Trans(15, 66, 23, 434), + Trans(15, 69, 23, 434), + Trans(15, 70, 23, 434), + Trans(15, 71, 23, 434), + Trans(15, 73, 23, 434), + Trans(15, 76, 23, 434), + Trans(15, 77, 23, 434), + Trans(15, 80, 23, 434), + Trans(15, 81, 23, 434), + Trans(15, 83, 23, 434), + Trans(15, 84, 23, 434), + Trans(15, 86, 23, 434), + Trans(15, 88, 23, 434), + Trans(15, 99, 23, 434), + Trans(15, 100, 23, 434), + Trans(15, 104, 23, 434), + Trans(15, 105, 23, 434), + Trans(15, 107, 23, 434), + Trans(15, 110, 23, 434), + Trans(15, 111, 23, 434), + Trans(15, 112, 23, 434), + Trans(15, 113, 23, 434), + Trans(16, 5, 23, 434), + Trans(16, 31, 23, 434), + Trans(16, 35, 23, 434), + Trans(16, 39, 23, 434), + Trans(16, 40, 23, 434), + Trans(16, 43, 23, 434), + Trans(16, 45, 23, 434), + Trans(16, 46, 23, 434), + Trans(16, 79, 23, 434), + Trans(17, 5, 23, 434), + Trans(17, 12, 23, 434), + Trans(17, 14, 23, 434), + Trans(17, 16, 23, 434), + Trans(17, 17, 23, 434), + Trans(17, 18, 23, 434), + Trans(17, 19, 23, 434), + Trans(17, 20, 23, 434), + Trans(17, 21, 23, 434), + Trans(17, 22, 23, 434), + Trans(17, 23, 23, 434), + Trans(17, 24, 23, 434), + Trans(17, 25, 23, 434), + Trans(17, 26, 23, 434), + Trans(17, 30, 23, 434), + Trans(17, 31, 23, 434), + Trans(17, 32, 23, 434), + Trans(17, 33, 23, 434), + Trans(17, 36, 23, 434), + Trans(17, 39, 23, 434), + Trans(17, 42, 23, 434), + Trans(17, 43, 23, 434), + Trans(17, 44, 23, 434), + Trans(17, 45, 23, 434), + Trans(17, 46, 23, 434), + Trans(17, 47, 23, 434), + Trans(17, 48, 23, 434), + Trans(17, 49, 23, 434), + Trans(17, 50, 23, 434), + Trans(17, 51, 23, 434), + Trans(17, 58, 23, 434), + Trans(17, 60, 23, 434), + Trans(17, 61, 23, 434), + Trans(17, 64, 23, 434), + Trans(17, 65, 23, 434), + Trans(17, 66, 23, 434), + Trans(17, 70, 23, 434), + Trans(17, 71, 23, 434), + Trans(17, 73, 23, 434), + Trans(17, 77, 23, 434), + Trans(17, 80, 23, 434), + Trans(17, 81, 23, 434), + Trans(17, 84, 23, 434), + Trans(17, 93, 23, 434), + Trans(17, 102, 23, 434), + Trans(17, 104, 23, 434), + Trans(17, 107, 23, 434), + Trans(17, 110, 23, 434), + Trans(17, 111, 23, 434), + Trans(18, 5, 23, 434), + Trans(18, 12, 23, 434), + Trans(18, 14, 23, 434), + Trans(18, 15, 23, 434), + Trans(18, 16, 23, 434), + Trans(18, 17, 23, 434), + Trans(18, 18, 23, 434), + Trans(18, 19, 23, 434), + Trans(18, 20, 23, 434), + Trans(18, 21, 23, 434), + Trans(18, 22, 23, 434), + Trans(18, 23, 23, 434), + Trans(18, 24, 23, 434), + Trans(18, 25, 23, 434), + Trans(18, 26, 23, 434), + Trans(18, 30, 23, 434), + Trans(18, 31, 23, 434), + Trans(18, 32, 23, 434), + Trans(18, 33, 23, 434), + Trans(18, 34, 23, 434), + Trans(18, 35, 23, 434), + Trans(18, 36, 23, 434), + Trans(18, 39, 23, 434), + Trans(18, 40, 23, 434), + Trans(18, 41, 23, 434), + Trans(18, 42, 23, 434), + Trans(18, 43, 23, 434), + Trans(18, 44, 23, 434), + Trans(18, 45, 23, 434), + Trans(18, 46, 23, 434), + Trans(18, 47, 23, 434), + Trans(18, 51, 23, 434), + Trans(18, 93, 23, 434), + Trans(18, 102, 23, 434), + Trans(19, 5, 23, 434), + Trans(19, 12, 23, 434), + Trans(19, 14, 23, 434), + Trans(19, 16, 23, 434), + Trans(19, 17, 23, 434), + Trans(19, 18, 23, 434), + Trans(19, 19, 23, 434), + Trans(19, 20, 23, 434), + Trans(19, 21, 23, 434), + Trans(19, 22, 23, 434), + Trans(19, 23, 23, 434), + Trans(19, 24, 23, 434), + Trans(19, 25, 23, 434), + Trans(19, 26, 23, 434), + Trans(19, 30, 23, 434), + Trans(19, 31, 23, 434), + Trans(19, 32, 23, 434), + Trans(19, 33, 23, 434), + Trans(19, 39, 23, 434), + Trans(19, 41, 23, 434), + Trans(19, 42, 23, 434), + Trans(19, 43, 23, 434), + Trans(19, 44, 23, 434), + Trans(19, 45, 23, 434), + Trans(19, 46, 23, 434), + Trans(19, 47, 23, 434), + Trans(19, 51, 23, 434), + Trans(19, 93, 23, 434), + Trans(19, 102, 23, 434), + Trans(20, 5, 23, 434), + Trans(20, 6, 23, 434), + Trans(20, 7, 23, 434), + Trans(20, 8, 23, 434), + Trans(20, 9, 23, 434), + Trans(20, 10, 23, 434), + Trans(20, 11, 23, 434), + Trans(20, 18, 23, 434), + Trans(20, 24, 23, 434), + Trans(20, 25, 23, 434), + Trans(20, 26, 23, 434), + Trans(20, 27, 23, 434), + Trans(20, 30, 23, 434), + Trans(20, 36, 23, 434), + Trans(20, 38, 23, 434), + Trans(20, 39, 23, 434), + Trans(20, 41, 23, 434), + Trans(20, 43, 23, 434), + Trans(20, 48, 23, 434), + Trans(20, 49, 23, 434), + Trans(20, 50, 23, 434), + Trans(20, 53, 23, 434), + Trans(20, 57, 23, 434), + Trans(20, 60, 23, 434), + Trans(20, 61, 23, 434), + Trans(20, 64, 23, 434), + Trans(20, 65, 23, 434), + Trans(20, 66, 23, 434), + Trans(20, 69, 23, 434), + Trans(20, 70, 23, 434), + Trans(20, 71, 23, 434), + Trans(20, 73, 23, 434), + Trans(20, 76, 23, 434), + Trans(20, 77, 23, 434), + Trans(20, 80, 23, 434), + Trans(20, 81, 23, 434), + Trans(20, 83, 23, 434), + Trans(20, 84, 23, 434), + Trans(20, 86, 23, 434), + Trans(20, 88, 23, 434), + Trans(20, 99, 23, 434), + Trans(20, 100, 23, 434), + Trans(20, 104, 23, 434), + Trans(20, 105, 23, 434), + Trans(20, 107, 23, 434), + Trans(20, 110, 23, 434), + Trans(20, 111, 23, 434), + Trans(20, 112, 23, 434), + Trans(20, 113, 23, 434), + Trans(21, 5, 23, 434), + Trans(21, 112, 23, 434), + Trans(21, 113, 23, 434), + Trans(22, 5, 23, 434), + Trans(22, 6, 23, 434), + Trans(22, 7, 23, 434), + Trans(22, 8, 23, 434), + Trans(22, 9, 23, 434), + Trans(22, 10, 23, 434), + Trans(22, 11, 23, 434), + Trans(22, 15, 23, 434), + Trans(22, 18, 23, 434), + Trans(22, 24, 23, 434), + Trans(22, 25, 23, 434), + Trans(22, 26, 23, 434), + Trans(22, 27, 23, 434), + Trans(22, 38, 23, 434), + Trans(22, 39, 23, 434), + Trans(22, 41, 23, 434), + Trans(22, 53, 23, 434), + Trans(22, 70, 23, 434), + Trans(22, 76, 23, 434), + Trans(22, 83, 23, 434), + Trans(22, 86, 23, 434), + Trans(22, 88, 23, 434), + Trans(22, 105, 23, 434), + Trans(22, 112, 23, 434), + Trans(22, 113, 23, 434), + Trans(24, 5, 23, 434), + Trans(24, 12, 23, 434), + Trans(24, 14, 23, 434), + Trans(24, 16, 23, 434), + Trans(24, 17, 23, 434), + Trans(24, 18, 23, 434), + Trans(24, 19, 23, 434), + Trans(24, 20, 23, 434), + Trans(24, 21, 23, 434), + Trans(24, 22, 23, 434), + Trans(24, 23, 23, 434), + Trans(24, 24, 23, 434), + Trans(24, 25, 23, 434), + Trans(24, 26, 23, 434), + Trans(24, 30, 23, 434), + Trans(24, 31, 23, 434), + Trans(24, 32, 23, 434), + Trans(24, 33, 23, 434), + Trans(24, 39, 23, 434), + Trans(24, 42, 23, 434), + Trans(24, 43, 23, 434), + Trans(24, 44, 23, 434), + Trans(24, 45, 23, 434), + Trans(24, 46, 23, 434), + Trans(24, 47, 23, 434), + Trans(24, 51, 23, 434), + Trans(24, 93, 23, 434), + Trans(24, 102, 23, 434), ], k: 3, }, - /* 107 - "ConcatenationListOpt" */ + /* 106 - "ConcatenationListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 431), Trans(0, 43, 2, 432)], + transitions: &[Trans(0, 31, 1, 435), Trans(0, 43, 2, 436)], k: 1, }, - /* 108 - "Defaul" */ + /* 107 - "Defaul" */ LookaheadDFA { - prod0: 273, + prod0: 275, transitions: &[], k: 0, }, - /* 109 - "DefaultTerm" */ + /* 108 - "DefaultTerm" */ LookaheadDFA { prod0: 52, transitions: &[], k: 0, }, - /* 110 - "DefaultToken" */ + /* 109 - "DefaultToken" */ LookaheadDFA { - prod0: 164, + prod0: 165, transitions: &[], k: 0, }, - /* 111 - "DescriptionGroup" */ + /* 110 - "DescriptionGroup" */ LookaheadDFA { - prod0: 900, + prod0: 920, transitions: &[], k: 0, }, - /* 112 - "DescriptionGroupGroup" */ + /* 111 - "DescriptionGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 901), - Trans(0, 59, 2, 904), - Trans(0, 71, 2, 904), - Trans(0, 72, 2, 904), - Trans(0, 78, 2, 904), - Trans(0, 85, 2, 904), - Trans(0, 89, 2, 904), - Trans(0, 91, 2, 904), + Trans(0, 39, 1, 921), + Trans(0, 59, 2, 924), + Trans(0, 71, 2, 924), + Trans(0, 72, 2, 924), + Trans(0, 78, 2, 924), + Trans(0, 85, 2, 924), + Trans(0, 89, 2, 924), + Trans(0, 91, 2, 924), ], k: 1, }, - /* 113 - "DescriptionGroupGroupList" */ + /* 112 - "DescriptionGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 902), - Trans(0, 39, 1, 902), - Trans(0, 43, 2, 903), - Trans(0, 59, 1, 902), - Trans(0, 71, 1, 902), - Trans(0, 72, 1, 902), - Trans(0, 78, 1, 902), - Trans(0, 85, 1, 902), - Trans(0, 89, 1, 902), - Trans(0, 91, 1, 902), + Trans(0, 36, 1, 922), + Trans(0, 39, 1, 922), + Trans(0, 43, 2, 923), + Trans(0, 59, 1, 922), + Trans(0, 71, 1, 922), + Trans(0, 72, 1, 922), + Trans(0, 78, 1, 922), + Trans(0, 85, 1, 922), + Trans(0, 89, 1, 922), + Trans(0, 91, 1, 922), ], k: 1, }, - /* 114 - "DescriptionGroupList" */ + /* 113 - "DescriptionGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 905), - Trans(0, 39, 2, 906), - Trans(0, 59, 2, 906), - Trans(0, 71, 2, 906), - Trans(0, 72, 2, 906), - Trans(0, 78, 2, 906), - Trans(0, 85, 2, 906), - Trans(0, 89, 2, 906), - Trans(0, 91, 2, 906), + Trans(0, 36, 1, 925), + Trans(0, 39, 2, 926), + Trans(0, 59, 2, 926), + Trans(0, 71, 2, 926), + Trans(0, 72, 2, 926), + Trans(0, 78, 2, 926), + Trans(0, 85, 2, 926), + Trans(0, 89, 2, 926), + Trans(0, 91, 2, 926), ], k: 1, }, - /* 115 - "DescriptionItem" */ + /* 114 - "DescriptionItem" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -3883,283 +3939,283 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 78, 9, -1), Trans(1, 85, 2, -1), Trans(1, 89, 14, -1), - Trans(2, 5, 3, 907), - Trans(2, 112, 3, 907), + Trans(2, 5, 3, 927), + Trans(2, 113, 3, 927), Trans(4, 5, 7, -1), - Trans(4, 112, 5, -1), - Trans(5, 5, 3, 907), - Trans(5, 28, 3, 907), - Trans(5, 36, 3, 907), - Trans(5, 39, 3, 907), - Trans(5, 41, 3, 907), - Trans(6, 78, 10, 908), - Trans(6, 85, 3, 907), - Trans(6, 89, 15, 909), - Trans(7, 112, 3, 907), + Trans(4, 113, 5, -1), + Trans(5, 5, 3, 927), + Trans(5, 28, 3, 927), + Trans(5, 36, 3, 927), + Trans(5, 39, 3, 927), + Trans(5, 41, 3, 927), + Trans(6, 78, 10, 928), + Trans(6, 85, 3, 927), + Trans(6, 89, 15, 929), + Trans(7, 113, 3, 927), Trans(8, 5, 11, -1), - Trans(8, 112, 12, -1), - Trans(9, 5, 10, 908), - Trans(9, 112, 10, 908), - Trans(11, 112, 10, 908), - Trans(12, 5, 10, 908), - Trans(12, 28, 10, 908), - Trans(12, 36, 10, 908), - Trans(12, 39, 10, 908), + Trans(8, 113, 12, -1), + Trans(9, 5, 10, 928), + Trans(9, 113, 10, 928), + Trans(11, 113, 10, 928), + Trans(12, 5, 10, 928), + Trans(12, 28, 10, 928), + Trans(12, 36, 10, 928), + Trans(12, 39, 10, 928), Trans(13, 5, 16, -1), - Trans(13, 112, 17, -1), - Trans(14, 5, 15, 909), - Trans(14, 112, 15, 909), - Trans(16, 112, 15, 909), - Trans(17, 5, 15, 909), - Trans(17, 28, 15, 909), - Trans(17, 39, 15, 909), + Trans(13, 113, 17, -1), + Trans(14, 5, 15, 929), + Trans(14, 113, 15, 929), + Trans(16, 113, 15, 929), + Trans(17, 5, 15, 929), + Trans(17, 28, 15, 929), + Trans(17, 39, 15, 929), Trans(18, 5, 19, -1), - Trans(18, 111, 20, -1), - Trans(18, 112, 21, -1), - Trans(19, 111, 22, 910), - Trans(19, 112, 22, 910), - Trans(20, 5, 22, 910), - Trans(20, 29, 22, 910), - Trans(20, 46, 22, 910), - Trans(21, 5, 22, 910), - Trans(21, 28, 22, 910), - Trans(21, 29, 22, 910), - Trans(21, 46, 22, 910), + Trans(18, 112, 20, -1), + Trans(18, 113, 21, -1), + Trans(19, 112, 22, 930), + Trans(19, 113, 22, 930), + Trans(20, 5, 22, 930), + Trans(20, 29, 22, 930), + Trans(20, 46, 22, 930), + Trans(21, 5, 22, 930), + Trans(21, 28, 22, 930), + Trans(21, 29, 22, 930), + Trans(21, 46, 22, 930), Trans(23, 5, 24, -1), Trans(23, 41, 25, -1), - Trans(24, 41, 26, 911), - Trans(25, 5, 26, 911), - Trans(25, 112, 26, 911), + Trans(24, 41, 26, 931), + Trans(25, 5, 26, 931), + Trans(25, 113, 26, 931), Trans(27, 5, 28, -1), Trans(27, 41, 29, -1), - Trans(28, 41, 30, 912), - Trans(29, 5, 30, 912), - Trans(29, 112, 30, 912), + Trans(28, 41, 30, 932), + Trans(29, 5, 30, 932), + Trans(29, 113, 30, 932), ], k: 3, }, - /* 116 - "Direction" */ + /* 115 - "Direction" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 71, 6, 749), - Trans(0, 74, 3, 746), - Trans(0, 75, 1, 744), - Trans(0, 84, 5, 748), - Trans(0, 87, 2, 745), - Trans(0, 92, 4, 747), + Trans(0, 71, 6, 769), + Trans(0, 74, 3, 766), + Trans(0, 75, 1, 764), + Trans(0, 84, 5, 768), + Trans(0, 87, 2, 765), + Trans(0, 92, 4, 767), ], k: 1, }, - /* 117 - "DollarIdentifier" */ + /* 116 - "DollarIdentifier" */ LookaheadDFA { - prod0: 326, + prod0: 329, transitions: &[], k: 0, }, - /* 118 - "DollarIdentifierTerm" */ + /* 117 - "DollarIdentifierTerm" */ LookaheadDFA { - prod0: 106, + prod0: 107, transitions: &[], k: 0, }, - /* 119 - "DollarIdentifierToken" */ + /* 118 - "DollarIdentifierToken" */ LookaheadDFA { - prod0: 218, + prod0: 220, transitions: &[], k: 0, }, - /* 120 - "Dot" */ + /* 119 - "Dot" */ LookaheadDFA { - prod0: 246, + prod0: 248, transitions: &[], k: 0, }, - /* 121 - "DotDot" */ + /* 120 - "DotDot" */ LookaheadDFA { - prod0: 244, + prod0: 246, transitions: &[], k: 0, }, - /* 122 - "DotDotEqu" */ + /* 121 - "DotDotEqu" */ LookaheadDFA { - prod0: 245, + prod0: 247, transitions: &[], k: 0, }, - /* 123 - "DotDotEquTerm" */ + /* 122 - "DotDotEquTerm" */ LookaheadDFA { prod0: 27, transitions: &[], k: 0, }, - /* 124 - "DotDotEquToken" */ + /* 123 - "DotDotEquToken" */ LookaheadDFA { - prod0: 137, + prod0: 138, transitions: &[], k: 0, }, - /* 125 - "DotDotTerm" */ + /* 124 - "DotDotTerm" */ LookaheadDFA { prod0: 28, transitions: &[], k: 0, }, - /* 126 - "DotDotToken" */ + /* 125 - "DotDotToken" */ LookaheadDFA { - prod0: 136, + prod0: 137, transitions: &[], k: 0, }, - /* 127 - "DotTerm" */ + /* 126 - "DotTerm" */ LookaheadDFA { prod0: 29, transitions: &[], k: 0, }, - /* 128 - "DotToken" */ + /* 127 - "DotToken" */ LookaheadDFA { - prod0: 138, + prod0: 139, transitions: &[], k: 0, }, - /* 129 - "Else" */ + /* 128 - "Else" */ LookaheadDFA { - prod0: 274, + prod0: 276, transitions: &[], k: 0, }, - /* 130 - "ElseTerm" */ + /* 129 - "ElseTerm" */ LookaheadDFA { prod0: 53, transitions: &[], k: 0, }, - /* 131 - "ElseToken" */ + /* 130 - "ElseToken" */ LookaheadDFA { - prod0: 165, + prod0: 166, transitions: &[], k: 0, }, - /* 132 - "Embed" */ + /* 131 - "Embed" */ LookaheadDFA { - prod0: 275, + prod0: 277, transitions: &[], k: 0, }, - /* 133 - "EmbedContent" */ + /* 132 - "EmbedContent" */ LookaheadDFA { - prod0: 891, + prod0: 911, transitions: &[], k: 0, }, - /* 134 - "EmbedContentToken" */ + /* 133 - "EmbedContentToken" */ LookaheadDFA { - prod0: 892, + prod0: 912, transitions: &[], k: 0, }, - /* 135 - "EmbedContentTokenList" */ + /* 134 - "EmbedContentTokenList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 893), - Trans(0, 43, 2, 894), - Trans(0, 113, 1, 893), + Trans(0, 39, 1, 913), + Trans(0, 43, 2, 914), + Trans(0, 114, 1, 913), ], k: 1, }, - /* 136 - "EmbedDeclaration" */ + /* 135 - "EmbedDeclaration" */ LookaheadDFA { - prod0: 890, + prod0: 910, transitions: &[], k: 0, }, - /* 137 - "EmbedItem" */ + /* 136 - "EmbedItem" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 895), Trans(0, 113, 2, 898)], + transitions: &[Trans(0, 39, 1, 915), Trans(0, 114, 2, 918)], k: 1, }, - /* 138 - "EmbedItemList" */ + /* 137 - "EmbedItemList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 896), - Trans(0, 43, 2, 897), - Trans(0, 113, 1, 896), + Trans(0, 39, 1, 916), + Trans(0, 43, 2, 917), + Trans(0, 114, 1, 916), ], k: 1, }, - /* 139 - "EmbedTerm" */ + /* 138 - "EmbedTerm" */ LookaheadDFA { prod0: 54, transitions: &[], k: 0, }, - /* 140 - "EmbedToken" */ + /* 139 - "EmbedToken" */ LookaheadDFA { - prod0: 166, + prod0: 167, transitions: &[], k: 0, }, - /* 141 - "Enum" */ + /* 140 - "Enum" */ LookaheadDFA { - prod0: 276, + prod0: 278, transitions: &[], k: 0, }, - /* 142 - "EnumDeclaration" */ + /* 141 - "EnumDeclaration" */ LookaheadDFA { - prod0: 615, + prod0: 635, transitions: &[], k: 0, }, - /* 143 - "EnumGroup" */ + /* 142 - "EnumGroup" */ LookaheadDFA { - prod0: 621, + prod0: 641, transitions: &[], k: 0, }, - /* 144 - "EnumGroupGroup" */ + /* 143 - "EnumGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 622), Trans(0, 112, 2, 623)], + transitions: &[Trans(0, 39, 1, 642), Trans(0, 113, 2, 643)], k: 1, }, - /* 145 - "EnumGroupList" */ + /* 144 - "EnumGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 624), - Trans(0, 39, 2, 625), - Trans(0, 112, 2, 625), + Trans(0, 36, 1, 644), + Trans(0, 39, 2, 645), + Trans(0, 113, 2, 645), ], k: 1, }, - /* 146 - "EnumItem" */ + /* 145 - "EnumItem" */ LookaheadDFA { - prod0: 626, + prod0: 646, transitions: &[], k: 0, }, - /* 147 - "EnumItemOpt" */ + /* 146 - "EnumItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 628), - Trans(0, 35, 1, 627), - Trans(0, 43, 2, 628), + Trans(0, 31, 2, 648), + Trans(0, 35, 1, 647), + Trans(0, 43, 2, 648), ], k: 1, }, - /* 148 - "EnumList" */ + /* 147 - "EnumList" */ LookaheadDFA { - prod0: 616, + prod0: 636, transitions: &[], k: 0, }, - /* 149 - "EnumListList" */ + /* 148 - "EnumListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4169,21 +4225,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 36, 2, -1), Trans(1, 39, 4, -1), Trans(1, 43, 20, -1), - Trans(1, 112, 5, -1), - Trans(2, 5, 3, 617), - Trans(2, 40, 3, 617), - Trans(4, 5, 3, 617), - Trans(4, 36, 3, 617), - Trans(4, 39, 3, 617), - Trans(4, 112, 3, 617), - Trans(5, 5, 3, 617), - Trans(5, 31, 3, 617), - Trans(5, 35, 3, 617), - Trans(5, 43, 3, 617), - Trans(6, 36, 3, 617), - Trans(6, 39, 3, 617), - Trans(6, 43, 19, 618), - Trans(6, 112, 3, 617), + Trans(1, 113, 5, -1), + Trans(2, 5, 3, 637), + Trans(2, 40, 3, 637), + Trans(4, 5, 3, 637), + Trans(4, 36, 3, 637), + Trans(4, 39, 3, 637), + Trans(4, 113, 3, 637), + Trans(5, 5, 3, 637), + Trans(5, 31, 3, 637), + Trans(5, 35, 3, 637), + Trans(5, 43, 3, 637), + Trans(6, 36, 3, 637), + Trans(6, 39, 3, 637), + Trans(6, 43, 19, 638), + Trans(6, 113, 3, 637), Trans(7, 5, 8, -1), Trans(7, 30, 9, -1), Trans(7, 31, 10, -1), @@ -4206,306 +4262,277 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 81, 9, -1), Trans(7, 84, 9, -1), Trans(7, 104, 9, -1), - Trans(7, 106, 9, -1), - Trans(7, 109, 9, -1), + Trans(7, 107, 9, -1), Trans(7, 110, 9, -1), - Trans(8, 30, 19, 618), - Trans(8, 31, 19, 618), - Trans(8, 36, 19, 618), - Trans(8, 39, 19, 618), - Trans(8, 43, 19, 618), - Trans(8, 48, 19, 618), - Trans(8, 49, 19, 618), - Trans(8, 50, 19, 618), - Trans(8, 60, 19, 618), - Trans(8, 61, 19, 618), - Trans(8, 64, 19, 618), - Trans(8, 65, 19, 618), - Trans(8, 66, 19, 618), - Trans(8, 70, 19, 618), - Trans(8, 71, 19, 618), - Trans(8, 73, 19, 618), - Trans(8, 77, 19, 618), - Trans(8, 80, 19, 618), - Trans(8, 81, 19, 618), - Trans(8, 84, 19, 618), - Trans(8, 104, 19, 618), - Trans(8, 106, 19, 618), - Trans(8, 109, 19, 618), - Trans(8, 110, 19, 618), - Trans(9, 5, 19, 618), - Trans(9, 112, 19, 618), - Trans(10, 5, 19, 618), - Trans(10, 36, 19, 618), - Trans(10, 39, 19, 618), - Trans(10, 43, 19, 618), - Trans(10, 112, 19, 618), - Trans(11, 5, 19, 618), - Trans(11, 40, 19, 618), - Trans(12, 5, 19, 618), - Trans(12, 30, 19, 618), - Trans(12, 36, 19, 618), - Trans(12, 39, 19, 618), - Trans(12, 43, 19, 618), - Trans(12, 48, 19, 618), - Trans(12, 49, 19, 618), - Trans(12, 50, 19, 618), - Trans(12, 60, 19, 618), - Trans(12, 61, 19, 618), - Trans(12, 64, 19, 618), - Trans(12, 65, 19, 618), - Trans(12, 66, 19, 618), - Trans(12, 70, 19, 618), - Trans(12, 71, 19, 618), - Trans(12, 73, 19, 618), - Trans(12, 77, 19, 618), - Trans(12, 80, 19, 618), - Trans(12, 81, 19, 618), - Trans(12, 84, 19, 618), - Trans(12, 104, 19, 618), - Trans(12, 106, 19, 618), - Trans(12, 109, 19, 618), - Trans(12, 110, 19, 618), - Trans(13, 0, 19, 618), - Trans(13, 5, 19, 618), - Trans(13, 30, 19, 618), - Trans(13, 31, 19, 618), - Trans(13, 36, 19, 618), - Trans(13, 39, 19, 618), - Trans(13, 43, 19, 618), - Trans(13, 48, 19, 618), - Trans(13, 49, 19, 618), - Trans(13, 50, 19, 618), - Trans(13, 58, 19, 618), - Trans(13, 59, 19, 618), - Trans(13, 60, 19, 618), - Trans(13, 61, 19, 618), - Trans(13, 64, 19, 618), - Trans(13, 65, 19, 618), - Trans(13, 66, 19, 618), - Trans(13, 70, 19, 618), - Trans(13, 71, 19, 618), - Trans(13, 72, 19, 618), - Trans(13, 73, 19, 618), - Trans(13, 77, 19, 618), - Trans(13, 78, 19, 618), - Trans(13, 80, 19, 618), - Trans(13, 81, 19, 618), - Trans(13, 84, 19, 618), - Trans(13, 85, 19, 618), - Trans(13, 89, 19, 618), - Trans(13, 91, 19, 618), - Trans(13, 104, 19, 618), - Trans(13, 106, 19, 618), - Trans(13, 109, 19, 618), - Trans(13, 110, 19, 618), - Trans(14, 5, 19, 618), - Trans(14, 39, 19, 618), - Trans(15, 5, 19, 618), - Trans(15, 39, 19, 618), - Trans(15, 41, 19, 618), - Trans(16, 5, 19, 618), - Trans(16, 47, 19, 618), - Trans(16, 111, 19, 618), - Trans(16, 112, 19, 618), - Trans(17, 5, 19, 618), - Trans(17, 6, 19, 618), - Trans(17, 7, 19, 618), - Trans(17, 8, 19, 618), - Trans(17, 9, 19, 618), - Trans(17, 10, 19, 618), - Trans(17, 11, 19, 618), - Trans(17, 18, 19, 618), - Trans(17, 24, 19, 618), - Trans(17, 25, 19, 618), - Trans(17, 26, 19, 618), - Trans(17, 27, 19, 618), - Trans(17, 38, 19, 618), - Trans(17, 39, 19, 618), - Trans(17, 41, 19, 618), - Trans(17, 53, 19, 618), - Trans(17, 70, 19, 618), - Trans(17, 76, 19, 618), - Trans(17, 83, 19, 618), - Trans(17, 86, 19, 618), - Trans(17, 88, 19, 618), - Trans(17, 111, 19, 618), - Trans(17, 112, 19, 618), - Trans(18, 5, 19, 618), - Trans(18, 111, 19, 618), - Trans(18, 112, 19, 618), - Trans(20, 5, 19, 618), - Trans(20, 30, 19, 618), - Trans(20, 31, 19, 618), - Trans(20, 36, 19, 618), - Trans(20, 39, 19, 618), - Trans(20, 43, 19, 618), - Trans(20, 48, 19, 618), - Trans(20, 49, 19, 618), - Trans(20, 50, 19, 618), - Trans(20, 60, 19, 618), - Trans(20, 61, 19, 618), - Trans(20, 64, 19, 618), - Trans(20, 65, 19, 618), - Trans(20, 66, 19, 618), - Trans(20, 70, 19, 618), - Trans(20, 71, 19, 618), - Trans(20, 73, 19, 618), - Trans(20, 77, 19, 618), - Trans(20, 80, 19, 618), - Trans(20, 81, 19, 618), - Trans(20, 84, 19, 618), - Trans(20, 104, 19, 618), - Trans(20, 106, 19, 618), - Trans(20, 109, 19, 618), - Trans(20, 110, 19, 618), + Trans(7, 111, 9, -1), + Trans(8, 30, 19, 638), + Trans(8, 31, 19, 638), + Trans(8, 36, 19, 638), + Trans(8, 39, 19, 638), + Trans(8, 43, 19, 638), + Trans(8, 48, 19, 638), + Trans(8, 49, 19, 638), + Trans(8, 50, 19, 638), + Trans(8, 60, 19, 638), + Trans(8, 61, 19, 638), + Trans(8, 64, 19, 638), + Trans(8, 65, 19, 638), + Trans(8, 66, 19, 638), + Trans(8, 70, 19, 638), + Trans(8, 71, 19, 638), + Trans(8, 73, 19, 638), + Trans(8, 77, 19, 638), + Trans(8, 80, 19, 638), + Trans(8, 81, 19, 638), + Trans(8, 84, 19, 638), + Trans(8, 104, 19, 638), + Trans(8, 107, 19, 638), + Trans(8, 110, 19, 638), + Trans(8, 111, 19, 638), + Trans(9, 5, 19, 638), + Trans(9, 113, 19, 638), + Trans(10, 5, 19, 638), + Trans(10, 36, 19, 638), + Trans(10, 39, 19, 638), + Trans(10, 43, 19, 638), + Trans(10, 113, 19, 638), + Trans(11, 5, 19, 638), + Trans(11, 40, 19, 638), + Trans(12, 5, 19, 638), + Trans(12, 30, 19, 638), + Trans(12, 36, 19, 638), + Trans(12, 39, 19, 638), + Trans(12, 43, 19, 638), + Trans(12, 48, 19, 638), + Trans(12, 49, 19, 638), + Trans(12, 50, 19, 638), + Trans(12, 60, 19, 638), + Trans(12, 61, 19, 638), + Trans(12, 64, 19, 638), + Trans(12, 65, 19, 638), + Trans(12, 66, 19, 638), + Trans(12, 70, 19, 638), + Trans(12, 71, 19, 638), + Trans(12, 73, 19, 638), + Trans(12, 77, 19, 638), + Trans(12, 80, 19, 638), + Trans(12, 81, 19, 638), + Trans(12, 84, 19, 638), + Trans(12, 104, 19, 638), + Trans(12, 107, 19, 638), + Trans(12, 110, 19, 638), + Trans(12, 111, 19, 638), + Trans(13, 0, 19, 638), + Trans(13, 5, 19, 638), + Trans(13, 30, 19, 638), + Trans(13, 31, 19, 638), + Trans(13, 36, 19, 638), + Trans(13, 39, 19, 638), + Trans(13, 43, 19, 638), + Trans(13, 48, 19, 638), + Trans(13, 49, 19, 638), + Trans(13, 50, 19, 638), + Trans(13, 58, 19, 638), + Trans(13, 59, 19, 638), + Trans(13, 60, 19, 638), + Trans(13, 61, 19, 638), + Trans(13, 64, 19, 638), + Trans(13, 65, 19, 638), + Trans(13, 66, 19, 638), + Trans(13, 70, 19, 638), + Trans(13, 71, 19, 638), + Trans(13, 72, 19, 638), + Trans(13, 73, 19, 638), + Trans(13, 77, 19, 638), + Trans(13, 78, 19, 638), + Trans(13, 80, 19, 638), + Trans(13, 81, 19, 638), + Trans(13, 84, 19, 638), + Trans(13, 85, 19, 638), + Trans(13, 89, 19, 638), + Trans(13, 91, 19, 638), + Trans(13, 104, 19, 638), + Trans(13, 107, 19, 638), + Trans(13, 110, 19, 638), + Trans(13, 111, 19, 638), + Trans(14, 5, 19, 638), + Trans(14, 39, 19, 638), + Trans(15, 5, 19, 638), + Trans(15, 39, 19, 638), + Trans(15, 41, 19, 638), + Trans(16, 5, 19, 638), + Trans(16, 47, 19, 638), + Trans(16, 112, 19, 638), + Trans(16, 113, 19, 638), + Trans(17, 5, 19, 638), + Trans(17, 6, 19, 638), + Trans(17, 7, 19, 638), + Trans(17, 8, 19, 638), + Trans(17, 9, 19, 638), + Trans(17, 10, 19, 638), + Trans(17, 11, 19, 638), + Trans(17, 18, 19, 638), + Trans(17, 24, 19, 638), + Trans(17, 25, 19, 638), + Trans(17, 26, 19, 638), + Trans(17, 27, 19, 638), + Trans(17, 38, 19, 638), + Trans(17, 39, 19, 638), + Trans(17, 41, 19, 638), + Trans(17, 53, 19, 638), + Trans(17, 70, 19, 638), + Trans(17, 76, 19, 638), + Trans(17, 83, 19, 638), + Trans(17, 86, 19, 638), + Trans(17, 88, 19, 638), + Trans(17, 105, 19, 638), + Trans(17, 112, 19, 638), + Trans(17, 113, 19, 638), + Trans(18, 5, 19, 638), + Trans(18, 112, 19, 638), + Trans(18, 113, 19, 638), + Trans(20, 5, 19, 638), + Trans(20, 30, 19, 638), + Trans(20, 31, 19, 638), + Trans(20, 36, 19, 638), + Trans(20, 39, 19, 638), + Trans(20, 43, 19, 638), + Trans(20, 48, 19, 638), + Trans(20, 49, 19, 638), + Trans(20, 50, 19, 638), + Trans(20, 60, 19, 638), + Trans(20, 61, 19, 638), + Trans(20, 64, 19, 638), + Trans(20, 65, 19, 638), + Trans(20, 66, 19, 638), + Trans(20, 70, 19, 638), + Trans(20, 71, 19, 638), + Trans(20, 73, 19, 638), + Trans(20, 77, 19, 638), + Trans(20, 80, 19, 638), + Trans(20, 81, 19, 638), + Trans(20, 84, 19, 638), + Trans(20, 104, 19, 638), + Trans(20, 107, 19, 638), + Trans(20, 110, 19, 638), + Trans(20, 111, 19, 638), ], k: 3, }, - /* 150 - "EnumListOpt" */ + /* 149 - "EnumListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 619), Trans(0, 43, 2, 620)], + transitions: &[Trans(0, 31, 1, 639), Trans(0, 43, 2, 640)], k: 1, }, - /* 151 - "EnumTerm" */ + /* 150 - "EnumTerm" */ LookaheadDFA { prod0: 55, transitions: &[], k: 0, }, - /* 152 - "EnumToken" */ + /* 151 - "EnumToken" */ LookaheadDFA { - prod0: 167, + prod0: 168, transitions: &[], k: 0, }, - /* 153 - "Equ" */ + /* 152 - "Equ" */ LookaheadDFA { - prod0: 247, + prod0: 249, transitions: &[], k: 0, }, - /* 154 - "EquTerm" */ + /* 153 - "EquTerm" */ LookaheadDFA { prod0: 30, transitions: &[], k: 0, }, - /* 155 - "EquToken" */ + /* 154 - "EquToken" */ LookaheadDFA { - prod0: 139, + prod0: 140, transitions: &[], k: 0, }, - /* 156 - "Exponent" */ + /* 155 - "Exponent" */ LookaheadDFA { - prod0: 222, + prod0: 224, transitions: &[], k: 0, }, - /* 157 - "ExponentTerm" */ + /* 156 - "ExponentTerm" */ LookaheadDFA { prod0: 2, transitions: &[], k: 0, }, - /* 158 - "ExponentToken" */ + /* 157 - "ExponentToken" */ LookaheadDFA { - prod0: 114, + prod0: 115, transitions: &[], k: 0, }, - /* 159 - "Export" */ + /* 158 - "Export" */ LookaheadDFA { - prod0: 277, + prod0: 279, transitions: &[], k: 0, }, - /* 160 - "ExportDeclaration" */ + /* 159 - "ExportDeclaration" */ LookaheadDFA { - prod0: 764, + prod0: 784, transitions: &[], k: 0, }, - /* 161 - "ExportDeclarationGroup" */ + /* 160 - "ExportDeclarationGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 47, 1, 765), - Trans(0, 111, 2, 766), - Trans(0, 112, 2, 766), + Trans(0, 47, 1, 785), + Trans(0, 112, 2, 786), + Trans(0, 113, 2, 786), ], k: 1, }, - /* 162 - "ExportDeclarationOpt" */ + /* 161 - "ExportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 767), Trans(0, 46, 2, 768)], + transitions: &[Trans(0, 29, 1, 787), Trans(0, 46, 2, 788)], k: 1, }, - /* 163 - "ExportTerm" */ + /* 162 - "ExportTerm" */ LookaheadDFA { prod0: 56, transitions: &[], k: 0, }, - /* 164 - "ExportToken" */ - LookaheadDFA { - prod0: 168, - transitions: &[], - k: 0, - }, - /* 165 - "Expression" */ + /* 163 - "ExportToken" */ LookaheadDFA { - prod0: 358, + prod0: 169, transitions: &[], k: 0, }, - /* 166 - "Expression01" */ + /* 164 - "Expression" */ LookaheadDFA { prod0: 361, transitions: &[], k: 0, }, - /* 167 - "Expression01List" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 12, 2, 363), - Trans(0, 14, 2, 363), - Trans(0, 22, 1, 362), - Trans(0, 23, 2, 363), - Trans(0, 30, 2, 363), - Trans(0, 31, 2, 363), - Trans(0, 32, 2, 363), - Trans(0, 33, 2, 363), - Trans(0, 39, 2, 363), - Trans(0, 42, 2, 363), - Trans(0, 43, 2, 363), - Trans(0, 44, 2, 363), - Trans(0, 45, 2, 363), - Trans(0, 46, 2, 363), - Trans(0, 93, 2, 363), - Trans(0, 102, 2, 363), - ], - k: 1, - }, - /* 168 - "Expression02" */ + /* 165 - "Expression01" */ LookaheadDFA { prod0: 364, transitions: &[], k: 0, }, - /* 169 - "Expression02List" */ + /* 166 - "Expression01List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 366), Trans(0, 14, 2, 366), - Trans(0, 22, 2, 366), + Trans(0, 22, 1, 365), Trans(0, 23, 2, 366), - Trans(0, 26, 1, 365), Trans(0, 30, 2, 366), Trans(0, 31, 2, 366), Trans(0, 32, 2, 366), @@ -4521,13 +4548,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 170 - "Expression03" */ + /* 167 - "Expression02" */ LookaheadDFA { prod0: 367, transitions: &[], k: 0, }, - /* 171 - "Expression03List" */ + /* 168 - "Expression02List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4535,8 +4562,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 14, 2, 369), Trans(0, 22, 2, 369), Trans(0, 23, 2, 369), - Trans(0, 25, 1, 368), - Trans(0, 26, 2, 369), + Trans(0, 26, 1, 368), Trans(0, 30, 2, 369), Trans(0, 31, 2, 369), Trans(0, 32, 2, 369), @@ -4552,13 +4578,13 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 172 - "Expression04" */ + /* 169 - "Expression03" */ LookaheadDFA { prod0: 370, transitions: &[], k: 0, }, - /* 173 - "Expression04List" */ + /* 170 - "Expression03List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4566,8 +4592,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 14, 2, 372), Trans(0, 22, 2, 372), Trans(0, 23, 2, 372), - Trans(0, 24, 1, 371), - Trans(0, 25, 2, 372), + Trans(0, 25, 1, 371), Trans(0, 26, 2, 372), Trans(0, 30, 2, 372), Trans(0, 31, 2, 372), @@ -4584,22 +4609,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 174 - "Expression05" */ + /* 171 - "Expression04" */ LookaheadDFA { prod0: 373, transitions: &[], k: 0, }, - /* 175 - "Expression05List" */ + /* 172 - "Expression04List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 375), Trans(0, 14, 2, 375), - Trans(0, 21, 1, 374), Trans(0, 22, 2, 375), Trans(0, 23, 2, 375), - Trans(0, 24, 2, 375), + Trans(0, 24, 1, 374), Trans(0, 25, 2, 375), Trans(0, 26, 2, 375), Trans(0, 30, 2, 375), @@ -4617,20 +4641,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 176 - "Expression06" */ + /* 173 - "Expression05" */ LookaheadDFA { prod0: 376, transitions: &[], k: 0, }, - /* 177 - "Expression06List" */ + /* 174 - "Expression05List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 378), Trans(0, 14, 2, 378), - Trans(0, 20, 1, 377), - Trans(0, 21, 2, 378), + Trans(0, 21, 1, 377), Trans(0, 22, 2, 378), Trans(0, 23, 2, 378), Trans(0, 24, 2, 378), @@ -4651,20 +4674,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 178 - "Expression07" */ + /* 175 - "Expression06" */ LookaheadDFA { prod0: 379, transitions: &[], k: 0, }, - /* 179 - "Expression07List" */ + /* 176 - "Expression06List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 381), Trans(0, 14, 2, 381), - Trans(0, 19, 1, 380), - Trans(0, 20, 2, 381), + Trans(0, 20, 1, 380), Trans(0, 21, 2, 381), Trans(0, 22, 2, 381), Trans(0, 23, 2, 381), @@ -4686,20 +4708,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 180 - "Expression08" */ + /* 177 - "Expression07" */ LookaheadDFA { prod0: 382, transitions: &[], k: 0, }, - /* 181 - "Expression08List" */ + /* 178 - "Expression07List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 384), Trans(0, 14, 2, 384), - Trans(0, 18, 1, 383), - Trans(0, 19, 2, 384), + Trans(0, 19, 1, 383), Trans(0, 20, 2, 384), Trans(0, 21, 2, 384), Trans(0, 22, 2, 384), @@ -4722,64 +4743,55 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 182 - "Expression09" */ + /* 179 - "Expression08" */ LookaheadDFA { prod0: 385, transitions: &[], k: 0, }, - /* 183 - "Expression09List" */ + /* 180 - "Expression08List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 389), - Trans(0, 14, 2, 389), - Trans(0, 17, 1, 386), - Trans(0, 18, 2, 389), - Trans(0, 19, 2, 389), - Trans(0, 20, 2, 389), - Trans(0, 21, 2, 389), - Trans(0, 22, 2, 389), - Trans(0, 23, 2, 389), - Trans(0, 24, 2, 389), - Trans(0, 25, 2, 389), - Trans(0, 26, 2, 389), - Trans(0, 30, 2, 389), - Trans(0, 31, 2, 389), - Trans(0, 32, 2, 389), - Trans(0, 33, 2, 389), - Trans(0, 39, 2, 389), - Trans(0, 42, 2, 389), - Trans(0, 43, 2, 389), - Trans(0, 44, 2, 389), - Trans(0, 45, 2, 389), - Trans(0, 46, 2, 389), - Trans(0, 47, 1, 386), - Trans(0, 93, 2, 389), - Trans(0, 102, 2, 389), + Trans(0, 12, 2, 387), + Trans(0, 14, 2, 387), + Trans(0, 18, 1, 386), + Trans(0, 19, 2, 387), + Trans(0, 20, 2, 387), + Trans(0, 21, 2, 387), + Trans(0, 22, 2, 387), + Trans(0, 23, 2, 387), + Trans(0, 24, 2, 387), + Trans(0, 25, 2, 387), + Trans(0, 26, 2, 387), + Trans(0, 30, 2, 387), + Trans(0, 31, 2, 387), + Trans(0, 32, 2, 387), + Trans(0, 33, 2, 387), + Trans(0, 39, 2, 387), + Trans(0, 42, 2, 387), + Trans(0, 43, 2, 387), + Trans(0, 44, 2, 387), + Trans(0, 45, 2, 387), + Trans(0, 46, 2, 387), + Trans(0, 93, 2, 387), + Trans(0, 102, 2, 387), ], k: 1, }, - /* 184 - "Expression09ListGroup" */ - LookaheadDFA { - prod0: -1, - transitions: &[Trans(0, 17, 1, 387), Trans(0, 47, 2, 388)], - k: 1, - }, - /* 185 - "Expression10" */ + /* 181 - "Expression09" */ LookaheadDFA { - prod0: 390, + prod0: 388, transitions: &[], k: 0, }, - /* 186 - "Expression10List" */ + /* 182 - "Expression09List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 392), Trans(0, 14, 2, 392), - Trans(0, 16, 1, 391), - Trans(0, 17, 2, 392), + Trans(0, 17, 1, 389), Trans(0, 18, 2, 392), Trans(0, 19, 2, 392), Trans(0, 20, 2, 392), @@ -4799,25 +4811,31 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 44, 2, 392), Trans(0, 45, 2, 392), Trans(0, 46, 2, 392), - Trans(0, 47, 2, 392), + Trans(0, 47, 1, 389), Trans(0, 93, 2, 392), Trans(0, 102, 2, 392), ], k: 1, }, - /* 187 - "Expression11" */ + /* 183 - "Expression09ListGroup" */ + LookaheadDFA { + prod0: -1, + transitions: &[Trans(0, 17, 1, 390), Trans(0, 47, 2, 391)], + k: 1, + }, + /* 184 - "Expression10" */ LookaheadDFA { prod0: 393, transitions: &[], k: 0, }, - /* 188 - "Expression11List" */ + /* 185 - "Expression10List" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 12, 2, 395), Trans(0, 14, 2, 395), - Trans(0, 16, 2, 395), + Trans(0, 16, 1, 394), Trans(0, 17, 2, 395), Trans(0, 18, 2, 395), Trans(0, 19, 2, 395), @@ -4839,66 +4857,183 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 45, 2, 395), Trans(0, 46, 2, 395), Trans(0, 47, 2, 395), - Trans(0, 51, 1, 394), Trans(0, 93, 2, 395), Trans(0, 102, 2, 395), ], k: 1, }, - /* 189 - "Expression12" */ + /* 186 - "Expression11" */ LookaheadDFA { prod0: 396, transitions: &[], k: 0, }, - /* 190 - "Expression12List" */ + /* 187 - "Expression11List" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 12, 2, 398), + Trans(0, 14, 2, 398), + Trans(0, 16, 2, 398), + Trans(0, 17, 2, 398), + Trans(0, 18, 2, 398), + Trans(0, 19, 2, 398), + Trans(0, 20, 2, 398), + Trans(0, 21, 2, 398), + Trans(0, 22, 2, 398), + Trans(0, 23, 2, 398), + Trans(0, 24, 2, 398), + Trans(0, 25, 2, 398), + Trans(0, 26, 2, 398), + Trans(0, 30, 2, 398), + Trans(0, 31, 2, 398), + Trans(0, 32, 2, 398), + Trans(0, 33, 2, 398), + Trans(0, 39, 2, 398), + Trans(0, 42, 2, 398), + Trans(0, 43, 2, 398), + Trans(0, 44, 2, 398), + Trans(0, 45, 2, 398), + Trans(0, 46, 2, 398), + Trans(0, 47, 2, 398), + Trans(0, 51, 1, 397), + Trans(0, 93, 2, 398), + Trans(0, 102, 2, 398), + ], + k: 1, + }, + /* 188 - "Expression12" */ + LookaheadDFA { + prod0: 399, + transitions: &[], + k: 0, + }, + /* 189 - "Expression12List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 403), - Trans(0, 7, 2, 403), - Trans(0, 8, 2, 403), - Trans(0, 9, 2, 403), - Trans(0, 10, 2, 403), - Trans(0, 11, 2, 403), - Trans(0, 18, 1, 397), - Trans(0, 24, 1, 397), - Trans(0, 25, 1, 397), - Trans(0, 26, 1, 397), - Trans(0, 27, 1, 397), - Trans(0, 38, 2, 403), - Trans(0, 39, 2, 403), - Trans(0, 41, 2, 403), - Trans(0, 53, 2, 403), - Trans(0, 70, 2, 403), - Trans(0, 76, 2, 403), - Trans(0, 83, 2, 403), - Trans(0, 86, 2, 403), - Trans(0, 88, 2, 403), - Trans(0, 111, 2, 403), - Trans(0, 112, 2, 403), + Trans(0, 6, 2, 406), + Trans(0, 7, 2, 406), + Trans(0, 8, 2, 406), + Trans(0, 9, 2, 406), + Trans(0, 10, 2, 406), + Trans(0, 11, 2, 406), + Trans(0, 18, 1, 400), + Trans(0, 24, 1, 400), + Trans(0, 25, 1, 400), + Trans(0, 26, 1, 400), + Trans(0, 27, 1, 400), + Trans(0, 38, 2, 406), + Trans(0, 39, 2, 406), + Trans(0, 41, 2, 406), + Trans(0, 53, 2, 406), + Trans(0, 70, 2, 406), + Trans(0, 76, 2, 406), + Trans(0, 83, 2, 406), + Trans(0, 86, 2, 406), + Trans(0, 88, 2, 406), + Trans(0, 105, 2, 406), + Trans(0, 112, 2, 406), + Trans(0, 113, 2, 406), ], k: 1, }, - /* 191 - "Expression12ListGroup" */ + /* 190 - "Expression12ListGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 18, 2, 399), - Trans(0, 24, 3, 400), - Trans(0, 25, 5, 402), - Trans(0, 26, 4, 401), - Trans(0, 27, 1, 398), + Trans(0, 18, 2, 402), + Trans(0, 24, 3, 403), + Trans(0, 25, 5, 405), + Trans(0, 26, 4, 404), + Trans(0, 27, 1, 401), ], k: 1, }, - /* 192 - "ExpressionIdentifier" */ + /* 191 - "ExpressionIdentifier" */ LookaheadDFA { - prod0: 351, + prod0: 354, transitions: &[], k: 0, }, - /* 193 - "ExpressionIdentifierList" */ + /* 192 - "ExpressionIdentifierList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 12, 2, 360), + Trans(0, 14, 2, 360), + Trans(0, 15, 2, 360), + Trans(0, 16, 2, 360), + Trans(0, 17, 2, 360), + Trans(0, 18, 2, 360), + Trans(0, 19, 2, 360), + Trans(0, 20, 2, 360), + Trans(0, 21, 2, 360), + Trans(0, 22, 2, 360), + Trans(0, 23, 2, 360), + Trans(0, 24, 2, 360), + Trans(0, 25, 2, 360), + Trans(0, 26, 2, 360), + Trans(0, 30, 2, 360), + Trans(0, 31, 2, 360), + Trans(0, 32, 2, 360), + Trans(0, 33, 2, 360), + Trans(0, 34, 2, 360), + Trans(0, 35, 2, 360), + Trans(0, 39, 2, 360), + Trans(0, 40, 1, 359), + Trans(0, 41, 2, 360), + Trans(0, 42, 2, 360), + Trans(0, 43, 2, 360), + Trans(0, 44, 2, 360), + Trans(0, 45, 2, 360), + Trans(0, 46, 2, 360), + Trans(0, 47, 2, 360), + Trans(0, 51, 2, 360), + Trans(0, 93, 2, 360), + Trans(0, 102, 2, 360), + ], + k: 1, + }, + /* 193 - "ExpressionIdentifierList0" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 12, 2, 358), + Trans(0, 14, 2, 358), + Trans(0, 15, 2, 358), + Trans(0, 16, 2, 358), + Trans(0, 17, 2, 358), + Trans(0, 18, 2, 358), + Trans(0, 19, 2, 358), + Trans(0, 20, 2, 358), + Trans(0, 21, 2, 358), + Trans(0, 22, 2, 358), + Trans(0, 23, 2, 358), + Trans(0, 24, 2, 358), + Trans(0, 25, 2, 358), + Trans(0, 26, 2, 358), + Trans(0, 30, 2, 358), + Trans(0, 31, 2, 358), + Trans(0, 32, 2, 358), + Trans(0, 33, 2, 358), + Trans(0, 34, 1, 355), + Trans(0, 35, 2, 358), + Trans(0, 39, 2, 358), + Trans(0, 41, 2, 358), + Trans(0, 42, 2, 358), + Trans(0, 43, 2, 358), + Trans(0, 44, 2, 358), + Trans(0, 45, 2, 358), + Trans(0, 46, 2, 358), + Trans(0, 47, 2, 358), + Trans(0, 51, 2, 358), + Trans(0, 93, 2, 358), + Trans(0, 102, 2, 358), + ], + k: 1, + }, + /* 194 - "ExpressionIdentifierList0List" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -4937,590 +5072,519 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ ], k: 1, }, - /* 194 - "ExpressionIdentifierList0" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 12, 2, 355), - Trans(0, 14, 2, 355), - Trans(0, 15, 2, 355), - Trans(0, 16, 2, 355), - Trans(0, 17, 2, 355), - Trans(0, 18, 2, 355), - Trans(0, 19, 2, 355), - Trans(0, 20, 2, 355), - Trans(0, 21, 2, 355), - Trans(0, 22, 2, 355), - Trans(0, 23, 2, 355), - Trans(0, 24, 2, 355), - Trans(0, 25, 2, 355), - Trans(0, 26, 2, 355), - Trans(0, 30, 2, 355), - Trans(0, 31, 2, 355), - Trans(0, 32, 2, 355), - Trans(0, 33, 2, 355), - Trans(0, 34, 1, 352), - Trans(0, 35, 2, 355), - Trans(0, 39, 2, 355), - Trans(0, 41, 2, 355), - Trans(0, 42, 2, 355), - Trans(0, 43, 2, 355), - Trans(0, 44, 2, 355), - Trans(0, 45, 2, 355), - Trans(0, 46, 2, 355), - Trans(0, 47, 2, 355), - Trans(0, 51, 2, 355), - Trans(0, 93, 2, 355), - Trans(0, 102, 2, 355), - ], - k: 1, - }, - /* 195 - "ExpressionIdentifierList0List" */ - LookaheadDFA { - prod0: -1, - transitions: &[ - Trans(0, 12, 2, 354), - Trans(0, 14, 2, 354), - Trans(0, 15, 2, 354), - Trans(0, 16, 2, 354), - Trans(0, 17, 2, 354), - Trans(0, 18, 2, 354), - Trans(0, 19, 2, 354), - Trans(0, 20, 2, 354), - Trans(0, 21, 2, 354), - Trans(0, 22, 2, 354), - Trans(0, 23, 2, 354), - Trans(0, 24, 2, 354), - Trans(0, 25, 2, 354), - Trans(0, 26, 2, 354), - Trans(0, 30, 2, 354), - Trans(0, 31, 2, 354), - Trans(0, 32, 2, 354), - Trans(0, 33, 2, 354), - Trans(0, 34, 2, 354), - Trans(0, 35, 2, 354), - Trans(0, 39, 2, 354), - Trans(0, 40, 1, 353), - Trans(0, 41, 2, 354), - Trans(0, 42, 2, 354), - Trans(0, 43, 2, 354), - Trans(0, 44, 2, 354), - Trans(0, 45, 2, 354), - Trans(0, 46, 2, 354), - Trans(0, 47, 2, 354), - Trans(0, 51, 2, 354), - Trans(0, 93, 2, 354), - Trans(0, 102, 2, 354), - ], - k: 1, - }, - /* 196 - "ExpressionList" */ + /* 195 - "ExpressionList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 360), - Trans(0, 14, 2, 360), - Trans(0, 23, 1, 359), - Trans(0, 30, 2, 360), - Trans(0, 31, 2, 360), - Trans(0, 32, 2, 360), - Trans(0, 33, 2, 360), - Trans(0, 39, 2, 360), - Trans(0, 42, 2, 360), - Trans(0, 43, 2, 360), - Trans(0, 44, 2, 360), - Trans(0, 45, 2, 360), - Trans(0, 46, 2, 360), - Trans(0, 93, 2, 360), - Trans(0, 102, 2, 360), + Trans(0, 12, 2, 363), + Trans(0, 14, 2, 363), + Trans(0, 23, 1, 362), + Trans(0, 30, 2, 363), + Trans(0, 31, 2, 363), + Trans(0, 32, 2, 363), + Trans(0, 33, 2, 363), + Trans(0, 39, 2, 363), + Trans(0, 42, 2, 363), + Trans(0, 43, 2, 363), + Trans(0, 44, 2, 363), + Trans(0, 45, 2, 363), + Trans(0, 46, 2, 363), + Trans(0, 93, 2, 363), + Trans(0, 102, 2, 363), ], k: 1, }, - /* 197 - "F32" */ + /* 196 - "F32" */ LookaheadDFA { - prod0: 278, + prod0: 280, transitions: &[], k: 0, }, - /* 198 - "F32Term" */ + /* 197 - "F32Term" */ LookaheadDFA { prod0: 57, transitions: &[], k: 0, }, - /* 199 - "F32Token" */ + /* 198 - "F32Token" */ LookaheadDFA { - prod0: 169, + prod0: 170, transitions: &[], k: 0, }, - /* 200 - "F64" */ + /* 199 - "F64" */ LookaheadDFA { - prod0: 279, + prod0: 281, transitions: &[], k: 0, }, - /* 201 - "F64Term" */ + /* 200 - "F64Term" */ LookaheadDFA { prod0: 58, transitions: &[], k: 0, }, - /* 202 - "F64Token" */ + /* 201 - "F64Token" */ LookaheadDFA { - prod0: 170, + prod0: 171, transitions: &[], k: 0, }, - /* 203 - "Factor" */ + /* 202 - "Factor" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 8, 411), - Trans(0, 7, 1, 404), - Trans(0, 8, 1, 404), - Trans(0, 9, 1, 404), - Trans(0, 10, 1, 404), - Trans(0, 11, 1, 404), - Trans(0, 38, 5, 408), - Trans(0, 39, 4, 407), - Trans(0, 41, 3, 406), - Trans(0, 53, 7, 410), - Trans(0, 70, 6, 409), - Trans(0, 76, 10, 415), - Trans(0, 83, 9, 412), - Trans(0, 86, 9, 412), - Trans(0, 88, 11, 416), - Trans(0, 111, 2, 405), - Trans(0, 112, 2, 405), + Trans(0, 6, 9, 415), + Trans(0, 7, 1, 407), + Trans(0, 8, 1, 407), + Trans(0, 9, 1, 407), + Trans(0, 10, 1, 407), + Trans(0, 11, 1, 407), + Trans(0, 38, 5, 411), + Trans(0, 39, 4, 410), + Trans(0, 41, 3, 409), + Trans(0, 53, 7, 413), + Trans(0, 70, 6, 412), + Trans(0, 76, 11, 419), + Trans(0, 83, 10, 416), + Trans(0, 86, 10, 416), + Trans(0, 88, 12, 420), + Trans(0, 105, 8, 414), + Trans(0, 112, 2, 408), + Trans(0, 113, 2, 408), ], k: 1, }, - /* 204 - "FactorGroup" */ + /* 203 - "FactorGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 83, 2, 414), Trans(0, 86, 1, 413)], + transitions: &[Trans(0, 83, 2, 418), Trans(0, 86, 1, 417)], k: 1, }, - /* 205 - "FactorOpt" */ + /* 204 - "FactorOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 418), - Trans(0, 14, 2, 418), - Trans(0, 16, 2, 418), - Trans(0, 17, 2, 418), - Trans(0, 18, 2, 418), - Trans(0, 19, 2, 418), - Trans(0, 20, 2, 418), - Trans(0, 21, 2, 418), - Trans(0, 22, 2, 418), - Trans(0, 23, 2, 418), - Trans(0, 24, 2, 418), - Trans(0, 25, 2, 418), - Trans(0, 26, 2, 418), - Trans(0, 30, 2, 418), - Trans(0, 31, 2, 418), - Trans(0, 32, 2, 418), - Trans(0, 33, 2, 418), - Trans(0, 39, 2, 418), - Trans(0, 41, 1, 417), - Trans(0, 42, 2, 418), - Trans(0, 43, 2, 418), - Trans(0, 44, 2, 418), - Trans(0, 45, 2, 418), - Trans(0, 46, 2, 418), - Trans(0, 47, 2, 418), - Trans(0, 51, 2, 418), - Trans(0, 93, 2, 418), - Trans(0, 102, 2, 418), + Trans(0, 12, 2, 422), + Trans(0, 14, 2, 422), + Trans(0, 16, 2, 422), + Trans(0, 17, 2, 422), + Trans(0, 18, 2, 422), + Trans(0, 19, 2, 422), + Trans(0, 20, 2, 422), + Trans(0, 21, 2, 422), + Trans(0, 22, 2, 422), + Trans(0, 23, 2, 422), + Trans(0, 24, 2, 422), + Trans(0, 25, 2, 422), + Trans(0, 26, 2, 422), + Trans(0, 30, 2, 422), + Trans(0, 31, 2, 422), + Trans(0, 32, 2, 422), + Trans(0, 33, 2, 422), + Trans(0, 39, 2, 422), + Trans(0, 41, 1, 421), + Trans(0, 42, 2, 422), + Trans(0, 43, 2, 422), + Trans(0, 44, 2, 422), + Trans(0, 45, 2, 422), + Trans(0, 46, 2, 422), + Trans(0, 47, 2, 422), + Trans(0, 51, 2, 422), + Trans(0, 93, 2, 422), + Trans(0, 102, 2, 422), ], k: 1, }, - /* 206 - "Final" */ + /* 205 - "Final" */ LookaheadDFA { - prod0: 280, + prod0: 282, transitions: &[], k: 0, }, - /* 207 - "FinalDeclaration" */ + /* 206 - "FinalDeclaration" */ LookaheadDFA { - prod0: 648, + prod0: 668, transitions: &[], k: 0, }, - /* 208 - "FinalDeclarationList" */ + /* 207 - "FinalDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 650), - Trans(0, 53, 1, 649), - Trans(0, 65, 1, 649), - Trans(0, 69, 1, 649), - Trans(0, 70, 1, 649), - Trans(0, 80, 1, 649), - Trans(0, 99, 1, 649), - Trans(0, 100, 1, 649), - Trans(0, 111, 1, 649), - Trans(0, 112, 1, 649), + Trans(0, 43, 2, 670), + Trans(0, 53, 1, 669), + Trans(0, 65, 1, 669), + Trans(0, 69, 1, 669), + Trans(0, 70, 1, 669), + Trans(0, 80, 1, 669), + Trans(0, 99, 1, 669), + Trans(0, 100, 1, 669), + Trans(0, 105, 1, 669), + Trans(0, 112, 1, 669), + Trans(0, 113, 1, 669), ], k: 1, }, - /* 209 - "FinalTerm" */ + /* 208 - "FinalTerm" */ LookaheadDFA { prod0: 59, transitions: &[], k: 0, }, - /* 210 - "FinalToken" */ + /* 209 - "FinalToken" */ LookaheadDFA { - prod0: 171, + prod0: 172, transitions: &[], k: 0, }, - /* 211 - "FixedPoint" */ + /* 210 - "FixedPoint" */ LookaheadDFA { - prod0: 223, + prod0: 225, transitions: &[], k: 0, }, - /* 212 - "FixedPointTerm" */ + /* 211 - "FixedPointTerm" */ LookaheadDFA { prod0: 3, transitions: &[], k: 0, }, - /* 213 - "FixedPointToken" */ + /* 212 - "FixedPointToken" */ LookaheadDFA { - prod0: 115, + prod0: 116, transitions: &[], k: 0, }, - /* 214 - "FixedType" */ + /* 213 - "FixedType" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 62, 5, 490), - Trans(0, 63, 6, 491), - Trans(0, 67, 3, 488), - Trans(0, 68, 4, 489), - Trans(0, 103, 7, 492), - Trans(0, 107, 1, 486), - Trans(0, 108, 2, 487), + Trans(0, 62, 5, 495), + Trans(0, 63, 6, 496), + Trans(0, 67, 3, 493), + Trans(0, 68, 4, 494), + Trans(0, 103, 7, 497), + Trans(0, 108, 1, 491), + Trans(0, 109, 2, 492), ], k: 1, }, - /* 215 - "For" */ + /* 214 - "For" */ LookaheadDFA { - prod0: 281, + prod0: 283, transitions: &[], k: 0, }, - /* 216 - "ForStatement" */ + /* 215 - "ForStatement" */ LookaheadDFA { - prod0: 556, + prod0: 562, transitions: &[], k: 0, }, - /* 217 - "ForStatementList" */ + /* 216 - "ForStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 558), - Trans(0, 53, 1, 557), - Trans(0, 65, 1, 557), - Trans(0, 69, 1, 557), - Trans(0, 70, 1, 557), - Trans(0, 80, 1, 557), - Trans(0, 99, 1, 557), - Trans(0, 100, 1, 557), - Trans(0, 111, 1, 557), - Trans(0, 112, 1, 557), + Trans(0, 43, 2, 564), + Trans(0, 53, 1, 563), + Trans(0, 65, 1, 563), + Trans(0, 69, 1, 563), + Trans(0, 70, 1, 563), + Trans(0, 80, 1, 563), + Trans(0, 99, 1, 563), + Trans(0, 100, 1, 563), + Trans(0, 105, 1, 563), + Trans(0, 112, 1, 563), + Trans(0, 113, 1, 563), ], k: 1, }, - /* 218 - "ForStatementOpt" */ + /* 217 - "ForStatementOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 2, 560), Trans(0, 102, 1, 559)], + transitions: &[Trans(0, 39, 2, 566), Trans(0, 102, 1, 565)], k: 1, }, - /* 219 - "ForTerm" */ + /* 218 - "ForTerm" */ LookaheadDFA { prod0: 60, transitions: &[], k: 0, }, - /* 220 - "ForToken" */ + /* 219 - "ForToken" */ LookaheadDFA { - prod0: 172, + prod0: 173, transitions: &[], k: 0, }, - /* 221 - "Function" */ + /* 220 - "Function" */ LookaheadDFA { - prod0: 282, + prod0: 284, transitions: &[], k: 0, }, - /* 222 - "FunctionCall" */ + /* 221 - "FunctionCall" */ LookaheadDFA { - prod0: 419, + prod0: 423, transitions: &[], k: 0, }, - /* 223 - "FunctionCallOpt" */ + /* 222 - "FunctionCallOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 1, 420), - Trans(0, 7, 1, 420), - Trans(0, 8, 1, 420), - Trans(0, 9, 1, 420), - Trans(0, 10, 1, 420), - Trans(0, 11, 1, 420), - Trans(0, 18, 1, 420), - Trans(0, 24, 1, 420), - Trans(0, 25, 1, 420), - Trans(0, 26, 1, 420), - Trans(0, 27, 1, 420), - Trans(0, 38, 1, 420), - Trans(0, 39, 1, 420), - Trans(0, 41, 1, 420), - Trans(0, 45, 2, 421), - Trans(0, 53, 1, 420), - Trans(0, 70, 1, 420), - Trans(0, 76, 1, 420), - Trans(0, 83, 1, 420), - Trans(0, 86, 1, 420), - Trans(0, 88, 1, 420), - Trans(0, 111, 1, 420), - Trans(0, 112, 1, 420), + Trans(0, 6, 1, 424), + Trans(0, 7, 1, 424), + Trans(0, 8, 1, 424), + Trans(0, 9, 1, 424), + Trans(0, 10, 1, 424), + Trans(0, 11, 1, 424), + Trans(0, 18, 1, 424), + Trans(0, 24, 1, 424), + Trans(0, 25, 1, 424), + Trans(0, 26, 1, 424), + Trans(0, 27, 1, 424), + Trans(0, 38, 1, 424), + Trans(0, 39, 1, 424), + Trans(0, 41, 1, 424), + Trans(0, 45, 2, 425), + Trans(0, 53, 1, 424), + Trans(0, 70, 1, 424), + Trans(0, 76, 1, 424), + Trans(0, 83, 1, 424), + Trans(0, 86, 1, 424), + Trans(0, 88, 1, 424), + Trans(0, 105, 1, 424), + Trans(0, 112, 1, 424), + Trans(0, 113, 1, 424), ], k: 1, }, - /* 224 - "FunctionDeclaration" */ + /* 223 - "FunctionDeclaration" */ LookaheadDFA { - prod0: 750, + prod0: 770, transitions: &[], k: 0, }, - /* 225 - "FunctionDeclarationList" */ + /* 224 - "FunctionDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 752), - Trans(0, 53, 1, 751), - Trans(0, 65, 1, 751), - Trans(0, 69, 1, 751), - Trans(0, 70, 1, 751), - Trans(0, 80, 1, 751), - Trans(0, 99, 1, 751), - Trans(0, 100, 1, 751), - Trans(0, 110, 1, 751), - Trans(0, 111, 1, 751), - Trans(0, 112, 1, 751), + Trans(0, 43, 2, 772), + Trans(0, 53, 1, 771), + Trans(0, 65, 1, 771), + Trans(0, 69, 1, 771), + Trans(0, 70, 1, 771), + Trans(0, 80, 1, 771), + Trans(0, 99, 1, 771), + Trans(0, 100, 1, 771), + Trans(0, 105, 1, 771), + Trans(0, 111, 1, 771), + Trans(0, 112, 1, 771), + Trans(0, 113, 1, 771), ], k: 1, }, - /* 226 - "FunctionDeclarationOpt" */ + /* 225 - "FunctionDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 758), - Trans(0, 28, 1, 757), - Trans(0, 39, 2, 758), - Trans(0, 41, 2, 758), + Trans(0, 13, 2, 778), + Trans(0, 28, 1, 777), + Trans(0, 39, 2, 778), + Trans(0, 41, 2, 778), ], k: 1, }, - /* 227 - "FunctionDeclarationOpt0" */ + /* 226 - "FunctionDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 13, 2, 756), - Trans(0, 39, 2, 756), - Trans(0, 41, 1, 755), + Trans(0, 13, 2, 776), + Trans(0, 39, 2, 776), + Trans(0, 41, 1, 775), ], k: 1, }, - /* 228 - "FunctionDeclarationOpt1" */ + /* 227 - "FunctionDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 13, 1, 753), Trans(0, 39, 2, 754)], + transitions: &[Trans(0, 13, 1, 773), Trans(0, 39, 2, 774)], k: 1, }, - /* 229 - "FunctionItem" */ + /* 228 - "FunctionItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 2, 760), - Trans(0, 65, 2, 760), - Trans(0, 69, 2, 760), - Trans(0, 70, 2, 760), - Trans(0, 80, 2, 760), - Trans(0, 99, 2, 760), - Trans(0, 100, 2, 760), - Trans(0, 110, 1, 759), - Trans(0, 111, 2, 760), - Trans(0, 112, 2, 760), + Trans(0, 53, 2, 780), + Trans(0, 65, 2, 780), + Trans(0, 69, 2, 780), + Trans(0, 70, 2, 780), + Trans(0, 80, 2, 780), + Trans(0, 99, 2, 780), + Trans(0, 100, 2, 780), + Trans(0, 105, 2, 780), + Trans(0, 111, 1, 779), + Trans(0, 112, 2, 780), + Trans(0, 113, 2, 780), ], k: 1, }, - /* 230 - "FunctionTerm" */ + /* 229 - "FunctionTerm" */ LookaheadDFA { prod0: 61, transitions: &[], k: 0, }, - /* 231 - "FunctionToken" */ + /* 230 - "FunctionToken" */ LookaheadDFA { - prod0: 173, + prod0: 174, transitions: &[], k: 0, }, - /* 232 - "Hash" */ + /* 231 - "Hash" */ LookaheadDFA { - prod0: 248, + prod0: 250, transitions: &[], k: 0, }, - /* 233 - "HashTerm" */ + /* 232 - "HashTerm" */ LookaheadDFA { prod0: 31, transitions: &[], k: 0, }, - /* 234 - "HashToken" */ + /* 233 - "HashToken" */ LookaheadDFA { - prod0: 140, + prod0: 141, transitions: &[], k: 0, }, - /* 235 - "HierarchicalIdentifier" */ + /* 234 - "HierarchicalIdentifier" */ LookaheadDFA { - prod0: 335, + prod0: 338, transitions: &[], k: 0, }, - /* 236 - "HierarchicalIdentifierList" */ + /* 235 - "HierarchicalIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 341), - Trans(0, 34, 2, 341), - Trans(0, 35, 2, 341), - Trans(0, 40, 1, 340), - Trans(0, 45, 2, 341), + Trans(0, 31, 2, 344), + Trans(0, 34, 2, 344), + Trans(0, 35, 2, 344), + Trans(0, 40, 1, 343), + Trans(0, 45, 2, 344), ], k: 1, }, - /* 237 - "HierarchicalIdentifierList0" */ + /* 236 - "HierarchicalIdentifierList0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 339), - Trans(0, 34, 1, 336), - Trans(0, 35, 2, 339), - Trans(0, 45, 2, 339), + Trans(0, 31, 2, 342), + Trans(0, 34, 1, 339), + Trans(0, 35, 2, 342), + Trans(0, 45, 2, 342), ], k: 1, }, - /* 238 - "HierarchicalIdentifierList0List" */ + /* 237 - "HierarchicalIdentifierList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 338), - Trans(0, 34, 2, 338), - Trans(0, 35, 2, 338), - Trans(0, 40, 1, 337), - Trans(0, 45, 2, 338), + Trans(0, 31, 2, 341), + Trans(0, 34, 2, 341), + Trans(0, 35, 2, 341), + Trans(0, 40, 1, 340), + Trans(0, 45, 2, 341), ], k: 1, }, - /* 239 - "I32" */ + /* 238 - "I32" */ LookaheadDFA { - prod0: 283, + prod0: 285, transitions: &[], k: 0, }, - /* 240 - "I32Term" */ + /* 239 - "I32Term" */ LookaheadDFA { prod0: 62, transitions: &[], k: 0, }, - /* 241 - "I32Token" */ + /* 240 - "I32Token" */ LookaheadDFA { - prod0: 174, + prod0: 175, transitions: &[], k: 0, }, - /* 242 - "I64" */ + /* 241 - "I64" */ LookaheadDFA { - prod0: 284, + prod0: 286, transitions: &[], k: 0, }, - /* 243 - "I64Term" */ + /* 242 - "I64Term" */ LookaheadDFA { prod0: 63, transitions: &[], k: 0, }, - /* 244 - "I64Token" */ + /* 243 - "I64Token" */ LookaheadDFA { - prod0: 175, + prod0: 176, transitions: &[], k: 0, }, - /* 245 - "Identifier" */ + /* 244 - "Identifier" */ LookaheadDFA { - prod0: 327, + prod0: 330, transitions: &[], k: 0, }, - /* 246 - "IdentifierStatement" */ + /* 245 - "IdentifierStatement" */ LookaheadDFA { - prod0: 526, + prod0: 532, transitions: &[], k: 0, }, - /* 247 - "IdentifierStatementGroup" */ + /* 246 - "IdentifierStatementGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 15, 2, 528), - Trans(0, 35, 2, 528), - Trans(0, 41, 1, 527), + Trans(0, 15, 2, 534), + Trans(0, 35, 2, 534), + Trans(0, 41, 1, 533), ], k: 1, }, - /* 248 - "IdentifierTerm" */ + /* 247 - "IdentifierTerm" */ LookaheadDFA { - prod0: 107, + prod0: 108, transitions: &[], k: 0, }, - /* 249 - "IdentifierToken" */ + /* 248 - "IdentifierToken" */ LookaheadDFA { - prod0: 219, + prod0: 221, transitions: &[], k: 0, }, - /* 250 - "If" */ + /* 249 - "If" */ LookaheadDFA { - prod0: 285, + prod0: 287, transitions: &[], k: 0, }, - /* 251 - "IfExpression" */ + /* 250 - "IfExpression" */ LookaheadDFA { - prod0: 446, + prod0: 450, transitions: &[], k: 0, }, - /* 252 - "IfExpressionList" */ + /* 251 - "IfExpressionList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5528,87 +5592,90 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 5, 4, -1), Trans(1, 39, 5, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 447), - Trans(2, 6, 3, 447), - Trans(2, 7, 3, 447), - Trans(2, 8, 3, 447), - Trans(2, 9, 3, 447), - Trans(2, 10, 3, 447), - Trans(2, 11, 3, 447), - Trans(2, 18, 3, 447), - Trans(2, 24, 3, 447), - Trans(2, 25, 3, 447), - Trans(2, 26, 3, 447), - Trans(2, 27, 3, 447), - Trans(2, 38, 3, 447), - Trans(2, 39, 3, 447), - Trans(2, 41, 3, 447), - Trans(2, 53, 3, 447), - Trans(2, 70, 3, 447), - Trans(2, 76, 3, 447), - Trans(2, 83, 3, 447), - Trans(2, 86, 3, 447), - Trans(2, 88, 3, 447), - Trans(2, 111, 3, 447), - Trans(2, 112, 3, 447), - Trans(4, 39, 6, 448), - Trans(4, 70, 3, 447), - Trans(5, 5, 6, 448), - Trans(5, 6, 6, 448), - Trans(5, 7, 6, 448), - Trans(5, 8, 6, 448), - Trans(5, 9, 6, 448), - Trans(5, 10, 6, 448), - Trans(5, 11, 6, 448), - Trans(5, 18, 6, 448), - Trans(5, 24, 6, 448), - Trans(5, 25, 6, 448), - Trans(5, 26, 6, 448), - Trans(5, 27, 6, 448), - Trans(5, 38, 6, 448), - Trans(5, 39, 6, 448), - Trans(5, 41, 6, 448), - Trans(5, 53, 6, 448), - Trans(5, 70, 6, 448), - Trans(5, 76, 6, 448), - Trans(5, 83, 6, 448), - Trans(5, 86, 6, 448), - Trans(5, 88, 6, 448), - Trans(5, 111, 6, 448), - Trans(5, 112, 6, 448), + Trans(2, 5, 3, 451), + Trans(2, 6, 3, 451), + Trans(2, 7, 3, 451), + Trans(2, 8, 3, 451), + Trans(2, 9, 3, 451), + Trans(2, 10, 3, 451), + Trans(2, 11, 3, 451), + Trans(2, 18, 3, 451), + Trans(2, 24, 3, 451), + Trans(2, 25, 3, 451), + Trans(2, 26, 3, 451), + Trans(2, 27, 3, 451), + Trans(2, 38, 3, 451), + Trans(2, 39, 3, 451), + Trans(2, 41, 3, 451), + Trans(2, 53, 3, 451), + Trans(2, 70, 3, 451), + Trans(2, 76, 3, 451), + Trans(2, 83, 3, 451), + Trans(2, 86, 3, 451), + Trans(2, 88, 3, 451), + Trans(2, 105, 3, 451), + Trans(2, 112, 3, 451), + Trans(2, 113, 3, 451), + Trans(4, 39, 6, 452), + Trans(4, 70, 3, 451), + Trans(5, 5, 6, 452), + Trans(5, 6, 6, 452), + Trans(5, 7, 6, 452), + Trans(5, 8, 6, 452), + Trans(5, 9, 6, 452), + Trans(5, 10, 6, 452), + Trans(5, 11, 6, 452), + Trans(5, 18, 6, 452), + Trans(5, 24, 6, 452), + Trans(5, 25, 6, 452), + Trans(5, 26, 6, 452), + Trans(5, 27, 6, 452), + Trans(5, 38, 6, 452), + Trans(5, 39, 6, 452), + Trans(5, 41, 6, 452), + Trans(5, 53, 6, 452), + Trans(5, 70, 6, 452), + Trans(5, 76, 6, 452), + Trans(5, 83, 6, 452), + Trans(5, 86, 6, 452), + Trans(5, 88, 6, 452), + Trans(5, 105, 6, 452), + Trans(5, 112, 6, 452), + Trans(5, 113, 6, 452), ], k: 3, }, - /* 253 - "IfReset" */ + /* 252 - "IfReset" */ LookaheadDFA { - prod0: 286, + prod0: 288, transitions: &[], k: 0, }, - /* 254 - "IfResetStatement" */ + /* 253 - "IfResetStatement" */ LookaheadDFA { - prod0: 543, + prod0: 549, transitions: &[], k: 0, }, - /* 255 - "IfResetStatementList" */ + /* 254 - "IfResetStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 549), - Trans(0, 53, 1, 548), - Trans(0, 65, 1, 548), - Trans(0, 69, 1, 548), - Trans(0, 70, 1, 548), - Trans(0, 80, 1, 548), - Trans(0, 99, 1, 548), - Trans(0, 100, 1, 548), - Trans(0, 111, 1, 548), - Trans(0, 112, 1, 548), + Trans(0, 43, 2, 555), + Trans(0, 53, 1, 554), + Trans(0, 65, 1, 554), + Trans(0, 69, 1, 554), + Trans(0, 70, 1, 554), + Trans(0, 80, 1, 554), + Trans(0, 99, 1, 554), + Trans(0, 100, 1, 554), + Trans(0, 105, 1, 554), + Trans(0, 112, 1, 554), + Trans(0, 113, 1, 554), ], k: 1, }, - /* 256 - "IfResetStatementList0" */ + /* 255 - "IfResetStatementList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -5640,1121 +5707,1195 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 88, 11, -1), Trans(0, 99, 15, -1), Trans(0, 100, 16, -1), - Trans(0, 110, 13, -1), - Trans(0, 111, 17, -1), + Trans(0, 105, 17, -1), + Trans(0, 111, 13, -1), Trans(0, 112, 18, -1), + Trans(0, 113, 19, -1), Trans(1, 5, 4, -1), - Trans(1, 39, 60, -1), + Trans(1, 39, 61, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 544), - Trans(2, 6, 3, 544), - Trans(2, 7, 3, 544), - Trans(2, 8, 3, 544), - Trans(2, 9, 3, 544), - Trans(2, 10, 3, 544), - Trans(2, 11, 3, 544), - Trans(2, 18, 3, 544), - Trans(2, 24, 3, 544), - Trans(2, 25, 3, 544), - Trans(2, 26, 3, 544), - Trans(2, 27, 3, 544), - Trans(2, 38, 3, 544), - Trans(2, 39, 3, 544), - Trans(2, 41, 3, 544), - Trans(2, 53, 3, 544), - Trans(2, 70, 3, 544), - Trans(2, 76, 3, 544), - Trans(2, 83, 3, 544), - Trans(2, 86, 3, 544), - Trans(2, 88, 3, 544), - Trans(2, 111, 3, 544), - Trans(2, 112, 3, 544), - Trans(4, 39, 36, 547), - Trans(4, 70, 3, 544), - Trans(5, 5, 58, -1), - Trans(5, 16, 21, -1), - Trans(5, 17, 21, -1), - Trans(5, 18, 21, -1), - Trans(5, 19, 21, -1), - Trans(5, 20, 21, -1), - Trans(5, 21, 21, -1), - Trans(5, 22, 21, -1), - Trans(5, 23, 21, -1), - Trans(5, 24, 21, -1), - Trans(5, 25, 21, -1), - Trans(5, 26, 21, -1), - Trans(5, 30, 54, -1), - Trans(5, 31, 21, -1), - Trans(5, 47, 21, -1), - Trans(5, 51, 32, -1), - Trans(6, 5, 37, -1), - Trans(6, 6, 20, -1), - Trans(6, 7, 20, -1), - Trans(6, 8, 20, -1), - Trans(6, 9, 20, -1), - Trans(6, 10, 20, -1), - Trans(6, 11, 20, -1), - Trans(6, 18, 21, -1), - Trans(6, 24, 21, -1), - Trans(6, 25, 21, -1), - Trans(6, 26, 21, -1), - Trans(6, 27, 21, -1), - Trans(6, 38, 24, -1), - Trans(6, 39, 21, -1), - Trans(6, 41, 21, -1), - Trans(6, 53, 21, -1), - Trans(6, 70, 21, -1), - Trans(6, 76, 21, -1), - Trans(6, 83, 20, -1), - Trans(6, 86, 20, -1), - Trans(6, 88, 21, -1), - Trans(6, 111, 38, -1), + Trans(2, 5, 3, 550), + Trans(2, 6, 3, 550), + Trans(2, 7, 3, 550), + Trans(2, 8, 3, 550), + Trans(2, 9, 3, 550), + Trans(2, 10, 3, 550), + Trans(2, 11, 3, 550), + Trans(2, 18, 3, 550), + Trans(2, 24, 3, 550), + Trans(2, 25, 3, 550), + Trans(2, 26, 3, 550), + Trans(2, 27, 3, 550), + Trans(2, 38, 3, 550), + Trans(2, 39, 3, 550), + Trans(2, 41, 3, 550), + Trans(2, 53, 3, 550), + Trans(2, 70, 3, 550), + Trans(2, 76, 3, 550), + Trans(2, 83, 3, 550), + Trans(2, 86, 3, 550), + Trans(2, 88, 3, 550), + Trans(2, 105, 3, 550), + Trans(2, 112, 3, 550), + Trans(2, 113, 3, 550), + Trans(4, 39, 37, 553), + Trans(4, 70, 3, 550), + Trans(5, 5, 59, -1), + Trans(5, 16, 22, -1), + Trans(5, 17, 22, -1), + Trans(5, 18, 22, -1), + Trans(5, 19, 22, -1), + Trans(5, 20, 22, -1), + Trans(5, 21, 22, -1), + Trans(5, 22, 22, -1), + Trans(5, 23, 22, -1), + Trans(5, 24, 22, -1), + Trans(5, 25, 22, -1), + Trans(5, 26, 22, -1), + Trans(5, 30, 55, -1), + Trans(5, 31, 22, -1), + Trans(5, 32, 22, -1), + Trans(5, 33, 22, -1), + Trans(5, 47, 22, -1), + Trans(5, 51, 33, -1), + Trans(6, 5, 38, -1), + Trans(6, 6, 21, -1), + Trans(6, 7, 21, -1), + Trans(6, 8, 21, -1), + Trans(6, 9, 21, -1), + Trans(6, 10, 21, -1), + Trans(6, 11, 21, -1), + Trans(6, 18, 22, -1), + Trans(6, 24, 22, -1), + Trans(6, 25, 22, -1), + Trans(6, 26, 22, -1), + Trans(6, 27, 22, -1), + Trans(6, 38, 25, -1), + Trans(6, 39, 22, -1), + Trans(6, 41, 22, -1), + Trans(6, 53, 22, -1), + Trans(6, 70, 22, -1), + Trans(6, 76, 22, -1), + Trans(6, 83, 21, -1), + Trans(6, 86, 21, -1), + Trans(6, 88, 22, -1), + Trans(6, 105, 28, -1), Trans(6, 112, 39, -1), - Trans(7, 5, 40, -1), - Trans(7, 6, 41, -1), - Trans(7, 7, 41, -1), - Trans(7, 8, 41, -1), - Trans(7, 9, 41, -1), - Trans(7, 10, 41, -1), - Trans(7, 11, 41, -1), - Trans(7, 18, 21, -1), - Trans(7, 24, 21, -1), - Trans(7, 25, 21, -1), - Trans(7, 26, 21, -1), - Trans(7, 27, 21, -1), - Trans(7, 38, 24, -1), - Trans(7, 39, 21, -1), - Trans(7, 41, 21, -1), - Trans(7, 53, 21, -1), - Trans(7, 57, 29, -1), - Trans(7, 70, 21, -1), - Trans(7, 76, 21, -1), - Trans(7, 83, 41, -1), - Trans(7, 86, 41, -1), - Trans(7, 88, 21, -1), - Trans(7, 111, 42, -1), + Trans(6, 113, 40, -1), + Trans(7, 5, 41, -1), + Trans(7, 6, 42, -1), + Trans(7, 7, 42, -1), + Trans(7, 8, 42, -1), + Trans(7, 9, 42, -1), + Trans(7, 10, 42, -1), + Trans(7, 11, 42, -1), + Trans(7, 18, 22, -1), + Trans(7, 24, 22, -1), + Trans(7, 25, 22, -1), + Trans(7, 26, 22, -1), + Trans(7, 27, 22, -1), + Trans(7, 38, 25, -1), + Trans(7, 39, 22, -1), + Trans(7, 41, 22, -1), + Trans(7, 53, 22, -1), + Trans(7, 57, 30, -1), + Trans(7, 70, 22, -1), + Trans(7, 76, 22, -1), + Trans(7, 83, 42, -1), + Trans(7, 86, 42, -1), + Trans(7, 88, 22, -1), + Trans(7, 105, 28, -1), Trans(7, 112, 43, -1), - Trans(8, 5, 37, -1), - Trans(8, 6, 41, -1), - Trans(8, 7, 41, -1), - Trans(8, 8, 41, -1), - Trans(8, 9, 41, -1), - Trans(8, 10, 41, -1), - Trans(8, 11, 41, -1), - Trans(8, 18, 21, -1), - Trans(8, 24, 21, -1), - Trans(8, 25, 21, -1), - Trans(8, 26, 21, -1), - Trans(8, 27, 21, -1), - Trans(8, 38, 24, -1), - Trans(8, 39, 21, -1), - Trans(8, 41, 21, -1), - Trans(8, 53, 21, -1), - Trans(8, 70, 21, -1), - Trans(8, 76, 21, -1), - Trans(8, 83, 41, -1), - Trans(8, 86, 41, -1), - Trans(8, 88, 21, -1), - Trans(8, 111, 42, -1), + Trans(7, 113, 44, -1), + Trans(8, 5, 38, -1), + Trans(8, 6, 42, -1), + Trans(8, 7, 42, -1), + Trans(8, 8, 42, -1), + Trans(8, 9, 42, -1), + Trans(8, 10, 42, -1), + Trans(8, 11, 42, -1), + Trans(8, 18, 22, -1), + Trans(8, 24, 22, -1), + Trans(8, 25, 22, -1), + Trans(8, 26, 22, -1), + Trans(8, 27, 22, -1), + Trans(8, 38, 25, -1), + Trans(8, 39, 22, -1), + Trans(8, 41, 22, -1), + Trans(8, 53, 22, -1), + Trans(8, 70, 22, -1), + Trans(8, 76, 22, -1), + Trans(8, 83, 42, -1), + Trans(8, 86, 42, -1), + Trans(8, 88, 22, -1), + Trans(8, 105, 28, -1), Trans(8, 112, 43, -1), - Trans(9, 5, 37, -1), - Trans(9, 6, 44, -1), - Trans(9, 7, 44, -1), - Trans(9, 8, 44, -1), - Trans(9, 9, 44, -1), - Trans(9, 10, 44, -1), - Trans(9, 11, 44, -1), - Trans(9, 18, 21, -1), - Trans(9, 24, 21, -1), - Trans(9, 25, 21, -1), - Trans(9, 26, 21, -1), - Trans(9, 27, 21, -1), - Trans(9, 38, 24, -1), - Trans(9, 39, 21, -1), - Trans(9, 41, 21, -1), - Trans(9, 53, 21, -1), - Trans(9, 70, 21, -1), - Trans(9, 76, 21, -1), - Trans(9, 83, 44, -1), - Trans(9, 86, 44, -1), - Trans(9, 88, 21, -1), - Trans(9, 111, 45, -1), + Trans(8, 113, 44, -1), + Trans(9, 5, 38, -1), + Trans(9, 6, 45, -1), + Trans(9, 7, 45, -1), + Trans(9, 8, 45, -1), + Trans(9, 9, 45, -1), + Trans(9, 10, 45, -1), + Trans(9, 11, 45, -1), + Trans(9, 18, 22, -1), + Trans(9, 24, 22, -1), + Trans(9, 25, 22, -1), + Trans(9, 26, 22, -1), + Trans(9, 27, 22, -1), + Trans(9, 38, 25, -1), + Trans(9, 39, 22, -1), + Trans(9, 41, 22, -1), + Trans(9, 53, 22, -1), + Trans(9, 70, 22, -1), + Trans(9, 76, 22, -1), + Trans(9, 83, 45, -1), + Trans(9, 86, 45, -1), + Trans(9, 88, 22, -1), + Trans(9, 105, 28, -1), Trans(9, 112, 46, -1), - Trans(10, 5, 19, -1), - Trans(10, 6, 20, -1), - Trans(10, 7, 20, -1), - Trans(10, 8, 20, -1), - Trans(10, 9, 20, -1), - Trans(10, 10, 20, -1), - Trans(10, 11, 20, -1), - Trans(10, 18, 21, -1), - Trans(10, 24, 21, -1), - Trans(10, 25, 21, -1), - Trans(10, 26, 21, -1), - Trans(10, 27, 21, -1), - Trans(10, 30, 22, -1), - Trans(10, 36, 23, -1), - Trans(10, 38, 24, -1), - Trans(10, 39, 25, -1), - Trans(10, 41, 21, -1), - Trans(10, 43, 26, -1), - Trans(10, 48, 27, -1), - Trans(10, 49, 28, -1), - Trans(10, 50, 22, -1), - Trans(10, 53, 21, -1), - Trans(10, 57, 29, -1), - Trans(10, 58, 30, -1), - Trans(10, 60, 22, -1), - Trans(10, 61, 31, -1), - Trans(10, 64, 27, -1), - Trans(10, 65, 22, -1), - Trans(10, 66, 22, -1), - Trans(10, 69, 27, -1), - Trans(10, 70, 21, -1), - Trans(10, 71, 32, -1), - Trans(10, 73, 27, -1), - Trans(10, 76, 21, -1), - Trans(10, 77, 22, -1), - Trans(10, 80, 22, -1), - Trans(10, 81, 22, -1), - Trans(10, 83, 20, -1), - Trans(10, 84, 22, -1), - Trans(10, 86, 20, -1), - Trans(10, 88, 21, -1), - Trans(10, 99, 21, -1), - Trans(10, 100, 33, -1), - Trans(10, 104, 22, -1), - Trans(10, 106, 22, -1), - Trans(10, 109, 22, -1), - Trans(10, 110, 22, -1), - Trans(10, 111, 34, -1), + Trans(9, 113, 47, -1), + Trans(10, 5, 20, -1), + Trans(10, 6, 21, -1), + Trans(10, 7, 21, -1), + Trans(10, 8, 21, -1), + Trans(10, 9, 21, -1), + Trans(10, 10, 21, -1), + Trans(10, 11, 21, -1), + Trans(10, 18, 22, -1), + Trans(10, 24, 22, -1), + Trans(10, 25, 22, -1), + Trans(10, 26, 22, -1), + Trans(10, 27, 22, -1), + Trans(10, 30, 23, -1), + Trans(10, 36, 24, -1), + Trans(10, 38, 25, -1), + Trans(10, 39, 26, -1), + Trans(10, 41, 22, -1), + Trans(10, 43, 27, -1), + Trans(10, 48, 28, -1), + Trans(10, 49, 29, -1), + Trans(10, 50, 23, -1), + Trans(10, 53, 22, -1), + Trans(10, 57, 30, -1), + Trans(10, 58, 31, -1), + Trans(10, 60, 23, -1), + Trans(10, 61, 32, -1), + Trans(10, 64, 28, -1), + Trans(10, 65, 23, -1), + Trans(10, 66, 23, -1), + Trans(10, 69, 28, -1), + Trans(10, 70, 22, -1), + Trans(10, 71, 33, -1), + Trans(10, 73, 28, -1), + Trans(10, 76, 22, -1), + Trans(10, 77, 23, -1), + Trans(10, 80, 23, -1), + Trans(10, 81, 23, -1), + Trans(10, 83, 21, -1), + Trans(10, 84, 23, -1), + Trans(10, 86, 21, -1), + Trans(10, 88, 22, -1), + Trans(10, 99, 22, -1), + Trans(10, 100, 34, -1), + Trans(10, 104, 23, -1), + Trans(10, 105, 28, -1), + Trans(10, 107, 23, -1), + Trans(10, 110, 23, -1), + Trans(10, 111, 23, -1), Trans(10, 112, 35, -1), - Trans(11, 5, 37, -1), - Trans(11, 6, 47, -1), - Trans(11, 7, 47, -1), - Trans(11, 8, 47, -1), - Trans(11, 9, 47, -1), - Trans(11, 10, 47, -1), - Trans(11, 11, 47, -1), - Trans(11, 18, 21, -1), - Trans(11, 24, 21, -1), - Trans(11, 25, 21, -1), - Trans(11, 26, 21, -1), - Trans(11, 27, 21, -1), - Trans(11, 38, 24, -1), - Trans(11, 39, 21, -1), - Trans(11, 41, 21, -1), - Trans(11, 53, 21, -1), - Trans(11, 70, 21, -1), - Trans(11, 76, 21, -1), - Trans(11, 83, 47, -1), - Trans(11, 86, 47, -1), - Trans(11, 88, 21, -1), - Trans(11, 111, 48, -1), + Trans(10, 113, 36, -1), + Trans(11, 5, 38, -1), + Trans(11, 6, 48, -1), + Trans(11, 7, 48, -1), + Trans(11, 8, 48, -1), + Trans(11, 9, 48, -1), + Trans(11, 10, 48, -1), + Trans(11, 11, 48, -1), + Trans(11, 18, 22, -1), + Trans(11, 24, 22, -1), + Trans(11, 25, 22, -1), + Trans(11, 26, 22, -1), + Trans(11, 27, 22, -1), + Trans(11, 38, 25, -1), + Trans(11, 39, 22, -1), + Trans(11, 41, 22, -1), + Trans(11, 53, 22, -1), + Trans(11, 70, 22, -1), + Trans(11, 76, 22, -1), + Trans(11, 83, 48, -1), + Trans(11, 86, 48, -1), + Trans(11, 88, 22, -1), + Trans(11, 105, 28, -1), Trans(11, 112, 49, -1), - Trans(12, 5, 59, -1), - Trans(12, 30, 54, -1), - Trans(13, 5, 64, -1), - Trans(13, 112, 29, -1), - Trans(14, 5, 61, -1), - Trans(14, 39, 60, -1), - Trans(15, 5, 37, -1), - Trans(15, 6, 50, -1), - Trans(15, 7, 50, -1), - Trans(15, 8, 50, -1), - Trans(15, 9, 50, -1), - Trans(15, 10, 50, -1), - Trans(15, 11, 50, -1), - Trans(15, 18, 21, -1), - Trans(15, 24, 21, -1), - Trans(15, 25, 21, -1), - Trans(15, 26, 21, -1), - Trans(15, 27, 21, -1), - Trans(15, 38, 24, -1), - Trans(15, 39, 21, -1), - Trans(15, 41, 21, -1), - Trans(15, 53, 21, -1), - Trans(15, 70, 21, -1), - Trans(15, 76, 21, -1), - Trans(15, 83, 50, -1), - Trans(15, 86, 50, -1), - Trans(15, 88, 21, -1), - Trans(15, 111, 51, -1), + Trans(11, 113, 50, -1), + Trans(12, 5, 60, -1), + Trans(12, 30, 55, -1), + Trans(13, 5, 66, -1), + Trans(13, 113, 30, -1), + Trans(14, 5, 62, -1), + Trans(14, 39, 61, -1), + Trans(15, 5, 38, -1), + Trans(15, 6, 51, -1), + Trans(15, 7, 51, -1), + Trans(15, 8, 51, -1), + Trans(15, 9, 51, -1), + Trans(15, 10, 51, -1), + Trans(15, 11, 51, -1), + Trans(15, 18, 22, -1), + Trans(15, 24, 22, -1), + Trans(15, 25, 22, -1), + Trans(15, 26, 22, -1), + Trans(15, 27, 22, -1), + Trans(15, 38, 25, -1), + Trans(15, 39, 22, -1), + Trans(15, 41, 22, -1), + Trans(15, 53, 22, -1), + Trans(15, 70, 22, -1), + Trans(15, 76, 22, -1), + Trans(15, 83, 51, -1), + Trans(15, 86, 51, -1), + Trans(15, 88, 22, -1), + Trans(15, 105, 28, -1), Trans(15, 112, 52, -1), - Trans(16, 5, 62, -1), - Trans(16, 46, 63, -1), - Trans(17, 5, 53, -1), - Trans(17, 15, 21, -1), - Trans(17, 16, 21, -1), - Trans(17, 17, 21, -1), - Trans(17, 18, 21, -1), - Trans(17, 19, 21, -1), - Trans(17, 20, 21, -1), - Trans(17, 21, 21, -1), - Trans(17, 22, 21, -1), - Trans(17, 23, 21, -1), - Trans(17, 24, 21, -1), - Trans(17, 25, 21, -1), - Trans(17, 26, 21, -1), - Trans(17, 29, 22, -1), - Trans(17, 30, 54, -1), - Trans(17, 31, 21, -1), - Trans(17, 34, 22, -1), - Trans(17, 35, 21, -1), - Trans(17, 40, 21, -1), - Trans(17, 41, 55, -1), - Trans(17, 47, 21, -1), - Trans(17, 51, 32, -1), - Trans(18, 5, 56, -1), - Trans(18, 15, 21, -1), - Trans(18, 16, 21, -1), - Trans(18, 17, 21, -1), - Trans(18, 18, 21, -1), - Trans(18, 19, 21, -1), - Trans(18, 20, 21, -1), - Trans(18, 21, 21, -1), - Trans(18, 22, 21, -1), - Trans(18, 23, 21, -1), - Trans(18, 24, 21, -1), - Trans(18, 25, 21, -1), - Trans(18, 26, 21, -1), - Trans(18, 28, 57, -1), - Trans(18, 29, 22, -1), - Trans(18, 30, 54, -1), - Trans(18, 31, 21, -1), - Trans(18, 34, 22, -1), - Trans(18, 35, 21, -1), - Trans(18, 40, 21, -1), - Trans(18, 41, 55, -1), - Trans(18, 47, 21, -1), - Trans(18, 51, 32, -1), - Trans(19, 6, 36, 547), - Trans(19, 7, 36, 547), - Trans(19, 8, 36, 547), - Trans(19, 9, 36, 547), - Trans(19, 10, 36, 547), - Trans(19, 11, 36, 547), - Trans(19, 18, 36, 547), - Trans(19, 24, 36, 547), - Trans(19, 25, 36, 547), - Trans(19, 26, 36, 547), - Trans(19, 27, 36, 547), - Trans(19, 30, 36, 547), - Trans(19, 36, 36, 547), - Trans(19, 38, 36, 547), - Trans(19, 39, 36, 547), - Trans(19, 41, 36, 547), - Trans(19, 43, 36, 547), - Trans(19, 48, 36, 547), - Trans(19, 49, 36, 547), - Trans(19, 50, 36, 547), - Trans(19, 53, 36, 547), - Trans(19, 57, 36, 547), - Trans(19, 58, 36, 547), - Trans(19, 60, 36, 547), - Trans(19, 61, 36, 547), - Trans(19, 64, 36, 547), - Trans(19, 65, 36, 547), - Trans(19, 66, 36, 547), - Trans(19, 69, 36, 547), - Trans(19, 70, 36, 547), - Trans(19, 71, 36, 547), - Trans(19, 73, 36, 547), - Trans(19, 76, 36, 547), - Trans(19, 77, 36, 547), - Trans(19, 80, 36, 547), - Trans(19, 81, 36, 547), - Trans(19, 83, 36, 547), - Trans(19, 84, 36, 547), - Trans(19, 86, 36, 547), - Trans(19, 88, 36, 547), - Trans(19, 99, 36, 547), - Trans(19, 100, 36, 547), - Trans(19, 104, 36, 547), - Trans(19, 106, 36, 547), - Trans(19, 109, 36, 547), - Trans(19, 110, 36, 547), - Trans(19, 111, 36, 547), - Trans(19, 112, 36, 547), - Trans(20, 5, 36, 547), - Trans(20, 16, 36, 547), - Trans(20, 17, 36, 547), - Trans(20, 18, 36, 547), - Trans(20, 19, 36, 547), - Trans(20, 20, 36, 547), - Trans(20, 21, 36, 547), - Trans(20, 22, 36, 547), - Trans(20, 23, 36, 547), - Trans(20, 24, 36, 547), - Trans(20, 25, 36, 547), - Trans(20, 26, 36, 547), - Trans(20, 30, 36, 547), - Trans(20, 31, 36, 547), - Trans(20, 47, 36, 547), - Trans(20, 51, 36, 547), - Trans(21, 5, 36, 547), - Trans(21, 6, 36, 547), - Trans(21, 7, 36, 547), - Trans(21, 8, 36, 547), - Trans(21, 9, 36, 547), - Trans(21, 10, 36, 547), - Trans(21, 11, 36, 547), - Trans(21, 18, 36, 547), - Trans(21, 24, 36, 547), - Trans(21, 25, 36, 547), - Trans(21, 26, 36, 547), - Trans(21, 27, 36, 547), - Trans(21, 38, 36, 547), - Trans(21, 39, 36, 547), - Trans(21, 41, 36, 547), - Trans(21, 53, 36, 547), - Trans(21, 70, 36, 547), - Trans(21, 76, 36, 547), - Trans(21, 83, 36, 547), - Trans(21, 86, 36, 547), - Trans(21, 88, 36, 547), - Trans(21, 111, 36, 547), - Trans(21, 112, 36, 547), - Trans(22, 5, 36, 547), - Trans(22, 112, 36, 547), - Trans(23, 5, 36, 547), - Trans(23, 40, 36, 547), - Trans(24, 5, 36, 547), - Trans(24, 6, 36, 547), - Trans(24, 7, 36, 547), - Trans(24, 8, 36, 547), - Trans(24, 9, 36, 547), - Trans(24, 10, 36, 547), - Trans(24, 11, 36, 547), - Trans(24, 18, 36, 547), - Trans(24, 24, 36, 547), - Trans(24, 25, 36, 547), - Trans(24, 26, 36, 547), - Trans(24, 27, 36, 547), - Trans(24, 38, 36, 547), - Trans(24, 39, 36, 547), - Trans(24, 41, 36, 547), - Trans(24, 53, 36, 547), - Trans(24, 57, 36, 547), - Trans(24, 70, 36, 547), - Trans(24, 76, 36, 547), - Trans(24, 83, 36, 547), - Trans(24, 86, 36, 547), - Trans(24, 88, 36, 547), - Trans(24, 111, 36, 547), - Trans(24, 112, 36, 547), - Trans(25, 5, 36, 547), - Trans(25, 6, 36, 547), - Trans(25, 7, 36, 547), - Trans(25, 8, 36, 547), - Trans(25, 9, 36, 547), - Trans(25, 10, 36, 547), - Trans(25, 11, 36, 547), - Trans(25, 18, 36, 547), - Trans(25, 24, 36, 547), - Trans(25, 25, 36, 547), - Trans(25, 26, 36, 547), - Trans(25, 27, 36, 547), - Trans(25, 30, 36, 547), - Trans(25, 36, 36, 547), - Trans(25, 38, 36, 547), - Trans(25, 39, 36, 547), - Trans(25, 41, 36, 547), - Trans(25, 43, 36, 547), - Trans(25, 48, 36, 547), - Trans(25, 49, 36, 547), - Trans(25, 50, 36, 547), - Trans(25, 53, 36, 547), - Trans(25, 60, 36, 547), - Trans(25, 61, 36, 547), - Trans(25, 64, 36, 547), - Trans(25, 65, 36, 547), - Trans(25, 66, 36, 547), - Trans(25, 70, 36, 547), - Trans(25, 71, 36, 547), - Trans(25, 73, 36, 547), - Trans(25, 76, 36, 547), - Trans(25, 77, 36, 547), - Trans(25, 80, 36, 547), - Trans(25, 81, 36, 547), - Trans(25, 83, 36, 547), - Trans(25, 84, 36, 547), - Trans(25, 86, 36, 547), - Trans(25, 88, 36, 547), - Trans(25, 104, 36, 547), - Trans(25, 106, 36, 547), - Trans(25, 109, 36, 547), - Trans(25, 110, 36, 547), - Trans(25, 111, 36, 547), - Trans(25, 112, 36, 547), - Trans(26, 0, 36, 547), - Trans(26, 5, 36, 547), - Trans(26, 6, 36, 547), - Trans(26, 7, 36, 547), - Trans(26, 8, 36, 547), - Trans(26, 9, 36, 547), - Trans(26, 10, 36, 547), - Trans(26, 11, 36, 547), - Trans(26, 18, 36, 547), - Trans(26, 24, 36, 547), - Trans(26, 25, 36, 547), - Trans(26, 26, 36, 547), - Trans(26, 27, 36, 547), - Trans(26, 30, 36, 547), - Trans(26, 36, 36, 547), - Trans(26, 38, 36, 547), - Trans(26, 39, 36, 547), - Trans(26, 41, 36, 547), - Trans(26, 43, 36, 547), - Trans(26, 48, 36, 547), - Trans(26, 49, 36, 547), - Trans(26, 50, 36, 547), - Trans(26, 53, 36, 547), - Trans(26, 57, 36, 547), - Trans(26, 58, 36, 547), - Trans(26, 59, 36, 547), - Trans(26, 60, 36, 547), - Trans(26, 61, 36, 547), - Trans(26, 64, 36, 547), - Trans(26, 65, 36, 547), - Trans(26, 66, 36, 547), - Trans(26, 69, 36, 547), - Trans(26, 70, 36, 547), - Trans(26, 71, 36, 547), - Trans(26, 72, 36, 547), - Trans(26, 73, 36, 547), - Trans(26, 76, 36, 547), - Trans(26, 77, 36, 547), - Trans(26, 78, 36, 547), - Trans(26, 80, 36, 547), - Trans(26, 81, 36, 547), - Trans(26, 83, 36, 547), - Trans(26, 84, 36, 547), - Trans(26, 85, 36, 547), - Trans(26, 86, 36, 547), - Trans(26, 88, 36, 547), - Trans(26, 89, 36, 547), - Trans(26, 91, 36, 547), - Trans(26, 99, 36, 547), - Trans(26, 100, 36, 547), - Trans(26, 104, 36, 547), - Trans(26, 106, 36, 547), - Trans(26, 109, 36, 547), - Trans(26, 110, 36, 547), - Trans(26, 111, 36, 547), - Trans(26, 112, 36, 547), - Trans(27, 5, 36, 547), - Trans(27, 39, 36, 547), - Trans(28, 5, 36, 547), - Trans(28, 39, 36, 547), - Trans(28, 41, 36, 547), - Trans(29, 5, 36, 547), - Trans(29, 30, 36, 547), - Trans(30, 5, 36, 547), - Trans(30, 39, 36, 547), - Trans(30, 70, 36, 547), - Trans(31, 5, 36, 547), - Trans(31, 47, 36, 547), - Trans(31, 111, 36, 547), - Trans(31, 112, 36, 547), - Trans(32, 5, 36, 547), - Trans(32, 111, 36, 547), - Trans(32, 112, 36, 547), - Trans(33, 5, 36, 547), - Trans(33, 46, 36, 547), - Trans(34, 5, 36, 547), - Trans(34, 15, 36, 547), - Trans(34, 16, 36, 547), - Trans(34, 17, 36, 547), - Trans(34, 18, 36, 547), - Trans(34, 19, 36, 547), - Trans(34, 20, 36, 547), - Trans(34, 21, 36, 547), - Trans(34, 22, 36, 547), - Trans(34, 23, 36, 547), - Trans(34, 24, 36, 547), - Trans(34, 25, 36, 547), - Trans(34, 26, 36, 547), - Trans(34, 29, 36, 547), - Trans(34, 30, 36, 547), - Trans(34, 31, 36, 547), - Trans(34, 34, 36, 547), - Trans(34, 35, 36, 547), - Trans(34, 40, 36, 547), - Trans(34, 41, 36, 547), - Trans(34, 47, 36, 547), - Trans(34, 51, 36, 547), - Trans(35, 5, 36, 547), - Trans(35, 15, 36, 547), - Trans(35, 16, 36, 547), - Trans(35, 17, 36, 547), - Trans(35, 18, 36, 547), - Trans(35, 19, 36, 547), - Trans(35, 20, 36, 547), - Trans(35, 21, 36, 547), - Trans(35, 22, 36, 547), - Trans(35, 23, 36, 547), - Trans(35, 24, 36, 547), - Trans(35, 25, 36, 547), - Trans(35, 26, 36, 547), - Trans(35, 28, 36, 547), - Trans(35, 29, 36, 547), - Trans(35, 30, 36, 547), - Trans(35, 31, 36, 547), - Trans(35, 34, 36, 547), - Trans(35, 35, 36, 547), - Trans(35, 40, 36, 547), - Trans(35, 41, 36, 547), - Trans(35, 47, 36, 547), - Trans(35, 51, 36, 547), - Trans(37, 6, 36, 547), - Trans(37, 7, 36, 547), - Trans(37, 8, 36, 547), - Trans(37, 9, 36, 547), - Trans(37, 10, 36, 547), - Trans(37, 11, 36, 547), - Trans(37, 18, 36, 547), - Trans(37, 24, 36, 547), - Trans(37, 25, 36, 547), - Trans(37, 26, 36, 547), - Trans(37, 27, 36, 547), - Trans(37, 38, 36, 547), - Trans(37, 39, 36, 547), - Trans(37, 41, 36, 547), - Trans(37, 53, 36, 547), - Trans(37, 70, 36, 547), - Trans(37, 76, 36, 547), - Trans(37, 83, 36, 547), - Trans(37, 86, 36, 547), - Trans(37, 88, 36, 547), - Trans(37, 111, 36, 547), - Trans(37, 112, 36, 547), - Trans(38, 5, 36, 547), - Trans(38, 16, 36, 547), - Trans(38, 17, 36, 547), - Trans(38, 18, 36, 547), - Trans(38, 19, 36, 547), - Trans(38, 20, 36, 547), - Trans(38, 21, 36, 547), - Trans(38, 22, 36, 547), - Trans(38, 23, 36, 547), - Trans(38, 24, 36, 547), - Trans(38, 25, 36, 547), - Trans(38, 26, 36, 547), - Trans(38, 29, 36, 547), - Trans(38, 30, 36, 547), - Trans(38, 31, 36, 547), - Trans(38, 34, 36, 547), - Trans(38, 40, 36, 547), - Trans(38, 41, 36, 547), - Trans(38, 47, 36, 547), - Trans(38, 51, 36, 547), - Trans(39, 5, 36, 547), - Trans(39, 16, 36, 547), - Trans(39, 17, 36, 547), - Trans(39, 18, 36, 547), - Trans(39, 19, 36, 547), - Trans(39, 20, 36, 547), - Trans(39, 21, 36, 547), - Trans(39, 22, 36, 547), - Trans(39, 23, 36, 547), - Trans(39, 24, 36, 547), - Trans(39, 25, 36, 547), - Trans(39, 26, 36, 547), - Trans(39, 28, 36, 547), - Trans(39, 29, 36, 547), - Trans(39, 30, 36, 547), - Trans(39, 31, 36, 547), - Trans(39, 34, 36, 547), - Trans(39, 40, 36, 547), - Trans(39, 41, 36, 547), - Trans(39, 47, 36, 547), - Trans(39, 51, 36, 547), - Trans(40, 6, 36, 547), - Trans(40, 7, 36, 547), - Trans(40, 8, 36, 547), - Trans(40, 9, 36, 547), - Trans(40, 10, 36, 547), - Trans(40, 11, 36, 547), - Trans(40, 18, 36, 547), - Trans(40, 24, 36, 547), - Trans(40, 25, 36, 547), - Trans(40, 26, 36, 547), - Trans(40, 27, 36, 547), - Trans(40, 38, 36, 547), - Trans(40, 39, 36, 547), - Trans(40, 41, 36, 547), - Trans(40, 53, 36, 547), - Trans(40, 57, 36, 547), - Trans(40, 70, 36, 547), - Trans(40, 76, 36, 547), - Trans(40, 83, 36, 547), - Trans(40, 86, 36, 547), - Trans(40, 88, 36, 547), - Trans(40, 111, 36, 547), - Trans(40, 112, 36, 547), - Trans(41, 5, 36, 547), - Trans(41, 16, 36, 547), - Trans(41, 17, 36, 547), - Trans(41, 18, 36, 547), - Trans(41, 19, 36, 547), - Trans(41, 20, 36, 547), - Trans(41, 21, 36, 547), - Trans(41, 22, 36, 547), - Trans(41, 23, 36, 547), - Trans(41, 24, 36, 547), - Trans(41, 25, 36, 547), - Trans(41, 26, 36, 547), - Trans(41, 31, 36, 547), - Trans(41, 43, 36, 547), - Trans(41, 47, 36, 547), - Trans(41, 51, 36, 547), - Trans(41, 93, 36, 547), - Trans(42, 5, 36, 547), - Trans(42, 16, 36, 547), - Trans(42, 17, 36, 547), - Trans(42, 18, 36, 547), - Trans(42, 19, 36, 547), - Trans(42, 20, 36, 547), - Trans(42, 21, 36, 547), - Trans(42, 22, 36, 547), - Trans(42, 23, 36, 547), - Trans(42, 24, 36, 547), - Trans(42, 25, 36, 547), - Trans(42, 26, 36, 547), - Trans(42, 29, 36, 547), - Trans(42, 31, 36, 547), - Trans(42, 34, 36, 547), - Trans(42, 40, 36, 547), - Trans(42, 41, 36, 547), - Trans(42, 43, 36, 547), - Trans(42, 47, 36, 547), - Trans(42, 51, 36, 547), - Trans(42, 93, 36, 547), - Trans(43, 5, 36, 547), - Trans(43, 16, 36, 547), - Trans(43, 17, 36, 547), - Trans(43, 18, 36, 547), - Trans(43, 19, 36, 547), - Trans(43, 20, 36, 547), - Trans(43, 21, 36, 547), - Trans(43, 22, 36, 547), - Trans(43, 23, 36, 547), - Trans(43, 24, 36, 547), - Trans(43, 25, 36, 547), - Trans(43, 26, 36, 547), - Trans(43, 28, 36, 547), - Trans(43, 29, 36, 547), - Trans(43, 31, 36, 547), - Trans(43, 34, 36, 547), - Trans(43, 40, 36, 547), - Trans(43, 41, 36, 547), - Trans(43, 43, 36, 547), - Trans(43, 47, 36, 547), - Trans(43, 51, 36, 547), - Trans(43, 93, 36, 547), - Trans(44, 5, 36, 547), - Trans(44, 16, 36, 547), - Trans(44, 17, 36, 547), - Trans(44, 18, 36, 547), - Trans(44, 19, 36, 547), - Trans(44, 20, 36, 547), - Trans(44, 21, 36, 547), - Trans(44, 22, 36, 547), - Trans(44, 23, 36, 547), - Trans(44, 24, 36, 547), - Trans(44, 25, 36, 547), - Trans(44, 26, 36, 547), - Trans(44, 45, 36, 547), - Trans(44, 47, 36, 547), - Trans(44, 51, 36, 547), - Trans(45, 5, 36, 547), - Trans(45, 16, 36, 547), - Trans(45, 17, 36, 547), - Trans(45, 18, 36, 547), - Trans(45, 19, 36, 547), - Trans(45, 20, 36, 547), - Trans(45, 21, 36, 547), - Trans(45, 22, 36, 547), - Trans(45, 23, 36, 547), - Trans(45, 24, 36, 547), - Trans(45, 25, 36, 547), - Trans(45, 26, 36, 547), - Trans(45, 29, 36, 547), - Trans(45, 34, 36, 547), - Trans(45, 40, 36, 547), - Trans(45, 41, 36, 547), - Trans(45, 45, 36, 547), - Trans(45, 47, 36, 547), - Trans(45, 51, 36, 547), - Trans(46, 5, 36, 547), - Trans(46, 16, 36, 547), - Trans(46, 17, 36, 547), - Trans(46, 18, 36, 547), - Trans(46, 19, 36, 547), - Trans(46, 20, 36, 547), - Trans(46, 21, 36, 547), - Trans(46, 22, 36, 547), - Trans(46, 23, 36, 547), - Trans(46, 24, 36, 547), - Trans(46, 25, 36, 547), - Trans(46, 26, 36, 547), - Trans(46, 28, 36, 547), - Trans(46, 29, 36, 547), - Trans(46, 34, 36, 547), - Trans(46, 40, 36, 547), - Trans(46, 41, 36, 547), - Trans(46, 45, 36, 547), - Trans(46, 47, 36, 547), - Trans(46, 51, 36, 547), - Trans(47, 5, 36, 547), - Trans(47, 16, 36, 547), - Trans(47, 17, 36, 547), - Trans(47, 18, 36, 547), - Trans(47, 19, 36, 547), - Trans(47, 20, 36, 547), - Trans(47, 21, 36, 547), - Trans(47, 22, 36, 547), - Trans(47, 23, 36, 547), - Trans(47, 24, 36, 547), - Trans(47, 25, 36, 547), - Trans(47, 26, 36, 547), - Trans(47, 39, 36, 547), - Trans(47, 47, 36, 547), - Trans(47, 51, 36, 547), - Trans(48, 5, 36, 547), - Trans(48, 16, 36, 547), - Trans(48, 17, 36, 547), - Trans(48, 18, 36, 547), - Trans(48, 19, 36, 547), - Trans(48, 20, 36, 547), - Trans(48, 21, 36, 547), - Trans(48, 22, 36, 547), - Trans(48, 23, 36, 547), - Trans(48, 24, 36, 547), - Trans(48, 25, 36, 547), - Trans(48, 26, 36, 547), - Trans(48, 29, 36, 547), - Trans(48, 34, 36, 547), - Trans(48, 39, 36, 547), - Trans(48, 40, 36, 547), - Trans(48, 41, 36, 547), - Trans(48, 47, 36, 547), - Trans(48, 51, 36, 547), - Trans(49, 5, 36, 547), - Trans(49, 16, 36, 547), - Trans(49, 17, 36, 547), - Trans(49, 18, 36, 547), - Trans(49, 19, 36, 547), - Trans(49, 20, 36, 547), - Trans(49, 21, 36, 547), - Trans(49, 22, 36, 547), - Trans(49, 23, 36, 547), - Trans(49, 24, 36, 547), - Trans(49, 25, 36, 547), - Trans(49, 26, 36, 547), - Trans(49, 28, 36, 547), - Trans(49, 29, 36, 547), - Trans(49, 34, 36, 547), - Trans(49, 39, 36, 547), - Trans(49, 40, 36, 547), - Trans(49, 41, 36, 547), - Trans(49, 47, 36, 547), - Trans(49, 51, 36, 547), - Trans(50, 5, 36, 547), - Trans(50, 16, 36, 547), - Trans(50, 17, 36, 547), - Trans(50, 18, 36, 547), - Trans(50, 19, 36, 547), - Trans(50, 20, 36, 547), - Trans(50, 21, 36, 547), - Trans(50, 22, 36, 547), - Trans(50, 23, 36, 547), - Trans(50, 24, 36, 547), - Trans(50, 25, 36, 547), - Trans(50, 26, 36, 547), - Trans(50, 46, 36, 547), - Trans(50, 47, 36, 547), - Trans(50, 51, 36, 547), - Trans(51, 5, 36, 547), - Trans(51, 16, 36, 547), - Trans(51, 17, 36, 547), - Trans(51, 18, 36, 547), - Trans(51, 19, 36, 547), - Trans(51, 20, 36, 547), - Trans(51, 21, 36, 547), - Trans(51, 22, 36, 547), - Trans(51, 23, 36, 547), - Trans(51, 24, 36, 547), - Trans(51, 25, 36, 547), - Trans(51, 26, 36, 547), - Trans(51, 29, 36, 547), - Trans(51, 34, 36, 547), - Trans(51, 40, 36, 547), - Trans(51, 41, 36, 547), - Trans(51, 46, 36, 547), - Trans(51, 47, 36, 547), - Trans(51, 51, 36, 547), - Trans(52, 5, 36, 547), - Trans(52, 16, 36, 547), - Trans(52, 17, 36, 547), - Trans(52, 18, 36, 547), - Trans(52, 19, 36, 547), - Trans(52, 20, 36, 547), - Trans(52, 21, 36, 547), - Trans(52, 22, 36, 547), - Trans(52, 23, 36, 547), - Trans(52, 24, 36, 547), - Trans(52, 25, 36, 547), - Trans(52, 26, 36, 547), - Trans(52, 28, 36, 547), - Trans(52, 29, 36, 547), - Trans(52, 34, 36, 547), - Trans(52, 40, 36, 547), - Trans(52, 41, 36, 547), - Trans(52, 46, 36, 547), - Trans(52, 47, 36, 547), - Trans(52, 51, 36, 547), - Trans(53, 15, 36, 547), - Trans(53, 16, 36, 547), - Trans(53, 17, 36, 547), - Trans(53, 18, 36, 547), - Trans(53, 19, 36, 547), - Trans(53, 20, 36, 547), - Trans(53, 21, 36, 547), - Trans(53, 22, 36, 547), - Trans(53, 23, 36, 547), - Trans(53, 24, 36, 547), - Trans(53, 25, 36, 547), - Trans(53, 26, 36, 547), - Trans(53, 29, 36, 547), - Trans(53, 30, 36, 547), - Trans(53, 31, 36, 547), - Trans(53, 34, 36, 547), - Trans(53, 35, 36, 547), - Trans(53, 40, 36, 547), - Trans(53, 41, 36, 547), - Trans(53, 47, 36, 547), - Trans(53, 51, 36, 547), - Trans(54, 5, 36, 547), - Trans(54, 39, 36, 547), - Trans(54, 53, 36, 547), - Trans(54, 65, 36, 547), - Trans(54, 69, 36, 547), - Trans(54, 70, 36, 547), - Trans(54, 80, 36, 547), - Trans(54, 99, 36, 547), - Trans(54, 100, 36, 547), - Trans(54, 111, 36, 547), - Trans(54, 112, 36, 547), - Trans(55, 5, 36, 547), - Trans(55, 6, 36, 547), - Trans(55, 7, 36, 547), - Trans(55, 8, 36, 547), - Trans(55, 9, 36, 547), - Trans(55, 10, 36, 547), - Trans(55, 11, 36, 547), - Trans(55, 18, 36, 547), - Trans(55, 24, 36, 547), - Trans(55, 25, 36, 547), - Trans(55, 26, 36, 547), - Trans(55, 27, 36, 547), - Trans(55, 38, 36, 547), - Trans(55, 39, 36, 547), - Trans(55, 41, 36, 547), - Trans(55, 45, 36, 547), - Trans(55, 53, 36, 547), - Trans(55, 70, 36, 547), - Trans(55, 76, 36, 547), - Trans(55, 83, 36, 547), - Trans(55, 86, 36, 547), - Trans(55, 88, 36, 547), - Trans(55, 111, 36, 547), - Trans(55, 112, 36, 547), - Trans(56, 15, 36, 547), - Trans(56, 16, 36, 547), - Trans(56, 17, 36, 547), - Trans(56, 18, 36, 547), - Trans(56, 19, 36, 547), - Trans(56, 20, 36, 547), - Trans(56, 21, 36, 547), - Trans(56, 22, 36, 547), - Trans(56, 23, 36, 547), - Trans(56, 24, 36, 547), - Trans(56, 25, 36, 547), - Trans(56, 26, 36, 547), - Trans(56, 28, 36, 547), - Trans(56, 29, 36, 547), - Trans(56, 30, 36, 547), - Trans(56, 31, 36, 547), - Trans(56, 34, 36, 547), - Trans(56, 35, 36, 547), - Trans(56, 40, 36, 547), - Trans(56, 41, 36, 547), - Trans(56, 47, 36, 547), - Trans(56, 51, 36, 547), - Trans(57, 5, 36, 547), - Trans(57, 7, 36, 547), - Trans(57, 8, 36, 547), - Trans(57, 9, 36, 547), - Trans(57, 10, 36, 547), - Trans(57, 11, 36, 547), - Trans(57, 42, 36, 547), - Trans(57, 111, 36, 547), - Trans(57, 112, 36, 547), - Trans(58, 16, 36, 547), - Trans(58, 17, 36, 547), - Trans(58, 18, 36, 547), - Trans(58, 19, 36, 547), - Trans(58, 20, 36, 547), - Trans(58, 21, 36, 547), - Trans(58, 22, 36, 547), - Trans(58, 23, 36, 547), - Trans(58, 24, 36, 547), - Trans(58, 25, 36, 547), - Trans(58, 26, 36, 547), - Trans(58, 30, 36, 547), - Trans(58, 31, 36, 547), - Trans(58, 47, 36, 547), - Trans(58, 51, 36, 547), - Trans(59, 30, 36, 547), - Trans(60, 5, 36, 547), - Trans(60, 43, 36, 547), - Trans(60, 53, 36, 547), - Trans(60, 65, 36, 547), - Trans(60, 69, 36, 547), - Trans(60, 70, 36, 547), - Trans(60, 80, 36, 547), - Trans(60, 99, 36, 547), - Trans(60, 100, 36, 547), - Trans(60, 111, 36, 547), - Trans(60, 112, 36, 547), - Trans(61, 39, 36, 547), - Trans(62, 46, 36, 547), - Trans(63, 5, 36, 547), - Trans(63, 43, 36, 547), - Trans(63, 53, 36, 547), - Trans(63, 65, 36, 547), - Trans(63, 69, 36, 547), - Trans(63, 70, 36, 547), - Trans(63, 80, 36, 547), - Trans(63, 99, 36, 547), - Trans(63, 100, 36, 547), - Trans(63, 110, 36, 547), - Trans(63, 111, 36, 547), - Trans(63, 112, 36, 547), - Trans(64, 112, 36, 547), + Trans(15, 113, 53, -1), + Trans(16, 5, 64, -1), + Trans(16, 46, 65, -1), + Trans(17, 5, 62, -1), + Trans(17, 39, 63, -1), + Trans(18, 5, 54, -1), + Trans(18, 15, 22, -1), + Trans(18, 16, 22, -1), + Trans(18, 17, 22, -1), + Trans(18, 18, 22, -1), + Trans(18, 19, 22, -1), + Trans(18, 20, 22, -1), + Trans(18, 21, 22, -1), + Trans(18, 22, 22, -1), + Trans(18, 23, 22, -1), + Trans(18, 24, 22, -1), + Trans(18, 25, 22, -1), + Trans(18, 26, 22, -1), + Trans(18, 29, 23, -1), + Trans(18, 30, 55, -1), + Trans(18, 31, 22, -1), + Trans(18, 32, 22, -1), + Trans(18, 33, 22, -1), + Trans(18, 34, 23, -1), + Trans(18, 35, 22, -1), + Trans(18, 40, 22, -1), + Trans(18, 41, 56, -1), + Trans(18, 47, 22, -1), + Trans(18, 51, 33, -1), + Trans(19, 5, 57, -1), + Trans(19, 15, 22, -1), + Trans(19, 16, 22, -1), + Trans(19, 17, 22, -1), + Trans(19, 18, 22, -1), + Trans(19, 19, 22, -1), + Trans(19, 20, 22, -1), + Trans(19, 21, 22, -1), + Trans(19, 22, 22, -1), + Trans(19, 23, 22, -1), + Trans(19, 24, 22, -1), + Trans(19, 25, 22, -1), + Trans(19, 26, 22, -1), + Trans(19, 28, 58, -1), + Trans(19, 29, 23, -1), + Trans(19, 30, 55, -1), + Trans(19, 31, 22, -1), + Trans(19, 32, 22, -1), + Trans(19, 33, 22, -1), + Trans(19, 34, 23, -1), + Trans(19, 35, 22, -1), + Trans(19, 40, 22, -1), + Trans(19, 41, 56, -1), + Trans(19, 47, 22, -1), + Trans(19, 51, 33, -1), + Trans(20, 6, 37, 553), + Trans(20, 7, 37, 553), + Trans(20, 8, 37, 553), + Trans(20, 9, 37, 553), + Trans(20, 10, 37, 553), + Trans(20, 11, 37, 553), + Trans(20, 18, 37, 553), + Trans(20, 24, 37, 553), + Trans(20, 25, 37, 553), + Trans(20, 26, 37, 553), + Trans(20, 27, 37, 553), + Trans(20, 30, 37, 553), + Trans(20, 36, 37, 553), + Trans(20, 38, 37, 553), + Trans(20, 39, 37, 553), + Trans(20, 41, 37, 553), + Trans(20, 43, 37, 553), + Trans(20, 48, 37, 553), + Trans(20, 49, 37, 553), + Trans(20, 50, 37, 553), + Trans(20, 53, 37, 553), + Trans(20, 57, 37, 553), + Trans(20, 58, 37, 553), + Trans(20, 60, 37, 553), + Trans(20, 61, 37, 553), + Trans(20, 64, 37, 553), + Trans(20, 65, 37, 553), + Trans(20, 66, 37, 553), + Trans(20, 69, 37, 553), + Trans(20, 70, 37, 553), + Trans(20, 71, 37, 553), + Trans(20, 73, 37, 553), + Trans(20, 76, 37, 553), + Trans(20, 77, 37, 553), + Trans(20, 80, 37, 553), + Trans(20, 81, 37, 553), + Trans(20, 83, 37, 553), + Trans(20, 84, 37, 553), + Trans(20, 86, 37, 553), + Trans(20, 88, 37, 553), + Trans(20, 99, 37, 553), + Trans(20, 100, 37, 553), + Trans(20, 104, 37, 553), + Trans(20, 105, 37, 553), + Trans(20, 107, 37, 553), + Trans(20, 110, 37, 553), + Trans(20, 111, 37, 553), + Trans(20, 112, 37, 553), + Trans(20, 113, 37, 553), + Trans(21, 5, 37, 553), + Trans(21, 16, 37, 553), + Trans(21, 17, 37, 553), + Trans(21, 18, 37, 553), + Trans(21, 19, 37, 553), + Trans(21, 20, 37, 553), + Trans(21, 21, 37, 553), + Trans(21, 22, 37, 553), + Trans(21, 23, 37, 553), + Trans(21, 24, 37, 553), + Trans(21, 25, 37, 553), + Trans(21, 26, 37, 553), + Trans(21, 30, 37, 553), + Trans(21, 31, 37, 553), + Trans(21, 32, 37, 553), + Trans(21, 33, 37, 553), + Trans(21, 47, 37, 553), + Trans(21, 51, 37, 553), + Trans(22, 5, 37, 553), + Trans(22, 6, 37, 553), + Trans(22, 7, 37, 553), + Trans(22, 8, 37, 553), + Trans(22, 9, 37, 553), + Trans(22, 10, 37, 553), + Trans(22, 11, 37, 553), + Trans(22, 18, 37, 553), + Trans(22, 24, 37, 553), + Trans(22, 25, 37, 553), + Trans(22, 26, 37, 553), + Trans(22, 27, 37, 553), + Trans(22, 38, 37, 553), + Trans(22, 39, 37, 553), + Trans(22, 41, 37, 553), + Trans(22, 53, 37, 553), + Trans(22, 70, 37, 553), + Trans(22, 76, 37, 553), + Trans(22, 83, 37, 553), + Trans(22, 86, 37, 553), + Trans(22, 88, 37, 553), + Trans(22, 105, 37, 553), + Trans(22, 112, 37, 553), + Trans(22, 113, 37, 553), + Trans(23, 5, 37, 553), + Trans(23, 113, 37, 553), + Trans(24, 5, 37, 553), + Trans(24, 40, 37, 553), + Trans(25, 5, 37, 553), + Trans(25, 6, 37, 553), + Trans(25, 7, 37, 553), + Trans(25, 8, 37, 553), + Trans(25, 9, 37, 553), + Trans(25, 10, 37, 553), + Trans(25, 11, 37, 553), + Trans(25, 18, 37, 553), + Trans(25, 24, 37, 553), + Trans(25, 25, 37, 553), + Trans(25, 26, 37, 553), + Trans(25, 27, 37, 553), + Trans(25, 38, 37, 553), + Trans(25, 39, 37, 553), + Trans(25, 41, 37, 553), + Trans(25, 53, 37, 553), + Trans(25, 57, 37, 553), + Trans(25, 70, 37, 553), + Trans(25, 76, 37, 553), + Trans(25, 83, 37, 553), + Trans(25, 86, 37, 553), + Trans(25, 88, 37, 553), + Trans(25, 105, 37, 553), + Trans(25, 112, 37, 553), + Trans(25, 113, 37, 553), + Trans(26, 5, 37, 553), + Trans(26, 6, 37, 553), + Trans(26, 7, 37, 553), + Trans(26, 8, 37, 553), + Trans(26, 9, 37, 553), + Trans(26, 10, 37, 553), + Trans(26, 11, 37, 553), + Trans(26, 18, 37, 553), + Trans(26, 24, 37, 553), + Trans(26, 25, 37, 553), + Trans(26, 26, 37, 553), + Trans(26, 27, 37, 553), + Trans(26, 30, 37, 553), + Trans(26, 36, 37, 553), + Trans(26, 38, 37, 553), + Trans(26, 39, 37, 553), + Trans(26, 41, 37, 553), + Trans(26, 43, 37, 553), + Trans(26, 48, 37, 553), + Trans(26, 49, 37, 553), + Trans(26, 50, 37, 553), + Trans(26, 53, 37, 553), + Trans(26, 60, 37, 553), + Trans(26, 61, 37, 553), + Trans(26, 64, 37, 553), + Trans(26, 65, 37, 553), + Trans(26, 66, 37, 553), + Trans(26, 70, 37, 553), + Trans(26, 71, 37, 553), + Trans(26, 73, 37, 553), + Trans(26, 76, 37, 553), + Trans(26, 77, 37, 553), + Trans(26, 80, 37, 553), + Trans(26, 81, 37, 553), + Trans(26, 83, 37, 553), + Trans(26, 84, 37, 553), + Trans(26, 86, 37, 553), + Trans(26, 88, 37, 553), + Trans(26, 104, 37, 553), + Trans(26, 105, 37, 553), + Trans(26, 107, 37, 553), + Trans(26, 110, 37, 553), + Trans(26, 111, 37, 553), + Trans(26, 112, 37, 553), + Trans(26, 113, 37, 553), + Trans(27, 0, 37, 553), + Trans(27, 5, 37, 553), + Trans(27, 6, 37, 553), + Trans(27, 7, 37, 553), + Trans(27, 8, 37, 553), + Trans(27, 9, 37, 553), + Trans(27, 10, 37, 553), + Trans(27, 11, 37, 553), + Trans(27, 18, 37, 553), + Trans(27, 24, 37, 553), + Trans(27, 25, 37, 553), + Trans(27, 26, 37, 553), + Trans(27, 27, 37, 553), + Trans(27, 30, 37, 553), + Trans(27, 36, 37, 553), + Trans(27, 38, 37, 553), + Trans(27, 39, 37, 553), + Trans(27, 41, 37, 553), + Trans(27, 43, 37, 553), + Trans(27, 48, 37, 553), + Trans(27, 49, 37, 553), + Trans(27, 50, 37, 553), + Trans(27, 53, 37, 553), + Trans(27, 57, 37, 553), + Trans(27, 58, 37, 553), + Trans(27, 59, 37, 553), + Trans(27, 60, 37, 553), + Trans(27, 61, 37, 553), + Trans(27, 64, 37, 553), + Trans(27, 65, 37, 553), + Trans(27, 66, 37, 553), + Trans(27, 69, 37, 553), + Trans(27, 70, 37, 553), + Trans(27, 71, 37, 553), + Trans(27, 72, 37, 553), + Trans(27, 73, 37, 553), + Trans(27, 76, 37, 553), + Trans(27, 77, 37, 553), + Trans(27, 78, 37, 553), + Trans(27, 80, 37, 553), + Trans(27, 81, 37, 553), + Trans(27, 83, 37, 553), + Trans(27, 84, 37, 553), + Trans(27, 85, 37, 553), + Trans(27, 86, 37, 553), + Trans(27, 88, 37, 553), + Trans(27, 89, 37, 553), + Trans(27, 91, 37, 553), + Trans(27, 99, 37, 553), + Trans(27, 100, 37, 553), + Trans(27, 104, 37, 553), + Trans(27, 105, 37, 553), + Trans(27, 107, 37, 553), + Trans(27, 110, 37, 553), + Trans(27, 111, 37, 553), + Trans(27, 112, 37, 553), + Trans(27, 113, 37, 553), + Trans(28, 5, 37, 553), + Trans(28, 39, 37, 553), + Trans(29, 5, 37, 553), + Trans(29, 39, 37, 553), + Trans(29, 41, 37, 553), + Trans(30, 5, 37, 553), + Trans(30, 30, 37, 553), + Trans(31, 5, 37, 553), + Trans(31, 39, 37, 553), + Trans(31, 70, 37, 553), + Trans(32, 5, 37, 553), + Trans(32, 47, 37, 553), + Trans(32, 112, 37, 553), + Trans(32, 113, 37, 553), + Trans(33, 5, 37, 553), + Trans(33, 112, 37, 553), + Trans(33, 113, 37, 553), + Trans(34, 5, 37, 553), + Trans(34, 46, 37, 553), + Trans(35, 5, 37, 553), + Trans(35, 15, 37, 553), + Trans(35, 16, 37, 553), + Trans(35, 17, 37, 553), + Trans(35, 18, 37, 553), + Trans(35, 19, 37, 553), + Trans(35, 20, 37, 553), + Trans(35, 21, 37, 553), + Trans(35, 22, 37, 553), + Trans(35, 23, 37, 553), + Trans(35, 24, 37, 553), + Trans(35, 25, 37, 553), + Trans(35, 26, 37, 553), + Trans(35, 29, 37, 553), + Trans(35, 30, 37, 553), + Trans(35, 31, 37, 553), + Trans(35, 32, 37, 553), + Trans(35, 33, 37, 553), + Trans(35, 34, 37, 553), + Trans(35, 35, 37, 553), + Trans(35, 40, 37, 553), + Trans(35, 41, 37, 553), + Trans(35, 47, 37, 553), + Trans(35, 51, 37, 553), + Trans(36, 5, 37, 553), + Trans(36, 15, 37, 553), + Trans(36, 16, 37, 553), + Trans(36, 17, 37, 553), + Trans(36, 18, 37, 553), + Trans(36, 19, 37, 553), + Trans(36, 20, 37, 553), + Trans(36, 21, 37, 553), + Trans(36, 22, 37, 553), + Trans(36, 23, 37, 553), + Trans(36, 24, 37, 553), + Trans(36, 25, 37, 553), + Trans(36, 26, 37, 553), + Trans(36, 28, 37, 553), + Trans(36, 29, 37, 553), + Trans(36, 30, 37, 553), + Trans(36, 31, 37, 553), + Trans(36, 32, 37, 553), + Trans(36, 33, 37, 553), + Trans(36, 34, 37, 553), + Trans(36, 35, 37, 553), + Trans(36, 40, 37, 553), + Trans(36, 41, 37, 553), + Trans(36, 47, 37, 553), + Trans(36, 51, 37, 553), + Trans(38, 6, 37, 553), + Trans(38, 7, 37, 553), + Trans(38, 8, 37, 553), + Trans(38, 9, 37, 553), + Trans(38, 10, 37, 553), + Trans(38, 11, 37, 553), + Trans(38, 18, 37, 553), + Trans(38, 24, 37, 553), + Trans(38, 25, 37, 553), + Trans(38, 26, 37, 553), + Trans(38, 27, 37, 553), + Trans(38, 38, 37, 553), + Trans(38, 39, 37, 553), + Trans(38, 41, 37, 553), + Trans(38, 53, 37, 553), + Trans(38, 70, 37, 553), + Trans(38, 76, 37, 553), + Trans(38, 83, 37, 553), + Trans(38, 86, 37, 553), + Trans(38, 88, 37, 553), + Trans(38, 105, 37, 553), + Trans(38, 112, 37, 553), + Trans(38, 113, 37, 553), + Trans(39, 5, 37, 553), + Trans(39, 16, 37, 553), + Trans(39, 17, 37, 553), + Trans(39, 18, 37, 553), + Trans(39, 19, 37, 553), + Trans(39, 20, 37, 553), + Trans(39, 21, 37, 553), + Trans(39, 22, 37, 553), + Trans(39, 23, 37, 553), + Trans(39, 24, 37, 553), + Trans(39, 25, 37, 553), + Trans(39, 26, 37, 553), + Trans(39, 29, 37, 553), + Trans(39, 30, 37, 553), + Trans(39, 31, 37, 553), + Trans(39, 32, 37, 553), + Trans(39, 33, 37, 553), + Trans(39, 34, 37, 553), + Trans(39, 40, 37, 553), + Trans(39, 41, 37, 553), + Trans(39, 47, 37, 553), + Trans(39, 51, 37, 553), + Trans(40, 5, 37, 553), + Trans(40, 16, 37, 553), + Trans(40, 17, 37, 553), + Trans(40, 18, 37, 553), + Trans(40, 19, 37, 553), + Trans(40, 20, 37, 553), + Trans(40, 21, 37, 553), + Trans(40, 22, 37, 553), + Trans(40, 23, 37, 553), + Trans(40, 24, 37, 553), + Trans(40, 25, 37, 553), + Trans(40, 26, 37, 553), + Trans(40, 28, 37, 553), + Trans(40, 29, 37, 553), + Trans(40, 30, 37, 553), + Trans(40, 31, 37, 553), + Trans(40, 32, 37, 553), + Trans(40, 33, 37, 553), + Trans(40, 34, 37, 553), + Trans(40, 40, 37, 553), + Trans(40, 41, 37, 553), + Trans(40, 47, 37, 553), + Trans(40, 51, 37, 553), + Trans(41, 6, 37, 553), + Trans(41, 7, 37, 553), + Trans(41, 8, 37, 553), + Trans(41, 9, 37, 553), + Trans(41, 10, 37, 553), + Trans(41, 11, 37, 553), + Trans(41, 18, 37, 553), + Trans(41, 24, 37, 553), + Trans(41, 25, 37, 553), + Trans(41, 26, 37, 553), + Trans(41, 27, 37, 553), + Trans(41, 38, 37, 553), + Trans(41, 39, 37, 553), + Trans(41, 41, 37, 553), + Trans(41, 53, 37, 553), + Trans(41, 57, 37, 553), + Trans(41, 70, 37, 553), + Trans(41, 76, 37, 553), + Trans(41, 83, 37, 553), + Trans(41, 86, 37, 553), + Trans(41, 88, 37, 553), + Trans(41, 105, 37, 553), + Trans(41, 112, 37, 553), + Trans(41, 113, 37, 553), + Trans(42, 5, 37, 553), + Trans(42, 16, 37, 553), + Trans(42, 17, 37, 553), + Trans(42, 18, 37, 553), + Trans(42, 19, 37, 553), + Trans(42, 20, 37, 553), + Trans(42, 21, 37, 553), + Trans(42, 22, 37, 553), + Trans(42, 23, 37, 553), + Trans(42, 24, 37, 553), + Trans(42, 25, 37, 553), + Trans(42, 26, 37, 553), + Trans(42, 31, 37, 553), + Trans(42, 43, 37, 553), + Trans(42, 47, 37, 553), + Trans(42, 51, 37, 553), + Trans(42, 93, 37, 553), + Trans(43, 5, 37, 553), + Trans(43, 16, 37, 553), + Trans(43, 17, 37, 553), + Trans(43, 18, 37, 553), + Trans(43, 19, 37, 553), + Trans(43, 20, 37, 553), + Trans(43, 21, 37, 553), + Trans(43, 22, 37, 553), + Trans(43, 23, 37, 553), + Trans(43, 24, 37, 553), + Trans(43, 25, 37, 553), + Trans(43, 26, 37, 553), + Trans(43, 29, 37, 553), + Trans(43, 31, 37, 553), + Trans(43, 34, 37, 553), + Trans(43, 40, 37, 553), + Trans(43, 41, 37, 553), + Trans(43, 43, 37, 553), + Trans(43, 47, 37, 553), + Trans(43, 51, 37, 553), + Trans(43, 93, 37, 553), + Trans(44, 5, 37, 553), + Trans(44, 16, 37, 553), + Trans(44, 17, 37, 553), + Trans(44, 18, 37, 553), + Trans(44, 19, 37, 553), + Trans(44, 20, 37, 553), + Trans(44, 21, 37, 553), + Trans(44, 22, 37, 553), + Trans(44, 23, 37, 553), + Trans(44, 24, 37, 553), + Trans(44, 25, 37, 553), + Trans(44, 26, 37, 553), + Trans(44, 28, 37, 553), + Trans(44, 29, 37, 553), + Trans(44, 31, 37, 553), + Trans(44, 34, 37, 553), + Trans(44, 40, 37, 553), + Trans(44, 41, 37, 553), + Trans(44, 43, 37, 553), + Trans(44, 47, 37, 553), + Trans(44, 51, 37, 553), + Trans(44, 93, 37, 553), + Trans(45, 5, 37, 553), + Trans(45, 16, 37, 553), + Trans(45, 17, 37, 553), + Trans(45, 18, 37, 553), + Trans(45, 19, 37, 553), + Trans(45, 20, 37, 553), + Trans(45, 21, 37, 553), + Trans(45, 22, 37, 553), + Trans(45, 23, 37, 553), + Trans(45, 24, 37, 553), + Trans(45, 25, 37, 553), + Trans(45, 26, 37, 553), + Trans(45, 45, 37, 553), + Trans(45, 47, 37, 553), + Trans(45, 51, 37, 553), + Trans(46, 5, 37, 553), + Trans(46, 16, 37, 553), + Trans(46, 17, 37, 553), + Trans(46, 18, 37, 553), + Trans(46, 19, 37, 553), + Trans(46, 20, 37, 553), + Trans(46, 21, 37, 553), + Trans(46, 22, 37, 553), + Trans(46, 23, 37, 553), + Trans(46, 24, 37, 553), + Trans(46, 25, 37, 553), + Trans(46, 26, 37, 553), + Trans(46, 29, 37, 553), + Trans(46, 34, 37, 553), + Trans(46, 40, 37, 553), + Trans(46, 41, 37, 553), + Trans(46, 45, 37, 553), + Trans(46, 47, 37, 553), + Trans(46, 51, 37, 553), + Trans(47, 5, 37, 553), + Trans(47, 16, 37, 553), + Trans(47, 17, 37, 553), + Trans(47, 18, 37, 553), + Trans(47, 19, 37, 553), + Trans(47, 20, 37, 553), + Trans(47, 21, 37, 553), + Trans(47, 22, 37, 553), + Trans(47, 23, 37, 553), + Trans(47, 24, 37, 553), + Trans(47, 25, 37, 553), + Trans(47, 26, 37, 553), + Trans(47, 28, 37, 553), + Trans(47, 29, 37, 553), + Trans(47, 34, 37, 553), + Trans(47, 40, 37, 553), + Trans(47, 41, 37, 553), + Trans(47, 45, 37, 553), + Trans(47, 47, 37, 553), + Trans(47, 51, 37, 553), + Trans(48, 5, 37, 553), + Trans(48, 16, 37, 553), + Trans(48, 17, 37, 553), + Trans(48, 18, 37, 553), + Trans(48, 19, 37, 553), + Trans(48, 20, 37, 553), + Trans(48, 21, 37, 553), + Trans(48, 22, 37, 553), + Trans(48, 23, 37, 553), + Trans(48, 24, 37, 553), + Trans(48, 25, 37, 553), + Trans(48, 26, 37, 553), + Trans(48, 39, 37, 553), + Trans(48, 47, 37, 553), + Trans(48, 51, 37, 553), + Trans(49, 5, 37, 553), + Trans(49, 16, 37, 553), + Trans(49, 17, 37, 553), + Trans(49, 18, 37, 553), + Trans(49, 19, 37, 553), + Trans(49, 20, 37, 553), + Trans(49, 21, 37, 553), + Trans(49, 22, 37, 553), + Trans(49, 23, 37, 553), + Trans(49, 24, 37, 553), + Trans(49, 25, 37, 553), + Trans(49, 26, 37, 553), + Trans(49, 29, 37, 553), + Trans(49, 34, 37, 553), + Trans(49, 39, 37, 553), + Trans(49, 40, 37, 553), + Trans(49, 41, 37, 553), + Trans(49, 47, 37, 553), + Trans(49, 51, 37, 553), + Trans(50, 5, 37, 553), + Trans(50, 16, 37, 553), + Trans(50, 17, 37, 553), + Trans(50, 18, 37, 553), + Trans(50, 19, 37, 553), + Trans(50, 20, 37, 553), + Trans(50, 21, 37, 553), + Trans(50, 22, 37, 553), + Trans(50, 23, 37, 553), + Trans(50, 24, 37, 553), + Trans(50, 25, 37, 553), + Trans(50, 26, 37, 553), + Trans(50, 28, 37, 553), + Trans(50, 29, 37, 553), + Trans(50, 34, 37, 553), + Trans(50, 39, 37, 553), + Trans(50, 40, 37, 553), + Trans(50, 41, 37, 553), + Trans(50, 47, 37, 553), + Trans(50, 51, 37, 553), + Trans(51, 5, 37, 553), + Trans(51, 16, 37, 553), + Trans(51, 17, 37, 553), + Trans(51, 18, 37, 553), + Trans(51, 19, 37, 553), + Trans(51, 20, 37, 553), + Trans(51, 21, 37, 553), + Trans(51, 22, 37, 553), + Trans(51, 23, 37, 553), + Trans(51, 24, 37, 553), + Trans(51, 25, 37, 553), + Trans(51, 26, 37, 553), + Trans(51, 46, 37, 553), + Trans(51, 47, 37, 553), + Trans(51, 51, 37, 553), + Trans(52, 5, 37, 553), + Trans(52, 16, 37, 553), + Trans(52, 17, 37, 553), + Trans(52, 18, 37, 553), + Trans(52, 19, 37, 553), + Trans(52, 20, 37, 553), + Trans(52, 21, 37, 553), + Trans(52, 22, 37, 553), + Trans(52, 23, 37, 553), + Trans(52, 24, 37, 553), + Trans(52, 25, 37, 553), + Trans(52, 26, 37, 553), + Trans(52, 29, 37, 553), + Trans(52, 34, 37, 553), + Trans(52, 40, 37, 553), + Trans(52, 41, 37, 553), + Trans(52, 46, 37, 553), + Trans(52, 47, 37, 553), + Trans(52, 51, 37, 553), + Trans(53, 5, 37, 553), + Trans(53, 16, 37, 553), + Trans(53, 17, 37, 553), + Trans(53, 18, 37, 553), + Trans(53, 19, 37, 553), + Trans(53, 20, 37, 553), + Trans(53, 21, 37, 553), + Trans(53, 22, 37, 553), + Trans(53, 23, 37, 553), + Trans(53, 24, 37, 553), + Trans(53, 25, 37, 553), + Trans(53, 26, 37, 553), + Trans(53, 28, 37, 553), + Trans(53, 29, 37, 553), + Trans(53, 34, 37, 553), + Trans(53, 40, 37, 553), + Trans(53, 41, 37, 553), + Trans(53, 46, 37, 553), + Trans(53, 47, 37, 553), + Trans(53, 51, 37, 553), + Trans(54, 15, 37, 553), + Trans(54, 16, 37, 553), + Trans(54, 17, 37, 553), + Trans(54, 18, 37, 553), + Trans(54, 19, 37, 553), + Trans(54, 20, 37, 553), + Trans(54, 21, 37, 553), + Trans(54, 22, 37, 553), + Trans(54, 23, 37, 553), + Trans(54, 24, 37, 553), + Trans(54, 25, 37, 553), + Trans(54, 26, 37, 553), + Trans(54, 29, 37, 553), + Trans(54, 30, 37, 553), + Trans(54, 31, 37, 553), + Trans(54, 32, 37, 553), + Trans(54, 33, 37, 553), + Trans(54, 34, 37, 553), + Trans(54, 35, 37, 553), + Trans(54, 40, 37, 553), + Trans(54, 41, 37, 553), + Trans(54, 47, 37, 553), + Trans(54, 51, 37, 553), + Trans(55, 5, 37, 553), + Trans(55, 39, 37, 553), + Trans(55, 53, 37, 553), + Trans(55, 65, 37, 553), + Trans(55, 69, 37, 553), + Trans(55, 70, 37, 553), + Trans(55, 80, 37, 553), + Trans(55, 99, 37, 553), + Trans(55, 100, 37, 553), + Trans(55, 105, 37, 553), + Trans(55, 112, 37, 553), + Trans(55, 113, 37, 553), + Trans(56, 5, 37, 553), + Trans(56, 6, 37, 553), + Trans(56, 7, 37, 553), + Trans(56, 8, 37, 553), + Trans(56, 9, 37, 553), + Trans(56, 10, 37, 553), + Trans(56, 11, 37, 553), + Trans(56, 18, 37, 553), + Trans(56, 24, 37, 553), + Trans(56, 25, 37, 553), + Trans(56, 26, 37, 553), + Trans(56, 27, 37, 553), + Trans(56, 38, 37, 553), + Trans(56, 39, 37, 553), + Trans(56, 41, 37, 553), + Trans(56, 45, 37, 553), + Trans(56, 53, 37, 553), + Trans(56, 70, 37, 553), + Trans(56, 76, 37, 553), + Trans(56, 83, 37, 553), + Trans(56, 86, 37, 553), + Trans(56, 88, 37, 553), + Trans(56, 105, 37, 553), + Trans(56, 112, 37, 553), + Trans(56, 113, 37, 553), + Trans(57, 15, 37, 553), + Trans(57, 16, 37, 553), + Trans(57, 17, 37, 553), + Trans(57, 18, 37, 553), + Trans(57, 19, 37, 553), + Trans(57, 20, 37, 553), + Trans(57, 21, 37, 553), + Trans(57, 22, 37, 553), + Trans(57, 23, 37, 553), + Trans(57, 24, 37, 553), + Trans(57, 25, 37, 553), + Trans(57, 26, 37, 553), + Trans(57, 28, 37, 553), + Trans(57, 29, 37, 553), + Trans(57, 30, 37, 553), + Trans(57, 31, 37, 553), + Trans(57, 32, 37, 553), + Trans(57, 33, 37, 553), + Trans(57, 34, 37, 553), + Trans(57, 35, 37, 553), + Trans(57, 40, 37, 553), + Trans(57, 41, 37, 553), + Trans(57, 47, 37, 553), + Trans(57, 51, 37, 553), + Trans(58, 5, 37, 553), + Trans(58, 7, 37, 553), + Trans(58, 8, 37, 553), + Trans(58, 9, 37, 553), + Trans(58, 10, 37, 553), + Trans(58, 11, 37, 553), + Trans(58, 42, 37, 553), + Trans(58, 112, 37, 553), + Trans(58, 113, 37, 553), + Trans(59, 16, 37, 553), + Trans(59, 17, 37, 553), + Trans(59, 18, 37, 553), + Trans(59, 19, 37, 553), + Trans(59, 20, 37, 553), + Trans(59, 21, 37, 553), + Trans(59, 22, 37, 553), + Trans(59, 23, 37, 553), + Trans(59, 24, 37, 553), + Trans(59, 25, 37, 553), + Trans(59, 26, 37, 553), + Trans(59, 30, 37, 553), + Trans(59, 31, 37, 553), + Trans(59, 32, 37, 553), + Trans(59, 33, 37, 553), + Trans(59, 47, 37, 553), + Trans(59, 51, 37, 553), + Trans(60, 30, 37, 553), + Trans(61, 5, 37, 553), + Trans(61, 43, 37, 553), + Trans(61, 53, 37, 553), + Trans(61, 65, 37, 553), + Trans(61, 69, 37, 553), + Trans(61, 70, 37, 553), + Trans(61, 80, 37, 553), + Trans(61, 99, 37, 553), + Trans(61, 100, 37, 553), + Trans(61, 105, 37, 553), + Trans(61, 112, 37, 553), + Trans(61, 113, 37, 553), + Trans(62, 39, 37, 553), + Trans(63, 5, 37, 553), + Trans(63, 6, 37, 553), + Trans(63, 7, 37, 553), + Trans(63, 8, 37, 553), + Trans(63, 9, 37, 553), + Trans(63, 10, 37, 553), + Trans(63, 11, 37, 553), + Trans(63, 18, 37, 553), + Trans(63, 24, 37, 553), + Trans(63, 25, 37, 553), + Trans(63, 26, 37, 553), + Trans(63, 27, 37, 553), + Trans(63, 38, 37, 553), + Trans(63, 39, 37, 553), + Trans(63, 41, 37, 553), + Trans(63, 43, 37, 553), + Trans(63, 53, 37, 553), + Trans(63, 57, 37, 553), + Trans(63, 70, 37, 553), + Trans(63, 76, 37, 553), + Trans(63, 83, 37, 553), + Trans(63, 86, 37, 553), + Trans(63, 88, 37, 553), + Trans(63, 105, 37, 553), + Trans(63, 112, 37, 553), + Trans(63, 113, 37, 553), + Trans(64, 46, 37, 553), + Trans(65, 5, 37, 553), + Trans(65, 43, 37, 553), + Trans(65, 53, 37, 553), + Trans(65, 65, 37, 553), + Trans(65, 69, 37, 553), + Trans(65, 70, 37, 553), + Trans(65, 80, 37, 553), + Trans(65, 99, 37, 553), + Trans(65, 100, 37, 553), + Trans(65, 105, 37, 553), + Trans(65, 111, 37, 553), + Trans(65, 112, 37, 553), + Trans(65, 113, 37, 553), + Trans(66, 113, 37, 553), ], k: 3, }, - /* 257 - "IfResetStatementList0List" */ + /* 256 - "IfResetStatementList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 546), - Trans(0, 53, 1, 545), - Trans(0, 65, 1, 545), - Trans(0, 69, 1, 545), - Trans(0, 70, 1, 545), - Trans(0, 80, 1, 545), - Trans(0, 99, 1, 545), - Trans(0, 100, 1, 545), - Trans(0, 111, 1, 545), - Trans(0, 112, 1, 545), + Trans(0, 43, 2, 552), + Trans(0, 53, 1, 551), + Trans(0, 65, 1, 551), + Trans(0, 69, 1, 551), + Trans(0, 70, 1, 551), + Trans(0, 80, 1, 551), + Trans(0, 99, 1, 551), + Trans(0, 100, 1, 551), + Trans(0, 105, 1, 551), + Trans(0, 112, 1, 551), + Trans(0, 113, 1, 551), ], k: 1, }, - /* 258 - "IfResetStatementOpt" */ + /* 257 - "IfResetStatementOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 553), - Trans(0, 7, 2, 553), - Trans(0, 8, 2, 553), - Trans(0, 9, 2, 553), - Trans(0, 10, 2, 553), - Trans(0, 11, 2, 553), - Trans(0, 18, 2, 553), - Trans(0, 24, 2, 553), - Trans(0, 25, 2, 553), - Trans(0, 26, 2, 553), - Trans(0, 27, 2, 553), - Trans(0, 38, 2, 553), - Trans(0, 39, 2, 553), - Trans(0, 41, 2, 553), - Trans(0, 43, 2, 553), - Trans(0, 53, 2, 553), - Trans(0, 57, 2, 553), - Trans(0, 58, 1, 550), - Trans(0, 65, 2, 553), - Trans(0, 69, 2, 553), - Trans(0, 70, 2, 553), - Trans(0, 76, 2, 553), - Trans(0, 80, 2, 553), - Trans(0, 83, 2, 553), - Trans(0, 86, 2, 553), - Trans(0, 88, 2, 553), - Trans(0, 99, 2, 553), - Trans(0, 100, 2, 553), - Trans(0, 110, 2, 553), - Trans(0, 111, 2, 553), - Trans(0, 112, 2, 553), + Trans(0, 6, 2, 559), + Trans(0, 7, 2, 559), + Trans(0, 8, 2, 559), + Trans(0, 9, 2, 559), + Trans(0, 10, 2, 559), + Trans(0, 11, 2, 559), + Trans(0, 18, 2, 559), + Trans(0, 24, 2, 559), + Trans(0, 25, 2, 559), + Trans(0, 26, 2, 559), + Trans(0, 27, 2, 559), + Trans(0, 38, 2, 559), + Trans(0, 39, 2, 559), + Trans(0, 41, 2, 559), + Trans(0, 43, 2, 559), + Trans(0, 53, 2, 559), + Trans(0, 57, 2, 559), + Trans(0, 58, 1, 556), + Trans(0, 65, 2, 559), + Trans(0, 69, 2, 559), + Trans(0, 70, 2, 559), + Trans(0, 76, 2, 559), + Trans(0, 80, 2, 559), + Trans(0, 83, 2, 559), + Trans(0, 86, 2, 559), + Trans(0, 88, 2, 559), + Trans(0, 99, 2, 559), + Trans(0, 100, 2, 559), + Trans(0, 105, 2, 559), + Trans(0, 111, 2, 559), + Trans(0, 112, 2, 559), + Trans(0, 113, 2, 559), ], k: 1, }, - /* 259 - "IfResetStatementOptList" */ + /* 258 - "IfResetStatementOptList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 552), - Trans(0, 53, 1, 551), - Trans(0, 65, 1, 551), - Trans(0, 69, 1, 551), - Trans(0, 70, 1, 551), - Trans(0, 80, 1, 551), - Trans(0, 99, 1, 551), - Trans(0, 100, 1, 551), - Trans(0, 111, 1, 551), - Trans(0, 112, 1, 551), + Trans(0, 43, 2, 558), + Trans(0, 53, 1, 557), + Trans(0, 65, 1, 557), + Trans(0, 69, 1, 557), + Trans(0, 70, 1, 557), + Trans(0, 80, 1, 557), + Trans(0, 99, 1, 557), + Trans(0, 100, 1, 557), + Trans(0, 105, 1, 557), + Trans(0, 112, 1, 557), + Trans(0, 113, 1, 557), ], k: 1, }, - /* 260 - "IfResetTerm" */ + /* 259 - "IfResetTerm" */ LookaheadDFA { prod0: 64, transitions: &[], k: 0, }, - /* 261 - "IfResetToken" */ + /* 260 - "IfResetToken" */ LookaheadDFA { - prod0: 176, + prod0: 177, transitions: &[], k: 0, }, - /* 262 - "IfStatement" */ + /* 261 - "IfStatement" */ LookaheadDFA { - prod0: 532, + prod0: 538, transitions: &[], k: 0, }, - /* 263 - "IfStatementList" */ + /* 262 - "IfStatementList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 538), - Trans(0, 53, 1, 537), - Trans(0, 65, 1, 537), - Trans(0, 69, 1, 537), - Trans(0, 70, 1, 537), - Trans(0, 80, 1, 537), - Trans(0, 99, 1, 537), - Trans(0, 100, 1, 537), - Trans(0, 111, 1, 537), - Trans(0, 112, 1, 537), + Trans(0, 43, 2, 544), + Trans(0, 53, 1, 543), + Trans(0, 65, 1, 543), + Trans(0, 69, 1, 543), + Trans(0, 70, 1, 543), + Trans(0, 80, 1, 543), + Trans(0, 99, 1, 543), + Trans(0, 100, 1, 543), + Trans(0, 105, 1, 543), + Trans(0, 112, 1, 543), + Trans(0, 113, 1, 543), ], k: 1, }, - /* 264 - "IfStatementList0" */ + /* 263 - "IfStatementList0" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -6786,1372 +6927,1446 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 88, 11, -1), Trans(0, 99, 15, -1), Trans(0, 100, 16, -1), - Trans(0, 110, 13, -1), - Trans(0, 111, 17, -1), + Trans(0, 105, 17, -1), + Trans(0, 111, 13, -1), Trans(0, 112, 18, -1), + Trans(0, 113, 19, -1), Trans(1, 5, 4, -1), - Trans(1, 39, 60, -1), + Trans(1, 39, 61, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 533), - Trans(2, 6, 3, 533), - Trans(2, 7, 3, 533), - Trans(2, 8, 3, 533), - Trans(2, 9, 3, 533), - Trans(2, 10, 3, 533), - Trans(2, 11, 3, 533), - Trans(2, 18, 3, 533), - Trans(2, 24, 3, 533), - Trans(2, 25, 3, 533), - Trans(2, 26, 3, 533), - Trans(2, 27, 3, 533), - Trans(2, 38, 3, 533), - Trans(2, 39, 3, 533), - Trans(2, 41, 3, 533), - Trans(2, 53, 3, 533), - Trans(2, 70, 3, 533), - Trans(2, 76, 3, 533), - Trans(2, 83, 3, 533), - Trans(2, 86, 3, 533), - Trans(2, 88, 3, 533), - Trans(2, 111, 3, 533), - Trans(2, 112, 3, 533), - Trans(4, 39, 36, 536), - Trans(4, 70, 3, 533), - Trans(5, 5, 58, -1), - Trans(5, 16, 21, -1), - Trans(5, 17, 21, -1), - Trans(5, 18, 21, -1), - Trans(5, 19, 21, -1), - Trans(5, 20, 21, -1), - Trans(5, 21, 21, -1), - Trans(5, 22, 21, -1), - Trans(5, 23, 21, -1), - Trans(5, 24, 21, -1), - Trans(5, 25, 21, -1), - Trans(5, 26, 21, -1), - Trans(5, 30, 54, -1), - Trans(5, 31, 21, -1), - Trans(5, 47, 21, -1), - Trans(5, 51, 32, -1), - Trans(6, 5, 37, -1), - Trans(6, 6, 20, -1), - Trans(6, 7, 20, -1), - Trans(6, 8, 20, -1), - Trans(6, 9, 20, -1), - Trans(6, 10, 20, -1), - Trans(6, 11, 20, -1), - Trans(6, 18, 21, -1), - Trans(6, 24, 21, -1), - Trans(6, 25, 21, -1), - Trans(6, 26, 21, -1), - Trans(6, 27, 21, -1), - Trans(6, 38, 24, -1), - Trans(6, 39, 21, -1), - Trans(6, 41, 21, -1), - Trans(6, 53, 21, -1), - Trans(6, 70, 21, -1), - Trans(6, 76, 21, -1), - Trans(6, 83, 20, -1), - Trans(6, 86, 20, -1), - Trans(6, 88, 21, -1), - Trans(6, 111, 38, -1), + Trans(2, 5, 3, 539), + Trans(2, 6, 3, 539), + Trans(2, 7, 3, 539), + Trans(2, 8, 3, 539), + Trans(2, 9, 3, 539), + Trans(2, 10, 3, 539), + Trans(2, 11, 3, 539), + Trans(2, 18, 3, 539), + Trans(2, 24, 3, 539), + Trans(2, 25, 3, 539), + Trans(2, 26, 3, 539), + Trans(2, 27, 3, 539), + Trans(2, 38, 3, 539), + Trans(2, 39, 3, 539), + Trans(2, 41, 3, 539), + Trans(2, 53, 3, 539), + Trans(2, 70, 3, 539), + Trans(2, 76, 3, 539), + Trans(2, 83, 3, 539), + Trans(2, 86, 3, 539), + Trans(2, 88, 3, 539), + Trans(2, 105, 3, 539), + Trans(2, 112, 3, 539), + Trans(2, 113, 3, 539), + Trans(4, 39, 37, 542), + Trans(4, 70, 3, 539), + Trans(5, 5, 59, -1), + Trans(5, 16, 22, -1), + Trans(5, 17, 22, -1), + Trans(5, 18, 22, -1), + Trans(5, 19, 22, -1), + Trans(5, 20, 22, -1), + Trans(5, 21, 22, -1), + Trans(5, 22, 22, -1), + Trans(5, 23, 22, -1), + Trans(5, 24, 22, -1), + Trans(5, 25, 22, -1), + Trans(5, 26, 22, -1), + Trans(5, 30, 55, -1), + Trans(5, 31, 22, -1), + Trans(5, 32, 22, -1), + Trans(5, 33, 22, -1), + Trans(5, 47, 22, -1), + Trans(5, 51, 33, -1), + Trans(6, 5, 38, -1), + Trans(6, 6, 21, -1), + Trans(6, 7, 21, -1), + Trans(6, 8, 21, -1), + Trans(6, 9, 21, -1), + Trans(6, 10, 21, -1), + Trans(6, 11, 21, -1), + Trans(6, 18, 22, -1), + Trans(6, 24, 22, -1), + Trans(6, 25, 22, -1), + Trans(6, 26, 22, -1), + Trans(6, 27, 22, -1), + Trans(6, 38, 25, -1), + Trans(6, 39, 22, -1), + Trans(6, 41, 22, -1), + Trans(6, 53, 22, -1), + Trans(6, 70, 22, -1), + Trans(6, 76, 22, -1), + Trans(6, 83, 21, -1), + Trans(6, 86, 21, -1), + Trans(6, 88, 22, -1), + Trans(6, 105, 28, -1), Trans(6, 112, 39, -1), - Trans(7, 5, 40, -1), - Trans(7, 6, 41, -1), - Trans(7, 7, 41, -1), - Trans(7, 8, 41, -1), - Trans(7, 9, 41, -1), - Trans(7, 10, 41, -1), - Trans(7, 11, 41, -1), - Trans(7, 18, 21, -1), - Trans(7, 24, 21, -1), - Trans(7, 25, 21, -1), - Trans(7, 26, 21, -1), - Trans(7, 27, 21, -1), - Trans(7, 38, 24, -1), - Trans(7, 39, 21, -1), - Trans(7, 41, 21, -1), - Trans(7, 53, 21, -1), - Trans(7, 57, 29, -1), - Trans(7, 70, 21, -1), - Trans(7, 76, 21, -1), - Trans(7, 83, 41, -1), - Trans(7, 86, 41, -1), - Trans(7, 88, 21, -1), - Trans(7, 111, 42, -1), + Trans(6, 113, 40, -1), + Trans(7, 5, 41, -1), + Trans(7, 6, 42, -1), + Trans(7, 7, 42, -1), + Trans(7, 8, 42, -1), + Trans(7, 9, 42, -1), + Trans(7, 10, 42, -1), + Trans(7, 11, 42, -1), + Trans(7, 18, 22, -1), + Trans(7, 24, 22, -1), + Trans(7, 25, 22, -1), + Trans(7, 26, 22, -1), + Trans(7, 27, 22, -1), + Trans(7, 38, 25, -1), + Trans(7, 39, 22, -1), + Trans(7, 41, 22, -1), + Trans(7, 53, 22, -1), + Trans(7, 57, 30, -1), + Trans(7, 70, 22, -1), + Trans(7, 76, 22, -1), + Trans(7, 83, 42, -1), + Trans(7, 86, 42, -1), + Trans(7, 88, 22, -1), + Trans(7, 105, 28, -1), Trans(7, 112, 43, -1), - Trans(8, 5, 37, -1), - Trans(8, 6, 41, -1), - Trans(8, 7, 41, -1), - Trans(8, 8, 41, -1), - Trans(8, 9, 41, -1), - Trans(8, 10, 41, -1), - Trans(8, 11, 41, -1), - Trans(8, 18, 21, -1), - Trans(8, 24, 21, -1), - Trans(8, 25, 21, -1), - Trans(8, 26, 21, -1), - Trans(8, 27, 21, -1), - Trans(8, 38, 24, -1), - Trans(8, 39, 21, -1), - Trans(8, 41, 21, -1), - Trans(8, 53, 21, -1), - Trans(8, 70, 21, -1), - Trans(8, 76, 21, -1), - Trans(8, 83, 41, -1), - Trans(8, 86, 41, -1), - Trans(8, 88, 21, -1), - Trans(8, 111, 42, -1), + Trans(7, 113, 44, -1), + Trans(8, 5, 38, -1), + Trans(8, 6, 42, -1), + Trans(8, 7, 42, -1), + Trans(8, 8, 42, -1), + Trans(8, 9, 42, -1), + Trans(8, 10, 42, -1), + Trans(8, 11, 42, -1), + Trans(8, 18, 22, -1), + Trans(8, 24, 22, -1), + Trans(8, 25, 22, -1), + Trans(8, 26, 22, -1), + Trans(8, 27, 22, -1), + Trans(8, 38, 25, -1), + Trans(8, 39, 22, -1), + Trans(8, 41, 22, -1), + Trans(8, 53, 22, -1), + Trans(8, 70, 22, -1), + Trans(8, 76, 22, -1), + Trans(8, 83, 42, -1), + Trans(8, 86, 42, -1), + Trans(8, 88, 22, -1), + Trans(8, 105, 28, -1), Trans(8, 112, 43, -1), - Trans(9, 5, 37, -1), - Trans(9, 6, 44, -1), - Trans(9, 7, 44, -1), - Trans(9, 8, 44, -1), - Trans(9, 9, 44, -1), - Trans(9, 10, 44, -1), - Trans(9, 11, 44, -1), - Trans(9, 18, 21, -1), - Trans(9, 24, 21, -1), - Trans(9, 25, 21, -1), - Trans(9, 26, 21, -1), - Trans(9, 27, 21, -1), - Trans(9, 38, 24, -1), - Trans(9, 39, 21, -1), - Trans(9, 41, 21, -1), - Trans(9, 53, 21, -1), - Trans(9, 70, 21, -1), - Trans(9, 76, 21, -1), - Trans(9, 83, 44, -1), - Trans(9, 86, 44, -1), - Trans(9, 88, 21, -1), - Trans(9, 111, 45, -1), + Trans(8, 113, 44, -1), + Trans(9, 5, 38, -1), + Trans(9, 6, 45, -1), + Trans(9, 7, 45, -1), + Trans(9, 8, 45, -1), + Trans(9, 9, 45, -1), + Trans(9, 10, 45, -1), + Trans(9, 11, 45, -1), + Trans(9, 18, 22, -1), + Trans(9, 24, 22, -1), + Trans(9, 25, 22, -1), + Trans(9, 26, 22, -1), + Trans(9, 27, 22, -1), + Trans(9, 38, 25, -1), + Trans(9, 39, 22, -1), + Trans(9, 41, 22, -1), + Trans(9, 53, 22, -1), + Trans(9, 70, 22, -1), + Trans(9, 76, 22, -1), + Trans(9, 83, 45, -1), + Trans(9, 86, 45, -1), + Trans(9, 88, 22, -1), + Trans(9, 105, 28, -1), Trans(9, 112, 46, -1), - Trans(10, 5, 19, -1), - Trans(10, 6, 20, -1), - Trans(10, 7, 20, -1), - Trans(10, 8, 20, -1), - Trans(10, 9, 20, -1), - Trans(10, 10, 20, -1), - Trans(10, 11, 20, -1), - Trans(10, 18, 21, -1), - Trans(10, 24, 21, -1), - Trans(10, 25, 21, -1), - Trans(10, 26, 21, -1), - Trans(10, 27, 21, -1), - Trans(10, 30, 22, -1), - Trans(10, 36, 23, -1), - Trans(10, 38, 24, -1), - Trans(10, 39, 25, -1), - Trans(10, 41, 21, -1), - Trans(10, 43, 26, -1), - Trans(10, 48, 27, -1), - Trans(10, 49, 28, -1), - Trans(10, 50, 22, -1), - Trans(10, 53, 21, -1), - Trans(10, 57, 29, -1), - Trans(10, 58, 30, -1), - Trans(10, 60, 22, -1), - Trans(10, 61, 31, -1), - Trans(10, 64, 27, -1), - Trans(10, 65, 22, -1), - Trans(10, 66, 22, -1), - Trans(10, 69, 27, -1), - Trans(10, 70, 21, -1), - Trans(10, 71, 32, -1), - Trans(10, 73, 27, -1), - Trans(10, 76, 21, -1), - Trans(10, 77, 22, -1), - Trans(10, 80, 22, -1), - Trans(10, 81, 22, -1), - Trans(10, 83, 20, -1), - Trans(10, 84, 22, -1), - Trans(10, 86, 20, -1), - Trans(10, 88, 21, -1), - Trans(10, 99, 21, -1), - Trans(10, 100, 33, -1), - Trans(10, 104, 22, -1), - Trans(10, 106, 22, -1), - Trans(10, 109, 22, -1), - Trans(10, 110, 22, -1), - Trans(10, 111, 34, -1), + Trans(9, 113, 47, -1), + Trans(10, 5, 20, -1), + Trans(10, 6, 21, -1), + Trans(10, 7, 21, -1), + Trans(10, 8, 21, -1), + Trans(10, 9, 21, -1), + Trans(10, 10, 21, -1), + Trans(10, 11, 21, -1), + Trans(10, 18, 22, -1), + Trans(10, 24, 22, -1), + Trans(10, 25, 22, -1), + Trans(10, 26, 22, -1), + Trans(10, 27, 22, -1), + Trans(10, 30, 23, -1), + Trans(10, 36, 24, -1), + Trans(10, 38, 25, -1), + Trans(10, 39, 26, -1), + Trans(10, 41, 22, -1), + Trans(10, 43, 27, -1), + Trans(10, 48, 28, -1), + Trans(10, 49, 29, -1), + Trans(10, 50, 23, -1), + Trans(10, 53, 22, -1), + Trans(10, 57, 30, -1), + Trans(10, 58, 31, -1), + Trans(10, 60, 23, -1), + Trans(10, 61, 32, -1), + Trans(10, 64, 28, -1), + Trans(10, 65, 23, -1), + Trans(10, 66, 23, -1), + Trans(10, 69, 28, -1), + Trans(10, 70, 22, -1), + Trans(10, 71, 33, -1), + Trans(10, 73, 28, -1), + Trans(10, 76, 22, -1), + Trans(10, 77, 23, -1), + Trans(10, 80, 23, -1), + Trans(10, 81, 23, -1), + Trans(10, 83, 21, -1), + Trans(10, 84, 23, -1), + Trans(10, 86, 21, -1), + Trans(10, 88, 22, -1), + Trans(10, 99, 22, -1), + Trans(10, 100, 34, -1), + Trans(10, 104, 23, -1), + Trans(10, 105, 28, -1), + Trans(10, 107, 23, -1), + Trans(10, 110, 23, -1), + Trans(10, 111, 23, -1), Trans(10, 112, 35, -1), - Trans(11, 5, 37, -1), - Trans(11, 6, 47, -1), - Trans(11, 7, 47, -1), - Trans(11, 8, 47, -1), - Trans(11, 9, 47, -1), - Trans(11, 10, 47, -1), - Trans(11, 11, 47, -1), - Trans(11, 18, 21, -1), - Trans(11, 24, 21, -1), - Trans(11, 25, 21, -1), - Trans(11, 26, 21, -1), - Trans(11, 27, 21, -1), - Trans(11, 38, 24, -1), - Trans(11, 39, 21, -1), - Trans(11, 41, 21, -1), - Trans(11, 53, 21, -1), - Trans(11, 70, 21, -1), - Trans(11, 76, 21, -1), - Trans(11, 83, 47, -1), - Trans(11, 86, 47, -1), - Trans(11, 88, 21, -1), - Trans(11, 111, 48, -1), + Trans(10, 113, 36, -1), + Trans(11, 5, 38, -1), + Trans(11, 6, 48, -1), + Trans(11, 7, 48, -1), + Trans(11, 8, 48, -1), + Trans(11, 9, 48, -1), + Trans(11, 10, 48, -1), + Trans(11, 11, 48, -1), + Trans(11, 18, 22, -1), + Trans(11, 24, 22, -1), + Trans(11, 25, 22, -1), + Trans(11, 26, 22, -1), + Trans(11, 27, 22, -1), + Trans(11, 38, 25, -1), + Trans(11, 39, 22, -1), + Trans(11, 41, 22, -1), + Trans(11, 53, 22, -1), + Trans(11, 70, 22, -1), + Trans(11, 76, 22, -1), + Trans(11, 83, 48, -1), + Trans(11, 86, 48, -1), + Trans(11, 88, 22, -1), + Trans(11, 105, 28, -1), Trans(11, 112, 49, -1), - Trans(12, 5, 59, -1), - Trans(12, 30, 54, -1), - Trans(13, 5, 64, -1), - Trans(13, 112, 29, -1), - Trans(14, 5, 61, -1), - Trans(14, 39, 60, -1), - Trans(15, 5, 37, -1), - Trans(15, 6, 50, -1), - Trans(15, 7, 50, -1), - Trans(15, 8, 50, -1), - Trans(15, 9, 50, -1), - Trans(15, 10, 50, -1), - Trans(15, 11, 50, -1), - Trans(15, 18, 21, -1), - Trans(15, 24, 21, -1), - Trans(15, 25, 21, -1), - Trans(15, 26, 21, -1), - Trans(15, 27, 21, -1), - Trans(15, 38, 24, -1), - Trans(15, 39, 21, -1), - Trans(15, 41, 21, -1), - Trans(15, 53, 21, -1), - Trans(15, 70, 21, -1), - Trans(15, 76, 21, -1), - Trans(15, 83, 50, -1), - Trans(15, 86, 50, -1), - Trans(15, 88, 21, -1), - Trans(15, 111, 51, -1), + Trans(11, 113, 50, -1), + Trans(12, 5, 60, -1), + Trans(12, 30, 55, -1), + Trans(13, 5, 66, -1), + Trans(13, 113, 30, -1), + Trans(14, 5, 62, -1), + Trans(14, 39, 61, -1), + Trans(15, 5, 38, -1), + Trans(15, 6, 51, -1), + Trans(15, 7, 51, -1), + Trans(15, 8, 51, -1), + Trans(15, 9, 51, -1), + Trans(15, 10, 51, -1), + Trans(15, 11, 51, -1), + Trans(15, 18, 22, -1), + Trans(15, 24, 22, -1), + Trans(15, 25, 22, -1), + Trans(15, 26, 22, -1), + Trans(15, 27, 22, -1), + Trans(15, 38, 25, -1), + Trans(15, 39, 22, -1), + Trans(15, 41, 22, -1), + Trans(15, 53, 22, -1), + Trans(15, 70, 22, -1), + Trans(15, 76, 22, -1), + Trans(15, 83, 51, -1), + Trans(15, 86, 51, -1), + Trans(15, 88, 22, -1), + Trans(15, 105, 28, -1), Trans(15, 112, 52, -1), - Trans(16, 5, 62, -1), - Trans(16, 46, 63, -1), - Trans(17, 5, 53, -1), - Trans(17, 15, 21, -1), - Trans(17, 16, 21, -1), - Trans(17, 17, 21, -1), - Trans(17, 18, 21, -1), - Trans(17, 19, 21, -1), - Trans(17, 20, 21, -1), - Trans(17, 21, 21, -1), - Trans(17, 22, 21, -1), - Trans(17, 23, 21, -1), - Trans(17, 24, 21, -1), - Trans(17, 25, 21, -1), - Trans(17, 26, 21, -1), - Trans(17, 29, 22, -1), - Trans(17, 30, 54, -1), - Trans(17, 31, 21, -1), - Trans(17, 34, 22, -1), - Trans(17, 35, 21, -1), - Trans(17, 40, 21, -1), - Trans(17, 41, 55, -1), - Trans(17, 47, 21, -1), - Trans(17, 51, 32, -1), - Trans(18, 5, 56, -1), - Trans(18, 15, 21, -1), - Trans(18, 16, 21, -1), - Trans(18, 17, 21, -1), - Trans(18, 18, 21, -1), - Trans(18, 19, 21, -1), - Trans(18, 20, 21, -1), - Trans(18, 21, 21, -1), - Trans(18, 22, 21, -1), - Trans(18, 23, 21, -1), - Trans(18, 24, 21, -1), - Trans(18, 25, 21, -1), - Trans(18, 26, 21, -1), - Trans(18, 28, 57, -1), - Trans(18, 29, 22, -1), - Trans(18, 30, 54, -1), - Trans(18, 31, 21, -1), - Trans(18, 34, 22, -1), - Trans(18, 35, 21, -1), - Trans(18, 40, 21, -1), - Trans(18, 41, 55, -1), - Trans(18, 47, 21, -1), - Trans(18, 51, 32, -1), - Trans(19, 6, 36, 536), - Trans(19, 7, 36, 536), - Trans(19, 8, 36, 536), - Trans(19, 9, 36, 536), - Trans(19, 10, 36, 536), - Trans(19, 11, 36, 536), - Trans(19, 18, 36, 536), - Trans(19, 24, 36, 536), - Trans(19, 25, 36, 536), - Trans(19, 26, 36, 536), - Trans(19, 27, 36, 536), - Trans(19, 30, 36, 536), - Trans(19, 36, 36, 536), - Trans(19, 38, 36, 536), - Trans(19, 39, 36, 536), - Trans(19, 41, 36, 536), - Trans(19, 43, 36, 536), - Trans(19, 48, 36, 536), - Trans(19, 49, 36, 536), - Trans(19, 50, 36, 536), - Trans(19, 53, 36, 536), - Trans(19, 57, 36, 536), - Trans(19, 58, 36, 536), - Trans(19, 60, 36, 536), - Trans(19, 61, 36, 536), - Trans(19, 64, 36, 536), - Trans(19, 65, 36, 536), - Trans(19, 66, 36, 536), - Trans(19, 69, 36, 536), - Trans(19, 70, 36, 536), - Trans(19, 71, 36, 536), - Trans(19, 73, 36, 536), - Trans(19, 76, 36, 536), - Trans(19, 77, 36, 536), - Trans(19, 80, 36, 536), - Trans(19, 81, 36, 536), - Trans(19, 83, 36, 536), - Trans(19, 84, 36, 536), - Trans(19, 86, 36, 536), - Trans(19, 88, 36, 536), - Trans(19, 99, 36, 536), - Trans(19, 100, 36, 536), - Trans(19, 104, 36, 536), - Trans(19, 106, 36, 536), - Trans(19, 109, 36, 536), - Trans(19, 110, 36, 536), - Trans(19, 111, 36, 536), - Trans(19, 112, 36, 536), - Trans(20, 5, 36, 536), - Trans(20, 16, 36, 536), - Trans(20, 17, 36, 536), - Trans(20, 18, 36, 536), - Trans(20, 19, 36, 536), - Trans(20, 20, 36, 536), - Trans(20, 21, 36, 536), - Trans(20, 22, 36, 536), - Trans(20, 23, 36, 536), - Trans(20, 24, 36, 536), - Trans(20, 25, 36, 536), - Trans(20, 26, 36, 536), - Trans(20, 30, 36, 536), - Trans(20, 31, 36, 536), - Trans(20, 47, 36, 536), - Trans(20, 51, 36, 536), - Trans(21, 5, 36, 536), - Trans(21, 6, 36, 536), - Trans(21, 7, 36, 536), - Trans(21, 8, 36, 536), - Trans(21, 9, 36, 536), - Trans(21, 10, 36, 536), - Trans(21, 11, 36, 536), - Trans(21, 18, 36, 536), - Trans(21, 24, 36, 536), - Trans(21, 25, 36, 536), - Trans(21, 26, 36, 536), - Trans(21, 27, 36, 536), - Trans(21, 38, 36, 536), - Trans(21, 39, 36, 536), - Trans(21, 41, 36, 536), - Trans(21, 53, 36, 536), - Trans(21, 70, 36, 536), - Trans(21, 76, 36, 536), - Trans(21, 83, 36, 536), - Trans(21, 86, 36, 536), - Trans(21, 88, 36, 536), - Trans(21, 111, 36, 536), - Trans(21, 112, 36, 536), - Trans(22, 5, 36, 536), - Trans(22, 112, 36, 536), - Trans(23, 5, 36, 536), - Trans(23, 40, 36, 536), - Trans(24, 5, 36, 536), - Trans(24, 6, 36, 536), - Trans(24, 7, 36, 536), - Trans(24, 8, 36, 536), - Trans(24, 9, 36, 536), - Trans(24, 10, 36, 536), - Trans(24, 11, 36, 536), - Trans(24, 18, 36, 536), - Trans(24, 24, 36, 536), - Trans(24, 25, 36, 536), - Trans(24, 26, 36, 536), - Trans(24, 27, 36, 536), - Trans(24, 38, 36, 536), - Trans(24, 39, 36, 536), - Trans(24, 41, 36, 536), - Trans(24, 53, 36, 536), - Trans(24, 57, 36, 536), - Trans(24, 70, 36, 536), - Trans(24, 76, 36, 536), - Trans(24, 83, 36, 536), - Trans(24, 86, 36, 536), - Trans(24, 88, 36, 536), - Trans(24, 111, 36, 536), - Trans(24, 112, 36, 536), - Trans(25, 5, 36, 536), - Trans(25, 6, 36, 536), - Trans(25, 7, 36, 536), - Trans(25, 8, 36, 536), - Trans(25, 9, 36, 536), - Trans(25, 10, 36, 536), - Trans(25, 11, 36, 536), - Trans(25, 18, 36, 536), - Trans(25, 24, 36, 536), - Trans(25, 25, 36, 536), - Trans(25, 26, 36, 536), - Trans(25, 27, 36, 536), - Trans(25, 30, 36, 536), - Trans(25, 36, 36, 536), - Trans(25, 38, 36, 536), - Trans(25, 39, 36, 536), - Trans(25, 41, 36, 536), - Trans(25, 43, 36, 536), - Trans(25, 48, 36, 536), - Trans(25, 49, 36, 536), - Trans(25, 50, 36, 536), - Trans(25, 53, 36, 536), - Trans(25, 60, 36, 536), - Trans(25, 61, 36, 536), - Trans(25, 64, 36, 536), - Trans(25, 65, 36, 536), - Trans(25, 66, 36, 536), - Trans(25, 70, 36, 536), - Trans(25, 71, 36, 536), - Trans(25, 73, 36, 536), - Trans(25, 76, 36, 536), - Trans(25, 77, 36, 536), - Trans(25, 80, 36, 536), - Trans(25, 81, 36, 536), - Trans(25, 83, 36, 536), - Trans(25, 84, 36, 536), - Trans(25, 86, 36, 536), - Trans(25, 88, 36, 536), - Trans(25, 104, 36, 536), - Trans(25, 106, 36, 536), - Trans(25, 109, 36, 536), - Trans(25, 110, 36, 536), - Trans(25, 111, 36, 536), - Trans(25, 112, 36, 536), - Trans(26, 0, 36, 536), - Trans(26, 5, 36, 536), - Trans(26, 6, 36, 536), - Trans(26, 7, 36, 536), - Trans(26, 8, 36, 536), - Trans(26, 9, 36, 536), - Trans(26, 10, 36, 536), - Trans(26, 11, 36, 536), - Trans(26, 18, 36, 536), - Trans(26, 24, 36, 536), - Trans(26, 25, 36, 536), - Trans(26, 26, 36, 536), - Trans(26, 27, 36, 536), - Trans(26, 30, 36, 536), - Trans(26, 36, 36, 536), - Trans(26, 38, 36, 536), - Trans(26, 39, 36, 536), - Trans(26, 41, 36, 536), - Trans(26, 43, 36, 536), - Trans(26, 48, 36, 536), - Trans(26, 49, 36, 536), - Trans(26, 50, 36, 536), - Trans(26, 53, 36, 536), - Trans(26, 57, 36, 536), - Trans(26, 58, 36, 536), - Trans(26, 59, 36, 536), - Trans(26, 60, 36, 536), - Trans(26, 61, 36, 536), - Trans(26, 64, 36, 536), - Trans(26, 65, 36, 536), - Trans(26, 66, 36, 536), - Trans(26, 69, 36, 536), - Trans(26, 70, 36, 536), - Trans(26, 71, 36, 536), - Trans(26, 72, 36, 536), - Trans(26, 73, 36, 536), - Trans(26, 76, 36, 536), - Trans(26, 77, 36, 536), - Trans(26, 78, 36, 536), - Trans(26, 80, 36, 536), - Trans(26, 81, 36, 536), - Trans(26, 83, 36, 536), - Trans(26, 84, 36, 536), - Trans(26, 85, 36, 536), - Trans(26, 86, 36, 536), - Trans(26, 88, 36, 536), - Trans(26, 89, 36, 536), - Trans(26, 91, 36, 536), - Trans(26, 99, 36, 536), - Trans(26, 100, 36, 536), - Trans(26, 104, 36, 536), - Trans(26, 106, 36, 536), - Trans(26, 109, 36, 536), - Trans(26, 110, 36, 536), - Trans(26, 111, 36, 536), - Trans(26, 112, 36, 536), - Trans(27, 5, 36, 536), - Trans(27, 39, 36, 536), - Trans(28, 5, 36, 536), - Trans(28, 39, 36, 536), - Trans(28, 41, 36, 536), - Trans(29, 5, 36, 536), - Trans(29, 30, 36, 536), - Trans(30, 5, 36, 536), - Trans(30, 39, 36, 536), - Trans(30, 70, 36, 536), - Trans(31, 5, 36, 536), - Trans(31, 47, 36, 536), - Trans(31, 111, 36, 536), - Trans(31, 112, 36, 536), - Trans(32, 5, 36, 536), - Trans(32, 111, 36, 536), - Trans(32, 112, 36, 536), - Trans(33, 5, 36, 536), - Trans(33, 46, 36, 536), - Trans(34, 5, 36, 536), - Trans(34, 15, 36, 536), - Trans(34, 16, 36, 536), - Trans(34, 17, 36, 536), - Trans(34, 18, 36, 536), - Trans(34, 19, 36, 536), - Trans(34, 20, 36, 536), - Trans(34, 21, 36, 536), - Trans(34, 22, 36, 536), - Trans(34, 23, 36, 536), - Trans(34, 24, 36, 536), - Trans(34, 25, 36, 536), - Trans(34, 26, 36, 536), - Trans(34, 29, 36, 536), - Trans(34, 30, 36, 536), - Trans(34, 31, 36, 536), - Trans(34, 34, 36, 536), - Trans(34, 35, 36, 536), - Trans(34, 40, 36, 536), - Trans(34, 41, 36, 536), - Trans(34, 47, 36, 536), - Trans(34, 51, 36, 536), - Trans(35, 5, 36, 536), - Trans(35, 15, 36, 536), - Trans(35, 16, 36, 536), - Trans(35, 17, 36, 536), - Trans(35, 18, 36, 536), - Trans(35, 19, 36, 536), - Trans(35, 20, 36, 536), - Trans(35, 21, 36, 536), - Trans(35, 22, 36, 536), - Trans(35, 23, 36, 536), - Trans(35, 24, 36, 536), - Trans(35, 25, 36, 536), - Trans(35, 26, 36, 536), - Trans(35, 28, 36, 536), - Trans(35, 29, 36, 536), - Trans(35, 30, 36, 536), - Trans(35, 31, 36, 536), - Trans(35, 34, 36, 536), - Trans(35, 35, 36, 536), - Trans(35, 40, 36, 536), - Trans(35, 41, 36, 536), - Trans(35, 47, 36, 536), - Trans(35, 51, 36, 536), - Trans(37, 6, 36, 536), - Trans(37, 7, 36, 536), - Trans(37, 8, 36, 536), - Trans(37, 9, 36, 536), - Trans(37, 10, 36, 536), - Trans(37, 11, 36, 536), - Trans(37, 18, 36, 536), - Trans(37, 24, 36, 536), - Trans(37, 25, 36, 536), - Trans(37, 26, 36, 536), - Trans(37, 27, 36, 536), - Trans(37, 38, 36, 536), - Trans(37, 39, 36, 536), - Trans(37, 41, 36, 536), - Trans(37, 53, 36, 536), - Trans(37, 70, 36, 536), - Trans(37, 76, 36, 536), - Trans(37, 83, 36, 536), - Trans(37, 86, 36, 536), - Trans(37, 88, 36, 536), - Trans(37, 111, 36, 536), - Trans(37, 112, 36, 536), - Trans(38, 5, 36, 536), - Trans(38, 16, 36, 536), - Trans(38, 17, 36, 536), - Trans(38, 18, 36, 536), - Trans(38, 19, 36, 536), - Trans(38, 20, 36, 536), - Trans(38, 21, 36, 536), - Trans(38, 22, 36, 536), - Trans(38, 23, 36, 536), - Trans(38, 24, 36, 536), - Trans(38, 25, 36, 536), - Trans(38, 26, 36, 536), - Trans(38, 29, 36, 536), - Trans(38, 30, 36, 536), - Trans(38, 31, 36, 536), - Trans(38, 34, 36, 536), - Trans(38, 40, 36, 536), - Trans(38, 41, 36, 536), - Trans(38, 47, 36, 536), - Trans(38, 51, 36, 536), - Trans(39, 5, 36, 536), - Trans(39, 16, 36, 536), - Trans(39, 17, 36, 536), - Trans(39, 18, 36, 536), - Trans(39, 19, 36, 536), - Trans(39, 20, 36, 536), - Trans(39, 21, 36, 536), - Trans(39, 22, 36, 536), - Trans(39, 23, 36, 536), - Trans(39, 24, 36, 536), - Trans(39, 25, 36, 536), - Trans(39, 26, 36, 536), - Trans(39, 28, 36, 536), - Trans(39, 29, 36, 536), - Trans(39, 30, 36, 536), - Trans(39, 31, 36, 536), - Trans(39, 34, 36, 536), - Trans(39, 40, 36, 536), - Trans(39, 41, 36, 536), - Trans(39, 47, 36, 536), - Trans(39, 51, 36, 536), - Trans(40, 6, 36, 536), - Trans(40, 7, 36, 536), - Trans(40, 8, 36, 536), - Trans(40, 9, 36, 536), - Trans(40, 10, 36, 536), - Trans(40, 11, 36, 536), - Trans(40, 18, 36, 536), - Trans(40, 24, 36, 536), - Trans(40, 25, 36, 536), - Trans(40, 26, 36, 536), - Trans(40, 27, 36, 536), - Trans(40, 38, 36, 536), - Trans(40, 39, 36, 536), - Trans(40, 41, 36, 536), - Trans(40, 53, 36, 536), - Trans(40, 57, 36, 536), - Trans(40, 70, 36, 536), - Trans(40, 76, 36, 536), - Trans(40, 83, 36, 536), - Trans(40, 86, 36, 536), - Trans(40, 88, 36, 536), - Trans(40, 111, 36, 536), - Trans(40, 112, 36, 536), - Trans(41, 5, 36, 536), - Trans(41, 16, 36, 536), - Trans(41, 17, 36, 536), - Trans(41, 18, 36, 536), - Trans(41, 19, 36, 536), - Trans(41, 20, 36, 536), - Trans(41, 21, 36, 536), - Trans(41, 22, 36, 536), - Trans(41, 23, 36, 536), - Trans(41, 24, 36, 536), - Trans(41, 25, 36, 536), - Trans(41, 26, 36, 536), - Trans(41, 31, 36, 536), - Trans(41, 43, 36, 536), - Trans(41, 47, 36, 536), - Trans(41, 51, 36, 536), - Trans(41, 93, 36, 536), - Trans(42, 5, 36, 536), - Trans(42, 16, 36, 536), - Trans(42, 17, 36, 536), - Trans(42, 18, 36, 536), - Trans(42, 19, 36, 536), - Trans(42, 20, 36, 536), - Trans(42, 21, 36, 536), - Trans(42, 22, 36, 536), - Trans(42, 23, 36, 536), - Trans(42, 24, 36, 536), - Trans(42, 25, 36, 536), - Trans(42, 26, 36, 536), - Trans(42, 29, 36, 536), - Trans(42, 31, 36, 536), - Trans(42, 34, 36, 536), - Trans(42, 40, 36, 536), - Trans(42, 41, 36, 536), - Trans(42, 43, 36, 536), - Trans(42, 47, 36, 536), - Trans(42, 51, 36, 536), - Trans(42, 93, 36, 536), - Trans(43, 5, 36, 536), - Trans(43, 16, 36, 536), - Trans(43, 17, 36, 536), - Trans(43, 18, 36, 536), - Trans(43, 19, 36, 536), - Trans(43, 20, 36, 536), - Trans(43, 21, 36, 536), - Trans(43, 22, 36, 536), - Trans(43, 23, 36, 536), - Trans(43, 24, 36, 536), - Trans(43, 25, 36, 536), - Trans(43, 26, 36, 536), - Trans(43, 28, 36, 536), - Trans(43, 29, 36, 536), - Trans(43, 31, 36, 536), - Trans(43, 34, 36, 536), - Trans(43, 40, 36, 536), - Trans(43, 41, 36, 536), - Trans(43, 43, 36, 536), - Trans(43, 47, 36, 536), - Trans(43, 51, 36, 536), - Trans(43, 93, 36, 536), - Trans(44, 5, 36, 536), - Trans(44, 16, 36, 536), - Trans(44, 17, 36, 536), - Trans(44, 18, 36, 536), - Trans(44, 19, 36, 536), - Trans(44, 20, 36, 536), - Trans(44, 21, 36, 536), - Trans(44, 22, 36, 536), - Trans(44, 23, 36, 536), - Trans(44, 24, 36, 536), - Trans(44, 25, 36, 536), - Trans(44, 26, 36, 536), - Trans(44, 45, 36, 536), - Trans(44, 47, 36, 536), - Trans(44, 51, 36, 536), - Trans(45, 5, 36, 536), - Trans(45, 16, 36, 536), - Trans(45, 17, 36, 536), - Trans(45, 18, 36, 536), - Trans(45, 19, 36, 536), - Trans(45, 20, 36, 536), - Trans(45, 21, 36, 536), - Trans(45, 22, 36, 536), - Trans(45, 23, 36, 536), - Trans(45, 24, 36, 536), - Trans(45, 25, 36, 536), - Trans(45, 26, 36, 536), - Trans(45, 29, 36, 536), - Trans(45, 34, 36, 536), - Trans(45, 40, 36, 536), - Trans(45, 41, 36, 536), - Trans(45, 45, 36, 536), - Trans(45, 47, 36, 536), - Trans(45, 51, 36, 536), - Trans(46, 5, 36, 536), - Trans(46, 16, 36, 536), - Trans(46, 17, 36, 536), - Trans(46, 18, 36, 536), - Trans(46, 19, 36, 536), - Trans(46, 20, 36, 536), - Trans(46, 21, 36, 536), - Trans(46, 22, 36, 536), - Trans(46, 23, 36, 536), - Trans(46, 24, 36, 536), - Trans(46, 25, 36, 536), - Trans(46, 26, 36, 536), - Trans(46, 28, 36, 536), - Trans(46, 29, 36, 536), - Trans(46, 34, 36, 536), - Trans(46, 40, 36, 536), - Trans(46, 41, 36, 536), - Trans(46, 45, 36, 536), - Trans(46, 47, 36, 536), - Trans(46, 51, 36, 536), - Trans(47, 5, 36, 536), - Trans(47, 16, 36, 536), - Trans(47, 17, 36, 536), - Trans(47, 18, 36, 536), - Trans(47, 19, 36, 536), - Trans(47, 20, 36, 536), - Trans(47, 21, 36, 536), - Trans(47, 22, 36, 536), - Trans(47, 23, 36, 536), - Trans(47, 24, 36, 536), - Trans(47, 25, 36, 536), - Trans(47, 26, 36, 536), - Trans(47, 39, 36, 536), - Trans(47, 47, 36, 536), - Trans(47, 51, 36, 536), - Trans(48, 5, 36, 536), - Trans(48, 16, 36, 536), - Trans(48, 17, 36, 536), - Trans(48, 18, 36, 536), - Trans(48, 19, 36, 536), - Trans(48, 20, 36, 536), - Trans(48, 21, 36, 536), - Trans(48, 22, 36, 536), - Trans(48, 23, 36, 536), - Trans(48, 24, 36, 536), - Trans(48, 25, 36, 536), - Trans(48, 26, 36, 536), - Trans(48, 29, 36, 536), - Trans(48, 34, 36, 536), - Trans(48, 39, 36, 536), - Trans(48, 40, 36, 536), - Trans(48, 41, 36, 536), - Trans(48, 47, 36, 536), - Trans(48, 51, 36, 536), - Trans(49, 5, 36, 536), - Trans(49, 16, 36, 536), - Trans(49, 17, 36, 536), - Trans(49, 18, 36, 536), - Trans(49, 19, 36, 536), - Trans(49, 20, 36, 536), - Trans(49, 21, 36, 536), - Trans(49, 22, 36, 536), - Trans(49, 23, 36, 536), - Trans(49, 24, 36, 536), - Trans(49, 25, 36, 536), - Trans(49, 26, 36, 536), - Trans(49, 28, 36, 536), - Trans(49, 29, 36, 536), - Trans(49, 34, 36, 536), - Trans(49, 39, 36, 536), - Trans(49, 40, 36, 536), - Trans(49, 41, 36, 536), - Trans(49, 47, 36, 536), - Trans(49, 51, 36, 536), - Trans(50, 5, 36, 536), - Trans(50, 16, 36, 536), - Trans(50, 17, 36, 536), - Trans(50, 18, 36, 536), - Trans(50, 19, 36, 536), - Trans(50, 20, 36, 536), - Trans(50, 21, 36, 536), - Trans(50, 22, 36, 536), - Trans(50, 23, 36, 536), - Trans(50, 24, 36, 536), - Trans(50, 25, 36, 536), - Trans(50, 26, 36, 536), - Trans(50, 46, 36, 536), - Trans(50, 47, 36, 536), - Trans(50, 51, 36, 536), - Trans(51, 5, 36, 536), - Trans(51, 16, 36, 536), - Trans(51, 17, 36, 536), - Trans(51, 18, 36, 536), - Trans(51, 19, 36, 536), - Trans(51, 20, 36, 536), - Trans(51, 21, 36, 536), - Trans(51, 22, 36, 536), - Trans(51, 23, 36, 536), - Trans(51, 24, 36, 536), - Trans(51, 25, 36, 536), - Trans(51, 26, 36, 536), - Trans(51, 29, 36, 536), - Trans(51, 34, 36, 536), - Trans(51, 40, 36, 536), - Trans(51, 41, 36, 536), - Trans(51, 46, 36, 536), - Trans(51, 47, 36, 536), - Trans(51, 51, 36, 536), - Trans(52, 5, 36, 536), - Trans(52, 16, 36, 536), - Trans(52, 17, 36, 536), - Trans(52, 18, 36, 536), - Trans(52, 19, 36, 536), - Trans(52, 20, 36, 536), - Trans(52, 21, 36, 536), - Trans(52, 22, 36, 536), - Trans(52, 23, 36, 536), - Trans(52, 24, 36, 536), - Trans(52, 25, 36, 536), - Trans(52, 26, 36, 536), - Trans(52, 28, 36, 536), - Trans(52, 29, 36, 536), - Trans(52, 34, 36, 536), - Trans(52, 40, 36, 536), - Trans(52, 41, 36, 536), - Trans(52, 46, 36, 536), - Trans(52, 47, 36, 536), - Trans(52, 51, 36, 536), - Trans(53, 15, 36, 536), - Trans(53, 16, 36, 536), - Trans(53, 17, 36, 536), - Trans(53, 18, 36, 536), - Trans(53, 19, 36, 536), - Trans(53, 20, 36, 536), - Trans(53, 21, 36, 536), - Trans(53, 22, 36, 536), - Trans(53, 23, 36, 536), - Trans(53, 24, 36, 536), - Trans(53, 25, 36, 536), - Trans(53, 26, 36, 536), - Trans(53, 29, 36, 536), - Trans(53, 30, 36, 536), - Trans(53, 31, 36, 536), - Trans(53, 34, 36, 536), - Trans(53, 35, 36, 536), - Trans(53, 40, 36, 536), - Trans(53, 41, 36, 536), - Trans(53, 47, 36, 536), - Trans(53, 51, 36, 536), - Trans(54, 5, 36, 536), - Trans(54, 39, 36, 536), - Trans(54, 53, 36, 536), - Trans(54, 65, 36, 536), - Trans(54, 69, 36, 536), - Trans(54, 70, 36, 536), - Trans(54, 80, 36, 536), - Trans(54, 99, 36, 536), - Trans(54, 100, 36, 536), - Trans(54, 111, 36, 536), - Trans(54, 112, 36, 536), - Trans(55, 5, 36, 536), - Trans(55, 6, 36, 536), - Trans(55, 7, 36, 536), - Trans(55, 8, 36, 536), - Trans(55, 9, 36, 536), - Trans(55, 10, 36, 536), - Trans(55, 11, 36, 536), - Trans(55, 18, 36, 536), - Trans(55, 24, 36, 536), - Trans(55, 25, 36, 536), - Trans(55, 26, 36, 536), - Trans(55, 27, 36, 536), - Trans(55, 38, 36, 536), - Trans(55, 39, 36, 536), - Trans(55, 41, 36, 536), - Trans(55, 45, 36, 536), - Trans(55, 53, 36, 536), - Trans(55, 70, 36, 536), - Trans(55, 76, 36, 536), - Trans(55, 83, 36, 536), - Trans(55, 86, 36, 536), - Trans(55, 88, 36, 536), - Trans(55, 111, 36, 536), - Trans(55, 112, 36, 536), - Trans(56, 15, 36, 536), - Trans(56, 16, 36, 536), - Trans(56, 17, 36, 536), - Trans(56, 18, 36, 536), - Trans(56, 19, 36, 536), - Trans(56, 20, 36, 536), - Trans(56, 21, 36, 536), - Trans(56, 22, 36, 536), - Trans(56, 23, 36, 536), - Trans(56, 24, 36, 536), - Trans(56, 25, 36, 536), - Trans(56, 26, 36, 536), - Trans(56, 28, 36, 536), - Trans(56, 29, 36, 536), - Trans(56, 30, 36, 536), - Trans(56, 31, 36, 536), - Trans(56, 34, 36, 536), - Trans(56, 35, 36, 536), - Trans(56, 40, 36, 536), - Trans(56, 41, 36, 536), - Trans(56, 47, 36, 536), - Trans(56, 51, 36, 536), - Trans(57, 5, 36, 536), - Trans(57, 7, 36, 536), - Trans(57, 8, 36, 536), - Trans(57, 9, 36, 536), - Trans(57, 10, 36, 536), - Trans(57, 11, 36, 536), - Trans(57, 42, 36, 536), - Trans(57, 111, 36, 536), - Trans(57, 112, 36, 536), - Trans(58, 16, 36, 536), - Trans(58, 17, 36, 536), - Trans(58, 18, 36, 536), - Trans(58, 19, 36, 536), - Trans(58, 20, 36, 536), - Trans(58, 21, 36, 536), - Trans(58, 22, 36, 536), - Trans(58, 23, 36, 536), - Trans(58, 24, 36, 536), - Trans(58, 25, 36, 536), - Trans(58, 26, 36, 536), - Trans(58, 30, 36, 536), - Trans(58, 31, 36, 536), - Trans(58, 47, 36, 536), - Trans(58, 51, 36, 536), - Trans(59, 30, 36, 536), - Trans(60, 5, 36, 536), - Trans(60, 43, 36, 536), - Trans(60, 53, 36, 536), - Trans(60, 65, 36, 536), - Trans(60, 69, 36, 536), - Trans(60, 70, 36, 536), - Trans(60, 80, 36, 536), - Trans(60, 99, 36, 536), - Trans(60, 100, 36, 536), - Trans(60, 111, 36, 536), - Trans(60, 112, 36, 536), - Trans(61, 39, 36, 536), - Trans(62, 46, 36, 536), - Trans(63, 5, 36, 536), - Trans(63, 43, 36, 536), - Trans(63, 53, 36, 536), - Trans(63, 65, 36, 536), - Trans(63, 69, 36, 536), - Trans(63, 70, 36, 536), - Trans(63, 80, 36, 536), - Trans(63, 99, 36, 536), - Trans(63, 100, 36, 536), - Trans(63, 110, 36, 536), - Trans(63, 111, 36, 536), - Trans(63, 112, 36, 536), - Trans(64, 112, 36, 536), + Trans(15, 113, 53, -1), + Trans(16, 5, 64, -1), + Trans(16, 46, 65, -1), + Trans(17, 5, 62, -1), + Trans(17, 39, 63, -1), + Trans(18, 5, 54, -1), + Trans(18, 15, 22, -1), + Trans(18, 16, 22, -1), + Trans(18, 17, 22, -1), + Trans(18, 18, 22, -1), + Trans(18, 19, 22, -1), + Trans(18, 20, 22, -1), + Trans(18, 21, 22, -1), + Trans(18, 22, 22, -1), + Trans(18, 23, 22, -1), + Trans(18, 24, 22, -1), + Trans(18, 25, 22, -1), + Trans(18, 26, 22, -1), + Trans(18, 29, 23, -1), + Trans(18, 30, 55, -1), + Trans(18, 31, 22, -1), + Trans(18, 32, 22, -1), + Trans(18, 33, 22, -1), + Trans(18, 34, 23, -1), + Trans(18, 35, 22, -1), + Trans(18, 40, 22, -1), + Trans(18, 41, 56, -1), + Trans(18, 47, 22, -1), + Trans(18, 51, 33, -1), + Trans(19, 5, 57, -1), + Trans(19, 15, 22, -1), + Trans(19, 16, 22, -1), + Trans(19, 17, 22, -1), + Trans(19, 18, 22, -1), + Trans(19, 19, 22, -1), + Trans(19, 20, 22, -1), + Trans(19, 21, 22, -1), + Trans(19, 22, 22, -1), + Trans(19, 23, 22, -1), + Trans(19, 24, 22, -1), + Trans(19, 25, 22, -1), + Trans(19, 26, 22, -1), + Trans(19, 28, 58, -1), + Trans(19, 29, 23, -1), + Trans(19, 30, 55, -1), + Trans(19, 31, 22, -1), + Trans(19, 32, 22, -1), + Trans(19, 33, 22, -1), + Trans(19, 34, 23, -1), + Trans(19, 35, 22, -1), + Trans(19, 40, 22, -1), + Trans(19, 41, 56, -1), + Trans(19, 47, 22, -1), + Trans(19, 51, 33, -1), + Trans(20, 6, 37, 542), + Trans(20, 7, 37, 542), + Trans(20, 8, 37, 542), + Trans(20, 9, 37, 542), + Trans(20, 10, 37, 542), + Trans(20, 11, 37, 542), + Trans(20, 18, 37, 542), + Trans(20, 24, 37, 542), + Trans(20, 25, 37, 542), + Trans(20, 26, 37, 542), + Trans(20, 27, 37, 542), + Trans(20, 30, 37, 542), + Trans(20, 36, 37, 542), + Trans(20, 38, 37, 542), + Trans(20, 39, 37, 542), + Trans(20, 41, 37, 542), + Trans(20, 43, 37, 542), + Trans(20, 48, 37, 542), + Trans(20, 49, 37, 542), + Trans(20, 50, 37, 542), + Trans(20, 53, 37, 542), + Trans(20, 57, 37, 542), + Trans(20, 58, 37, 542), + Trans(20, 60, 37, 542), + Trans(20, 61, 37, 542), + Trans(20, 64, 37, 542), + Trans(20, 65, 37, 542), + Trans(20, 66, 37, 542), + Trans(20, 69, 37, 542), + Trans(20, 70, 37, 542), + Trans(20, 71, 37, 542), + Trans(20, 73, 37, 542), + Trans(20, 76, 37, 542), + Trans(20, 77, 37, 542), + Trans(20, 80, 37, 542), + Trans(20, 81, 37, 542), + Trans(20, 83, 37, 542), + Trans(20, 84, 37, 542), + Trans(20, 86, 37, 542), + Trans(20, 88, 37, 542), + Trans(20, 99, 37, 542), + Trans(20, 100, 37, 542), + Trans(20, 104, 37, 542), + Trans(20, 105, 37, 542), + Trans(20, 107, 37, 542), + Trans(20, 110, 37, 542), + Trans(20, 111, 37, 542), + Trans(20, 112, 37, 542), + Trans(20, 113, 37, 542), + Trans(21, 5, 37, 542), + Trans(21, 16, 37, 542), + Trans(21, 17, 37, 542), + Trans(21, 18, 37, 542), + Trans(21, 19, 37, 542), + Trans(21, 20, 37, 542), + Trans(21, 21, 37, 542), + Trans(21, 22, 37, 542), + Trans(21, 23, 37, 542), + Trans(21, 24, 37, 542), + Trans(21, 25, 37, 542), + Trans(21, 26, 37, 542), + Trans(21, 30, 37, 542), + Trans(21, 31, 37, 542), + Trans(21, 32, 37, 542), + Trans(21, 33, 37, 542), + Trans(21, 47, 37, 542), + Trans(21, 51, 37, 542), + Trans(22, 5, 37, 542), + Trans(22, 6, 37, 542), + Trans(22, 7, 37, 542), + Trans(22, 8, 37, 542), + Trans(22, 9, 37, 542), + Trans(22, 10, 37, 542), + Trans(22, 11, 37, 542), + Trans(22, 18, 37, 542), + Trans(22, 24, 37, 542), + Trans(22, 25, 37, 542), + Trans(22, 26, 37, 542), + Trans(22, 27, 37, 542), + Trans(22, 38, 37, 542), + Trans(22, 39, 37, 542), + Trans(22, 41, 37, 542), + Trans(22, 53, 37, 542), + Trans(22, 70, 37, 542), + Trans(22, 76, 37, 542), + Trans(22, 83, 37, 542), + Trans(22, 86, 37, 542), + Trans(22, 88, 37, 542), + Trans(22, 105, 37, 542), + Trans(22, 112, 37, 542), + Trans(22, 113, 37, 542), + Trans(23, 5, 37, 542), + Trans(23, 113, 37, 542), + Trans(24, 5, 37, 542), + Trans(24, 40, 37, 542), + Trans(25, 5, 37, 542), + Trans(25, 6, 37, 542), + Trans(25, 7, 37, 542), + Trans(25, 8, 37, 542), + Trans(25, 9, 37, 542), + Trans(25, 10, 37, 542), + Trans(25, 11, 37, 542), + Trans(25, 18, 37, 542), + Trans(25, 24, 37, 542), + Trans(25, 25, 37, 542), + Trans(25, 26, 37, 542), + Trans(25, 27, 37, 542), + Trans(25, 38, 37, 542), + Trans(25, 39, 37, 542), + Trans(25, 41, 37, 542), + Trans(25, 53, 37, 542), + Trans(25, 57, 37, 542), + Trans(25, 70, 37, 542), + Trans(25, 76, 37, 542), + Trans(25, 83, 37, 542), + Trans(25, 86, 37, 542), + Trans(25, 88, 37, 542), + Trans(25, 105, 37, 542), + Trans(25, 112, 37, 542), + Trans(25, 113, 37, 542), + Trans(26, 5, 37, 542), + Trans(26, 6, 37, 542), + Trans(26, 7, 37, 542), + Trans(26, 8, 37, 542), + Trans(26, 9, 37, 542), + Trans(26, 10, 37, 542), + Trans(26, 11, 37, 542), + Trans(26, 18, 37, 542), + Trans(26, 24, 37, 542), + Trans(26, 25, 37, 542), + Trans(26, 26, 37, 542), + Trans(26, 27, 37, 542), + Trans(26, 30, 37, 542), + Trans(26, 36, 37, 542), + Trans(26, 38, 37, 542), + Trans(26, 39, 37, 542), + Trans(26, 41, 37, 542), + Trans(26, 43, 37, 542), + Trans(26, 48, 37, 542), + Trans(26, 49, 37, 542), + Trans(26, 50, 37, 542), + Trans(26, 53, 37, 542), + Trans(26, 60, 37, 542), + Trans(26, 61, 37, 542), + Trans(26, 64, 37, 542), + Trans(26, 65, 37, 542), + Trans(26, 66, 37, 542), + Trans(26, 70, 37, 542), + Trans(26, 71, 37, 542), + Trans(26, 73, 37, 542), + Trans(26, 76, 37, 542), + Trans(26, 77, 37, 542), + Trans(26, 80, 37, 542), + Trans(26, 81, 37, 542), + Trans(26, 83, 37, 542), + Trans(26, 84, 37, 542), + Trans(26, 86, 37, 542), + Trans(26, 88, 37, 542), + Trans(26, 104, 37, 542), + Trans(26, 105, 37, 542), + Trans(26, 107, 37, 542), + Trans(26, 110, 37, 542), + Trans(26, 111, 37, 542), + Trans(26, 112, 37, 542), + Trans(26, 113, 37, 542), + Trans(27, 0, 37, 542), + Trans(27, 5, 37, 542), + Trans(27, 6, 37, 542), + Trans(27, 7, 37, 542), + Trans(27, 8, 37, 542), + Trans(27, 9, 37, 542), + Trans(27, 10, 37, 542), + Trans(27, 11, 37, 542), + Trans(27, 18, 37, 542), + Trans(27, 24, 37, 542), + Trans(27, 25, 37, 542), + Trans(27, 26, 37, 542), + Trans(27, 27, 37, 542), + Trans(27, 30, 37, 542), + Trans(27, 36, 37, 542), + Trans(27, 38, 37, 542), + Trans(27, 39, 37, 542), + Trans(27, 41, 37, 542), + Trans(27, 43, 37, 542), + Trans(27, 48, 37, 542), + Trans(27, 49, 37, 542), + Trans(27, 50, 37, 542), + Trans(27, 53, 37, 542), + Trans(27, 57, 37, 542), + Trans(27, 58, 37, 542), + Trans(27, 59, 37, 542), + Trans(27, 60, 37, 542), + Trans(27, 61, 37, 542), + Trans(27, 64, 37, 542), + Trans(27, 65, 37, 542), + Trans(27, 66, 37, 542), + Trans(27, 69, 37, 542), + Trans(27, 70, 37, 542), + Trans(27, 71, 37, 542), + Trans(27, 72, 37, 542), + Trans(27, 73, 37, 542), + Trans(27, 76, 37, 542), + Trans(27, 77, 37, 542), + Trans(27, 78, 37, 542), + Trans(27, 80, 37, 542), + Trans(27, 81, 37, 542), + Trans(27, 83, 37, 542), + Trans(27, 84, 37, 542), + Trans(27, 85, 37, 542), + Trans(27, 86, 37, 542), + Trans(27, 88, 37, 542), + Trans(27, 89, 37, 542), + Trans(27, 91, 37, 542), + Trans(27, 99, 37, 542), + Trans(27, 100, 37, 542), + Trans(27, 104, 37, 542), + Trans(27, 105, 37, 542), + Trans(27, 107, 37, 542), + Trans(27, 110, 37, 542), + Trans(27, 111, 37, 542), + Trans(27, 112, 37, 542), + Trans(27, 113, 37, 542), + Trans(28, 5, 37, 542), + Trans(28, 39, 37, 542), + Trans(29, 5, 37, 542), + Trans(29, 39, 37, 542), + Trans(29, 41, 37, 542), + Trans(30, 5, 37, 542), + Trans(30, 30, 37, 542), + Trans(31, 5, 37, 542), + Trans(31, 39, 37, 542), + Trans(31, 70, 37, 542), + Trans(32, 5, 37, 542), + Trans(32, 47, 37, 542), + Trans(32, 112, 37, 542), + Trans(32, 113, 37, 542), + Trans(33, 5, 37, 542), + Trans(33, 112, 37, 542), + Trans(33, 113, 37, 542), + Trans(34, 5, 37, 542), + Trans(34, 46, 37, 542), + Trans(35, 5, 37, 542), + Trans(35, 15, 37, 542), + Trans(35, 16, 37, 542), + Trans(35, 17, 37, 542), + Trans(35, 18, 37, 542), + Trans(35, 19, 37, 542), + Trans(35, 20, 37, 542), + Trans(35, 21, 37, 542), + Trans(35, 22, 37, 542), + Trans(35, 23, 37, 542), + Trans(35, 24, 37, 542), + Trans(35, 25, 37, 542), + Trans(35, 26, 37, 542), + Trans(35, 29, 37, 542), + Trans(35, 30, 37, 542), + Trans(35, 31, 37, 542), + Trans(35, 32, 37, 542), + Trans(35, 33, 37, 542), + Trans(35, 34, 37, 542), + Trans(35, 35, 37, 542), + Trans(35, 40, 37, 542), + Trans(35, 41, 37, 542), + Trans(35, 47, 37, 542), + Trans(35, 51, 37, 542), + Trans(36, 5, 37, 542), + Trans(36, 15, 37, 542), + Trans(36, 16, 37, 542), + Trans(36, 17, 37, 542), + Trans(36, 18, 37, 542), + Trans(36, 19, 37, 542), + Trans(36, 20, 37, 542), + Trans(36, 21, 37, 542), + Trans(36, 22, 37, 542), + Trans(36, 23, 37, 542), + Trans(36, 24, 37, 542), + Trans(36, 25, 37, 542), + Trans(36, 26, 37, 542), + Trans(36, 28, 37, 542), + Trans(36, 29, 37, 542), + Trans(36, 30, 37, 542), + Trans(36, 31, 37, 542), + Trans(36, 32, 37, 542), + Trans(36, 33, 37, 542), + Trans(36, 34, 37, 542), + Trans(36, 35, 37, 542), + Trans(36, 40, 37, 542), + Trans(36, 41, 37, 542), + Trans(36, 47, 37, 542), + Trans(36, 51, 37, 542), + Trans(38, 6, 37, 542), + Trans(38, 7, 37, 542), + Trans(38, 8, 37, 542), + Trans(38, 9, 37, 542), + Trans(38, 10, 37, 542), + Trans(38, 11, 37, 542), + Trans(38, 18, 37, 542), + Trans(38, 24, 37, 542), + Trans(38, 25, 37, 542), + Trans(38, 26, 37, 542), + Trans(38, 27, 37, 542), + Trans(38, 38, 37, 542), + Trans(38, 39, 37, 542), + Trans(38, 41, 37, 542), + Trans(38, 53, 37, 542), + Trans(38, 70, 37, 542), + Trans(38, 76, 37, 542), + Trans(38, 83, 37, 542), + Trans(38, 86, 37, 542), + Trans(38, 88, 37, 542), + Trans(38, 105, 37, 542), + Trans(38, 112, 37, 542), + Trans(38, 113, 37, 542), + Trans(39, 5, 37, 542), + Trans(39, 16, 37, 542), + Trans(39, 17, 37, 542), + Trans(39, 18, 37, 542), + Trans(39, 19, 37, 542), + Trans(39, 20, 37, 542), + Trans(39, 21, 37, 542), + Trans(39, 22, 37, 542), + Trans(39, 23, 37, 542), + Trans(39, 24, 37, 542), + Trans(39, 25, 37, 542), + Trans(39, 26, 37, 542), + Trans(39, 29, 37, 542), + Trans(39, 30, 37, 542), + Trans(39, 31, 37, 542), + Trans(39, 32, 37, 542), + Trans(39, 33, 37, 542), + Trans(39, 34, 37, 542), + Trans(39, 40, 37, 542), + Trans(39, 41, 37, 542), + Trans(39, 47, 37, 542), + Trans(39, 51, 37, 542), + Trans(40, 5, 37, 542), + Trans(40, 16, 37, 542), + Trans(40, 17, 37, 542), + Trans(40, 18, 37, 542), + Trans(40, 19, 37, 542), + Trans(40, 20, 37, 542), + Trans(40, 21, 37, 542), + Trans(40, 22, 37, 542), + Trans(40, 23, 37, 542), + Trans(40, 24, 37, 542), + Trans(40, 25, 37, 542), + Trans(40, 26, 37, 542), + Trans(40, 28, 37, 542), + Trans(40, 29, 37, 542), + Trans(40, 30, 37, 542), + Trans(40, 31, 37, 542), + Trans(40, 32, 37, 542), + Trans(40, 33, 37, 542), + Trans(40, 34, 37, 542), + Trans(40, 40, 37, 542), + Trans(40, 41, 37, 542), + Trans(40, 47, 37, 542), + Trans(40, 51, 37, 542), + Trans(41, 6, 37, 542), + Trans(41, 7, 37, 542), + Trans(41, 8, 37, 542), + Trans(41, 9, 37, 542), + Trans(41, 10, 37, 542), + Trans(41, 11, 37, 542), + Trans(41, 18, 37, 542), + Trans(41, 24, 37, 542), + Trans(41, 25, 37, 542), + Trans(41, 26, 37, 542), + Trans(41, 27, 37, 542), + Trans(41, 38, 37, 542), + Trans(41, 39, 37, 542), + Trans(41, 41, 37, 542), + Trans(41, 53, 37, 542), + Trans(41, 57, 37, 542), + Trans(41, 70, 37, 542), + Trans(41, 76, 37, 542), + Trans(41, 83, 37, 542), + Trans(41, 86, 37, 542), + Trans(41, 88, 37, 542), + Trans(41, 105, 37, 542), + Trans(41, 112, 37, 542), + Trans(41, 113, 37, 542), + Trans(42, 5, 37, 542), + Trans(42, 16, 37, 542), + Trans(42, 17, 37, 542), + Trans(42, 18, 37, 542), + Trans(42, 19, 37, 542), + Trans(42, 20, 37, 542), + Trans(42, 21, 37, 542), + Trans(42, 22, 37, 542), + Trans(42, 23, 37, 542), + Trans(42, 24, 37, 542), + Trans(42, 25, 37, 542), + Trans(42, 26, 37, 542), + Trans(42, 31, 37, 542), + Trans(42, 43, 37, 542), + Trans(42, 47, 37, 542), + Trans(42, 51, 37, 542), + Trans(42, 93, 37, 542), + Trans(43, 5, 37, 542), + Trans(43, 16, 37, 542), + Trans(43, 17, 37, 542), + Trans(43, 18, 37, 542), + Trans(43, 19, 37, 542), + Trans(43, 20, 37, 542), + Trans(43, 21, 37, 542), + Trans(43, 22, 37, 542), + Trans(43, 23, 37, 542), + Trans(43, 24, 37, 542), + Trans(43, 25, 37, 542), + Trans(43, 26, 37, 542), + Trans(43, 29, 37, 542), + Trans(43, 31, 37, 542), + Trans(43, 34, 37, 542), + Trans(43, 40, 37, 542), + Trans(43, 41, 37, 542), + Trans(43, 43, 37, 542), + Trans(43, 47, 37, 542), + Trans(43, 51, 37, 542), + Trans(43, 93, 37, 542), + Trans(44, 5, 37, 542), + Trans(44, 16, 37, 542), + Trans(44, 17, 37, 542), + Trans(44, 18, 37, 542), + Trans(44, 19, 37, 542), + Trans(44, 20, 37, 542), + Trans(44, 21, 37, 542), + Trans(44, 22, 37, 542), + Trans(44, 23, 37, 542), + Trans(44, 24, 37, 542), + Trans(44, 25, 37, 542), + Trans(44, 26, 37, 542), + Trans(44, 28, 37, 542), + Trans(44, 29, 37, 542), + Trans(44, 31, 37, 542), + Trans(44, 34, 37, 542), + Trans(44, 40, 37, 542), + Trans(44, 41, 37, 542), + Trans(44, 43, 37, 542), + Trans(44, 47, 37, 542), + Trans(44, 51, 37, 542), + Trans(44, 93, 37, 542), + Trans(45, 5, 37, 542), + Trans(45, 16, 37, 542), + Trans(45, 17, 37, 542), + Trans(45, 18, 37, 542), + Trans(45, 19, 37, 542), + Trans(45, 20, 37, 542), + Trans(45, 21, 37, 542), + Trans(45, 22, 37, 542), + Trans(45, 23, 37, 542), + Trans(45, 24, 37, 542), + Trans(45, 25, 37, 542), + Trans(45, 26, 37, 542), + Trans(45, 45, 37, 542), + Trans(45, 47, 37, 542), + Trans(45, 51, 37, 542), + Trans(46, 5, 37, 542), + Trans(46, 16, 37, 542), + Trans(46, 17, 37, 542), + Trans(46, 18, 37, 542), + Trans(46, 19, 37, 542), + Trans(46, 20, 37, 542), + Trans(46, 21, 37, 542), + Trans(46, 22, 37, 542), + Trans(46, 23, 37, 542), + Trans(46, 24, 37, 542), + Trans(46, 25, 37, 542), + Trans(46, 26, 37, 542), + Trans(46, 29, 37, 542), + Trans(46, 34, 37, 542), + Trans(46, 40, 37, 542), + Trans(46, 41, 37, 542), + Trans(46, 45, 37, 542), + Trans(46, 47, 37, 542), + Trans(46, 51, 37, 542), + Trans(47, 5, 37, 542), + Trans(47, 16, 37, 542), + Trans(47, 17, 37, 542), + Trans(47, 18, 37, 542), + Trans(47, 19, 37, 542), + Trans(47, 20, 37, 542), + Trans(47, 21, 37, 542), + Trans(47, 22, 37, 542), + Trans(47, 23, 37, 542), + Trans(47, 24, 37, 542), + Trans(47, 25, 37, 542), + Trans(47, 26, 37, 542), + Trans(47, 28, 37, 542), + Trans(47, 29, 37, 542), + Trans(47, 34, 37, 542), + Trans(47, 40, 37, 542), + Trans(47, 41, 37, 542), + Trans(47, 45, 37, 542), + Trans(47, 47, 37, 542), + Trans(47, 51, 37, 542), + Trans(48, 5, 37, 542), + Trans(48, 16, 37, 542), + Trans(48, 17, 37, 542), + Trans(48, 18, 37, 542), + Trans(48, 19, 37, 542), + Trans(48, 20, 37, 542), + Trans(48, 21, 37, 542), + Trans(48, 22, 37, 542), + Trans(48, 23, 37, 542), + Trans(48, 24, 37, 542), + Trans(48, 25, 37, 542), + Trans(48, 26, 37, 542), + Trans(48, 39, 37, 542), + Trans(48, 47, 37, 542), + Trans(48, 51, 37, 542), + Trans(49, 5, 37, 542), + Trans(49, 16, 37, 542), + Trans(49, 17, 37, 542), + Trans(49, 18, 37, 542), + Trans(49, 19, 37, 542), + Trans(49, 20, 37, 542), + Trans(49, 21, 37, 542), + Trans(49, 22, 37, 542), + Trans(49, 23, 37, 542), + Trans(49, 24, 37, 542), + Trans(49, 25, 37, 542), + Trans(49, 26, 37, 542), + Trans(49, 29, 37, 542), + Trans(49, 34, 37, 542), + Trans(49, 39, 37, 542), + Trans(49, 40, 37, 542), + Trans(49, 41, 37, 542), + Trans(49, 47, 37, 542), + Trans(49, 51, 37, 542), + Trans(50, 5, 37, 542), + Trans(50, 16, 37, 542), + Trans(50, 17, 37, 542), + Trans(50, 18, 37, 542), + Trans(50, 19, 37, 542), + Trans(50, 20, 37, 542), + Trans(50, 21, 37, 542), + Trans(50, 22, 37, 542), + Trans(50, 23, 37, 542), + Trans(50, 24, 37, 542), + Trans(50, 25, 37, 542), + Trans(50, 26, 37, 542), + Trans(50, 28, 37, 542), + Trans(50, 29, 37, 542), + Trans(50, 34, 37, 542), + Trans(50, 39, 37, 542), + Trans(50, 40, 37, 542), + Trans(50, 41, 37, 542), + Trans(50, 47, 37, 542), + Trans(50, 51, 37, 542), + Trans(51, 5, 37, 542), + Trans(51, 16, 37, 542), + Trans(51, 17, 37, 542), + Trans(51, 18, 37, 542), + Trans(51, 19, 37, 542), + Trans(51, 20, 37, 542), + Trans(51, 21, 37, 542), + Trans(51, 22, 37, 542), + Trans(51, 23, 37, 542), + Trans(51, 24, 37, 542), + Trans(51, 25, 37, 542), + Trans(51, 26, 37, 542), + Trans(51, 46, 37, 542), + Trans(51, 47, 37, 542), + Trans(51, 51, 37, 542), + Trans(52, 5, 37, 542), + Trans(52, 16, 37, 542), + Trans(52, 17, 37, 542), + Trans(52, 18, 37, 542), + Trans(52, 19, 37, 542), + Trans(52, 20, 37, 542), + Trans(52, 21, 37, 542), + Trans(52, 22, 37, 542), + Trans(52, 23, 37, 542), + Trans(52, 24, 37, 542), + Trans(52, 25, 37, 542), + Trans(52, 26, 37, 542), + Trans(52, 29, 37, 542), + Trans(52, 34, 37, 542), + Trans(52, 40, 37, 542), + Trans(52, 41, 37, 542), + Trans(52, 46, 37, 542), + Trans(52, 47, 37, 542), + Trans(52, 51, 37, 542), + Trans(53, 5, 37, 542), + Trans(53, 16, 37, 542), + Trans(53, 17, 37, 542), + Trans(53, 18, 37, 542), + Trans(53, 19, 37, 542), + Trans(53, 20, 37, 542), + Trans(53, 21, 37, 542), + Trans(53, 22, 37, 542), + Trans(53, 23, 37, 542), + Trans(53, 24, 37, 542), + Trans(53, 25, 37, 542), + Trans(53, 26, 37, 542), + Trans(53, 28, 37, 542), + Trans(53, 29, 37, 542), + Trans(53, 34, 37, 542), + Trans(53, 40, 37, 542), + Trans(53, 41, 37, 542), + Trans(53, 46, 37, 542), + Trans(53, 47, 37, 542), + Trans(53, 51, 37, 542), + Trans(54, 15, 37, 542), + Trans(54, 16, 37, 542), + Trans(54, 17, 37, 542), + Trans(54, 18, 37, 542), + Trans(54, 19, 37, 542), + Trans(54, 20, 37, 542), + Trans(54, 21, 37, 542), + Trans(54, 22, 37, 542), + Trans(54, 23, 37, 542), + Trans(54, 24, 37, 542), + Trans(54, 25, 37, 542), + Trans(54, 26, 37, 542), + Trans(54, 29, 37, 542), + Trans(54, 30, 37, 542), + Trans(54, 31, 37, 542), + Trans(54, 32, 37, 542), + Trans(54, 33, 37, 542), + Trans(54, 34, 37, 542), + Trans(54, 35, 37, 542), + Trans(54, 40, 37, 542), + Trans(54, 41, 37, 542), + Trans(54, 47, 37, 542), + Trans(54, 51, 37, 542), + Trans(55, 5, 37, 542), + Trans(55, 39, 37, 542), + Trans(55, 53, 37, 542), + Trans(55, 65, 37, 542), + Trans(55, 69, 37, 542), + Trans(55, 70, 37, 542), + Trans(55, 80, 37, 542), + Trans(55, 99, 37, 542), + Trans(55, 100, 37, 542), + Trans(55, 105, 37, 542), + Trans(55, 112, 37, 542), + Trans(55, 113, 37, 542), + Trans(56, 5, 37, 542), + Trans(56, 6, 37, 542), + Trans(56, 7, 37, 542), + Trans(56, 8, 37, 542), + Trans(56, 9, 37, 542), + Trans(56, 10, 37, 542), + Trans(56, 11, 37, 542), + Trans(56, 18, 37, 542), + Trans(56, 24, 37, 542), + Trans(56, 25, 37, 542), + Trans(56, 26, 37, 542), + Trans(56, 27, 37, 542), + Trans(56, 38, 37, 542), + Trans(56, 39, 37, 542), + Trans(56, 41, 37, 542), + Trans(56, 45, 37, 542), + Trans(56, 53, 37, 542), + Trans(56, 70, 37, 542), + Trans(56, 76, 37, 542), + Trans(56, 83, 37, 542), + Trans(56, 86, 37, 542), + Trans(56, 88, 37, 542), + Trans(56, 105, 37, 542), + Trans(56, 112, 37, 542), + Trans(56, 113, 37, 542), + Trans(57, 15, 37, 542), + Trans(57, 16, 37, 542), + Trans(57, 17, 37, 542), + Trans(57, 18, 37, 542), + Trans(57, 19, 37, 542), + Trans(57, 20, 37, 542), + Trans(57, 21, 37, 542), + Trans(57, 22, 37, 542), + Trans(57, 23, 37, 542), + Trans(57, 24, 37, 542), + Trans(57, 25, 37, 542), + Trans(57, 26, 37, 542), + Trans(57, 28, 37, 542), + Trans(57, 29, 37, 542), + Trans(57, 30, 37, 542), + Trans(57, 31, 37, 542), + Trans(57, 32, 37, 542), + Trans(57, 33, 37, 542), + Trans(57, 34, 37, 542), + Trans(57, 35, 37, 542), + Trans(57, 40, 37, 542), + Trans(57, 41, 37, 542), + Trans(57, 47, 37, 542), + Trans(57, 51, 37, 542), + Trans(58, 5, 37, 542), + Trans(58, 7, 37, 542), + Trans(58, 8, 37, 542), + Trans(58, 9, 37, 542), + Trans(58, 10, 37, 542), + Trans(58, 11, 37, 542), + Trans(58, 42, 37, 542), + Trans(58, 112, 37, 542), + Trans(58, 113, 37, 542), + Trans(59, 16, 37, 542), + Trans(59, 17, 37, 542), + Trans(59, 18, 37, 542), + Trans(59, 19, 37, 542), + Trans(59, 20, 37, 542), + Trans(59, 21, 37, 542), + Trans(59, 22, 37, 542), + Trans(59, 23, 37, 542), + Trans(59, 24, 37, 542), + Trans(59, 25, 37, 542), + Trans(59, 26, 37, 542), + Trans(59, 30, 37, 542), + Trans(59, 31, 37, 542), + Trans(59, 32, 37, 542), + Trans(59, 33, 37, 542), + Trans(59, 47, 37, 542), + Trans(59, 51, 37, 542), + Trans(60, 30, 37, 542), + Trans(61, 5, 37, 542), + Trans(61, 43, 37, 542), + Trans(61, 53, 37, 542), + Trans(61, 65, 37, 542), + Trans(61, 69, 37, 542), + Trans(61, 70, 37, 542), + Trans(61, 80, 37, 542), + Trans(61, 99, 37, 542), + Trans(61, 100, 37, 542), + Trans(61, 105, 37, 542), + Trans(61, 112, 37, 542), + Trans(61, 113, 37, 542), + Trans(62, 39, 37, 542), + Trans(63, 5, 37, 542), + Trans(63, 6, 37, 542), + Trans(63, 7, 37, 542), + Trans(63, 8, 37, 542), + Trans(63, 9, 37, 542), + Trans(63, 10, 37, 542), + Trans(63, 11, 37, 542), + Trans(63, 18, 37, 542), + Trans(63, 24, 37, 542), + Trans(63, 25, 37, 542), + Trans(63, 26, 37, 542), + Trans(63, 27, 37, 542), + Trans(63, 38, 37, 542), + Trans(63, 39, 37, 542), + Trans(63, 41, 37, 542), + Trans(63, 43, 37, 542), + Trans(63, 53, 37, 542), + Trans(63, 57, 37, 542), + Trans(63, 70, 37, 542), + Trans(63, 76, 37, 542), + Trans(63, 83, 37, 542), + Trans(63, 86, 37, 542), + Trans(63, 88, 37, 542), + Trans(63, 105, 37, 542), + Trans(63, 112, 37, 542), + Trans(63, 113, 37, 542), + Trans(64, 46, 37, 542), + Trans(65, 5, 37, 542), + Trans(65, 43, 37, 542), + Trans(65, 53, 37, 542), + Trans(65, 65, 37, 542), + Trans(65, 69, 37, 542), + Trans(65, 70, 37, 542), + Trans(65, 80, 37, 542), + Trans(65, 99, 37, 542), + Trans(65, 100, 37, 542), + Trans(65, 105, 37, 542), + Trans(65, 111, 37, 542), + Trans(65, 112, 37, 542), + Trans(65, 113, 37, 542), + Trans(66, 113, 37, 542), ], k: 3, }, - /* 265 - "IfStatementList0List" */ + /* 264 - "IfStatementList0List" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 535), - Trans(0, 53, 1, 534), - Trans(0, 65, 1, 534), - Trans(0, 69, 1, 534), - Trans(0, 70, 1, 534), - Trans(0, 80, 1, 534), - Trans(0, 99, 1, 534), - Trans(0, 100, 1, 534), - Trans(0, 111, 1, 534), - Trans(0, 112, 1, 534), + Trans(0, 43, 2, 541), + Trans(0, 53, 1, 540), + Trans(0, 65, 1, 540), + Trans(0, 69, 1, 540), + Trans(0, 70, 1, 540), + Trans(0, 80, 1, 540), + Trans(0, 99, 1, 540), + Trans(0, 100, 1, 540), + Trans(0, 105, 1, 540), + Trans(0, 112, 1, 540), + Trans(0, 113, 1, 540), ], k: 1, }, - /* 266 - "IfStatementOpt" */ + /* 265 - "IfStatementOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 6, 2, 542), - Trans(0, 7, 2, 542), - Trans(0, 8, 2, 542), - Trans(0, 9, 2, 542), - Trans(0, 10, 2, 542), - Trans(0, 11, 2, 542), - Trans(0, 18, 2, 542), - Trans(0, 24, 2, 542), - Trans(0, 25, 2, 542), - Trans(0, 26, 2, 542), - Trans(0, 27, 2, 542), - Trans(0, 38, 2, 542), - Trans(0, 39, 2, 542), - Trans(0, 41, 2, 542), - Trans(0, 43, 2, 542), - Trans(0, 53, 2, 542), - Trans(0, 57, 2, 542), - Trans(0, 58, 1, 539), - Trans(0, 65, 2, 542), - Trans(0, 69, 2, 542), - Trans(0, 70, 2, 542), - Trans(0, 76, 2, 542), - Trans(0, 80, 2, 542), - Trans(0, 83, 2, 542), - Trans(0, 86, 2, 542), - Trans(0, 88, 2, 542), - Trans(0, 99, 2, 542), - Trans(0, 100, 2, 542), - Trans(0, 110, 2, 542), - Trans(0, 111, 2, 542), - Trans(0, 112, 2, 542), + Trans(0, 6, 2, 548), + Trans(0, 7, 2, 548), + Trans(0, 8, 2, 548), + Trans(0, 9, 2, 548), + Trans(0, 10, 2, 548), + Trans(0, 11, 2, 548), + Trans(0, 18, 2, 548), + Trans(0, 24, 2, 548), + Trans(0, 25, 2, 548), + Trans(0, 26, 2, 548), + Trans(0, 27, 2, 548), + Trans(0, 38, 2, 548), + Trans(0, 39, 2, 548), + Trans(0, 41, 2, 548), + Trans(0, 43, 2, 548), + Trans(0, 53, 2, 548), + Trans(0, 57, 2, 548), + Trans(0, 58, 1, 545), + Trans(0, 65, 2, 548), + Trans(0, 69, 2, 548), + Trans(0, 70, 2, 548), + Trans(0, 76, 2, 548), + Trans(0, 80, 2, 548), + Trans(0, 83, 2, 548), + Trans(0, 86, 2, 548), + Trans(0, 88, 2, 548), + Trans(0, 99, 2, 548), + Trans(0, 100, 2, 548), + Trans(0, 105, 2, 548), + Trans(0, 111, 2, 548), + Trans(0, 112, 2, 548), + Trans(0, 113, 2, 548), ], k: 1, }, - /* 267 - "IfStatementOptList" */ + /* 266 - "IfStatementOptList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 541), - Trans(0, 53, 1, 540), - Trans(0, 65, 1, 540), - Trans(0, 69, 1, 540), - Trans(0, 70, 1, 540), - Trans(0, 80, 1, 540), - Trans(0, 99, 1, 540), - Trans(0, 100, 1, 540), - Trans(0, 111, 1, 540), - Trans(0, 112, 1, 540), + Trans(0, 43, 2, 547), + Trans(0, 53, 1, 546), + Trans(0, 65, 1, 546), + Trans(0, 69, 1, 546), + Trans(0, 70, 1, 546), + Trans(0, 80, 1, 546), + Trans(0, 99, 1, 546), + Trans(0, 100, 1, 546), + Trans(0, 105, 1, 546), + Trans(0, 112, 1, 546), + Trans(0, 113, 1, 546), ], k: 1, }, - /* 268 - "IfTerm" */ + /* 267 - "IfTerm" */ LookaheadDFA { prod0: 65, transitions: &[], k: 0, }, - /* 269 - "IfToken" */ + /* 268 - "IfToken" */ LookaheadDFA { - prod0: 177, + prod0: 178, transitions: &[], k: 0, }, - /* 270 - "Import" */ + /* 269 - "Import" */ LookaheadDFA { - prod0: 287, + prod0: 289, transitions: &[], k: 0, }, - /* 271 - "ImportDeclaration" */ + /* 270 - "ImportDeclaration" */ LookaheadDFA { - prod0: 761, + prod0: 781, transitions: &[], k: 0, }, - /* 272 - "ImportDeclarationOpt" */ + /* 271 - "ImportDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 29, 1, 762), Trans(0, 46, 2, 763)], + transitions: &[Trans(0, 29, 1, 782), Trans(0, 46, 2, 783)], k: 1, }, - /* 273 - "ImportTerm" */ + /* 272 - "ImportTerm" */ LookaheadDFA { prod0: 66, transitions: &[], k: 0, }, - /* 274 - "ImportToken" */ + /* 273 - "ImportToken" */ LookaheadDFA { - prod0: 178, + prod0: 179, transitions: &[], k: 0, }, - /* 275 - "In" */ + /* 274 - "In" */ LookaheadDFA { - prod0: 288, + prod0: 290, transitions: &[], k: 0, }, - /* 276 - "InTerm" */ + /* 275 - "InTerm" */ LookaheadDFA { prod0: 74, transitions: &[], k: 0, }, - /* 277 - "InToken" */ + /* 276 - "InToken" */ LookaheadDFA { - prod0: 186, + prod0: 187, transitions: &[], k: 0, }, - /* 278 - "Include" */ + /* 277 - "Include" */ LookaheadDFA { - prod0: 289, + prod0: 291, transitions: &[], k: 0, }, - /* 279 - "IncludeDeclaration" */ + /* 278 - "IncludeDeclaration" */ LookaheadDFA { - prod0: 899, + prod0: 919, transitions: &[], k: 0, }, - /* 280 - "IncludeTerm" */ + /* 279 - "IncludeTerm" */ LookaheadDFA { prod0: 67, transitions: &[], k: 0, }, - /* 281 - "IncludeToken" */ + /* 280 - "IncludeToken" */ LookaheadDFA { - prod0: 179, + prod0: 180, transitions: &[], k: 0, }, - /* 282 - "Initial" */ + /* 281 - "Initial" */ LookaheadDFA { - prod0: 290, + prod0: 292, transitions: &[], k: 0, }, - /* 283 - "InitialDeclaration" */ + /* 282 - "InitialDeclaration" */ LookaheadDFA { - prod0: 645, + prod0: 665, transitions: &[], k: 0, }, - /* 284 - "InitialDeclarationList" */ + /* 283 - "InitialDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 43, 2, 647), - Trans(0, 53, 1, 646), - Trans(0, 65, 1, 646), - Trans(0, 69, 1, 646), - Trans(0, 70, 1, 646), - Trans(0, 80, 1, 646), - Trans(0, 99, 1, 646), - Trans(0, 100, 1, 646), - Trans(0, 111, 1, 646), - Trans(0, 112, 1, 646), + Trans(0, 43, 2, 667), + Trans(0, 53, 1, 666), + Trans(0, 65, 1, 666), + Trans(0, 69, 1, 666), + Trans(0, 70, 1, 666), + Trans(0, 80, 1, 666), + Trans(0, 99, 1, 666), + Trans(0, 100, 1, 666), + Trans(0, 105, 1, 666), + Trans(0, 112, 1, 666), + Trans(0, 113, 1, 666), ], k: 1, }, - /* 285 - "InitialTerm" */ + /* 284 - "InitialTerm" */ LookaheadDFA { prod0: 68, transitions: &[], k: 0, }, - /* 286 - "InitialToken" */ + /* 285 - "InitialToken" */ LookaheadDFA { - prod0: 180, + prod0: 181, transitions: &[], k: 0, }, - /* 287 - "Inout" */ + /* 286 - "Inout" */ LookaheadDFA { - prod0: 291, + prod0: 293, transitions: &[], k: 0, }, - /* 288 - "InoutTerm" */ + /* 287 - "InoutTerm" */ LookaheadDFA { prod0: 69, transitions: &[], k: 0, }, - /* 289 - "InoutToken" */ + /* 288 - "InoutToken" */ LookaheadDFA { - prod0: 181, + prod0: 182, transitions: &[], k: 0, }, - /* 290 - "Input" */ + /* 289 - "Input" */ LookaheadDFA { - prod0: 292, + prod0: 294, transitions: &[], k: 0, }, - /* 291 - "InputTerm" */ + /* 290 - "InputTerm" */ LookaheadDFA { prod0: 70, transitions: &[], k: 0, }, - /* 292 - "InputToken" */ + /* 291 - "InputToken" */ LookaheadDFA { - prod0: 182, + prod0: 183, transitions: &[], k: 0, }, - /* 293 - "Inside" */ + /* 292 - "Inside" */ LookaheadDFA { - prod0: 293, + prod0: 295, transitions: &[], k: 0, }, - /* 294 - "InsideExpression" */ + /* 293 - "InsideExpression" */ LookaheadDFA { - prod0: 460, + prod0: 465, transitions: &[], k: 0, }, - /* 295 - "InsideTerm" */ + /* 294 - "InsideTerm" */ LookaheadDFA { prod0: 71, transitions: &[], k: 0, }, - /* 296 - "InsideToken" */ + /* 295 - "InsideToken" */ LookaheadDFA { - prod0: 183, + prod0: 184, transitions: &[], k: 0, }, - /* 297 - "Inst" */ + /* 296 - "Inst" */ LookaheadDFA { - prod0: 294, + prod0: 296, transitions: &[], k: 0, }, - /* 298 - "InstDeclaration" */ + /* 297 - "InstDeclaration" */ LookaheadDFA { - prod0: 651, + prod0: 671, transitions: &[], k: 0, }, - /* 299 - "InstDeclarationOpt" */ + /* 298 - "InstDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 2, 659), - Trans(0, 40, 1, 658), - Trans(0, 41, 2, 659), - Trans(0, 46, 2, 659), + Trans(0, 36, 2, 679), + Trans(0, 40, 1, 678), + Trans(0, 41, 2, 679), + Trans(0, 46, 2, 679), ], k: 1, }, - /* 300 - "InstDeclarationOpt0" */ + /* 299 - "InstDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 656), - Trans(0, 41, 2, 657), - Trans(0, 46, 2, 657), + Trans(0, 36, 1, 676), + Trans(0, 41, 2, 677), + Trans(0, 46, 2, 677), ], k: 1, }, - /* 301 - "InstDeclarationOpt1" */ + /* 300 - "InstDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 41, 1, 652), Trans(0, 46, 2, 655)], + transitions: &[Trans(0, 41, 1, 672), Trans(0, 46, 2, 675)], k: 1, }, - /* 302 - "InstDeclarationOpt2" */ + /* 301 - "InstDeclarationOpt2" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 653), - Trans(0, 39, 1, 653), - Trans(0, 45, 2, 654), - Trans(0, 112, 1, 653), + Trans(0, 36, 1, 673), + Trans(0, 39, 1, 673), + Trans(0, 45, 2, 674), + Trans(0, 113, 1, 673), ], k: 1, }, - /* 303 - "InstParameter" */ + /* 302 - "InstParameter" */ LookaheadDFA { - prod0: 660, + prod0: 680, transitions: &[], k: 0, }, - /* 304 - "InstParameterGroup" */ + /* 303 - "InstParameterGroup" */ LookaheadDFA { - prod0: 668, + prod0: 688, transitions: &[], k: 0, }, - /* 305 - "InstParameterGroupGroup" */ + /* 304 - "InstParameterGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 669), Trans(0, 112, 2, 670)], + transitions: &[Trans(0, 39, 1, 689), Trans(0, 113, 2, 690)], k: 1, }, - /* 306 - "InstParameterGroupList" */ + /* 305 - "InstParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 671), - Trans(0, 39, 2, 672), - Trans(0, 112, 2, 672), + Trans(0, 36, 1, 691), + Trans(0, 39, 2, 692), + Trans(0, 113, 2, 692), ], k: 1, }, - /* 307 - "InstParameterItem" */ + /* 306 - "InstParameterItem" */ LookaheadDFA { - prod0: 673, + prod0: 693, transitions: &[], k: 0, }, - /* 308 - "InstParameterItemOpt" */ + /* 307 - "InstParameterItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 674), - Trans(0, 31, 2, 675), - Trans(0, 43, 2, 675), - Trans(0, 45, 2, 675), + Trans(0, 30, 1, 694), + Trans(0, 31, 2, 695), + Trans(0, 43, 2, 695), + Trans(0, 45, 2, 695), ], k: 1, }, - /* 309 - "InstParameterList" */ + /* 308 - "InstParameterList" */ LookaheadDFA { - prod0: 663, + prod0: 683, transitions: &[], k: 0, }, - /* 310 - "InstParameterListList" */ + /* 309 - "InstParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8163,23 +8378,23 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 39, 4, -1), Trans(1, 43, 11, -1), Trans(1, 45, 12, -1), - Trans(1, 112, 5, -1), - Trans(2, 5, 3, 664), - Trans(2, 40, 3, 664), - Trans(4, 5, 3, 664), - Trans(4, 36, 3, 664), - Trans(4, 39, 3, 664), - Trans(4, 112, 3, 664), - Trans(5, 5, 3, 664), - Trans(5, 30, 3, 664), - Trans(5, 31, 3, 664), - Trans(5, 43, 3, 664), - Trans(5, 45, 3, 664), - Trans(6, 36, 3, 664), - Trans(6, 39, 3, 664), - Trans(6, 43, 13, 665), - Trans(6, 45, 13, 665), - Trans(6, 112, 3, 664), + Trans(1, 113, 5, -1), + Trans(2, 5, 3, 684), + Trans(2, 40, 3, 684), + Trans(4, 5, 3, 684), + Trans(4, 36, 3, 684), + Trans(4, 39, 3, 684), + Trans(4, 113, 3, 684), + Trans(5, 5, 3, 684), + Trans(5, 30, 3, 684), + Trans(5, 31, 3, 684), + Trans(5, 43, 3, 684), + Trans(5, 45, 3, 684), + Trans(6, 36, 3, 684), + Trans(6, 39, 3, 684), + Trans(6, 43, 13, 685), + Trans(6, 45, 13, 685), + Trans(6, 113, 3, 684), Trans(7, 5, 9, -1), Trans(7, 31, 10, -1), Trans(7, 43, 11, -1), @@ -8187,121 +8402,121 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 5, 14, -1), Trans(8, 41, 15, -1), Trans(8, 46, 16, -1), - Trans(9, 31, 13, 665), - Trans(9, 43, 13, 665), - Trans(9, 45, 13, 665), - Trans(10, 5, 13, 665), - Trans(10, 36, 13, 665), - Trans(10, 39, 13, 665), - Trans(10, 43, 13, 665), - Trans(10, 45, 13, 665), - Trans(10, 112, 13, 665), - Trans(11, 5, 13, 665), - Trans(11, 31, 13, 665), - Trans(11, 43, 13, 665), - Trans(11, 45, 13, 665), - Trans(12, 5, 13, 665), - Trans(12, 41, 13, 665), - Trans(12, 46, 13, 665), - Trans(14, 41, 13, 665), - Trans(14, 46, 13, 665), - Trans(15, 5, 13, 665), - Trans(15, 36, 13, 665), - Trans(15, 39, 13, 665), - Trans(15, 45, 13, 665), - Trans(15, 112, 13, 665), - Trans(16, 5, 13, 665), - Trans(16, 30, 13, 665), - Trans(16, 36, 13, 665), - Trans(16, 39, 13, 665), - Trans(16, 43, 13, 665), - Trans(16, 48, 13, 665), - Trans(16, 49, 13, 665), - Trans(16, 50, 13, 665), - Trans(16, 60, 13, 665), - Trans(16, 64, 13, 665), - Trans(16, 65, 13, 665), - Trans(16, 66, 13, 665), - Trans(16, 70, 13, 665), - Trans(16, 71, 13, 665), - Trans(16, 73, 13, 665), - Trans(16, 77, 13, 665), - Trans(16, 80, 13, 665), - Trans(16, 81, 13, 665), - Trans(16, 104, 13, 665), - Trans(16, 106, 13, 665), - Trans(16, 109, 13, 665), - Trans(16, 110, 13, 665), + Trans(9, 31, 13, 685), + Trans(9, 43, 13, 685), + Trans(9, 45, 13, 685), + Trans(10, 5, 13, 685), + Trans(10, 36, 13, 685), + Trans(10, 39, 13, 685), + Trans(10, 43, 13, 685), + Trans(10, 45, 13, 685), + Trans(10, 113, 13, 685), + Trans(11, 5, 13, 685), + Trans(11, 31, 13, 685), + Trans(11, 43, 13, 685), + Trans(11, 45, 13, 685), + Trans(12, 5, 13, 685), + Trans(12, 41, 13, 685), + Trans(12, 46, 13, 685), + Trans(14, 41, 13, 685), + Trans(14, 46, 13, 685), + Trans(15, 5, 13, 685), + Trans(15, 36, 13, 685), + Trans(15, 39, 13, 685), + Trans(15, 45, 13, 685), + Trans(15, 113, 13, 685), + Trans(16, 5, 13, 685), + Trans(16, 30, 13, 685), + Trans(16, 36, 13, 685), + Trans(16, 39, 13, 685), + Trans(16, 43, 13, 685), + Trans(16, 48, 13, 685), + Trans(16, 49, 13, 685), + Trans(16, 50, 13, 685), + Trans(16, 60, 13, 685), + Trans(16, 64, 13, 685), + Trans(16, 65, 13, 685), + Trans(16, 66, 13, 685), + Trans(16, 70, 13, 685), + Trans(16, 71, 13, 685), + Trans(16, 73, 13, 685), + Trans(16, 77, 13, 685), + Trans(16, 80, 13, 685), + Trans(16, 81, 13, 685), + Trans(16, 104, 13, 685), + Trans(16, 107, 13, 685), + Trans(16, 110, 13, 685), + Trans(16, 111, 13, 685), ], k: 3, }, - /* 311 - "InstParameterListOpt" */ + /* 310 - "InstParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 666), - Trans(0, 43, 2, 667), - Trans(0, 45, 2, 667), + Trans(0, 31, 1, 686), + Trans(0, 43, 2, 687), + Trans(0, 45, 2, 687), ], k: 1, }, - /* 312 - "InstParameterOpt" */ + /* 311 - "InstParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 661), - Trans(0, 39, 1, 661), - Trans(0, 45, 2, 662), - Trans(0, 112, 1, 661), + Trans(0, 36, 1, 681), + Trans(0, 39, 1, 681), + Trans(0, 45, 2, 682), + Trans(0, 113, 1, 681), ], k: 1, }, - /* 313 - "InstPortGroup" */ + /* 312 - "InstPortGroup" */ LookaheadDFA { - prod0: 681, + prod0: 701, transitions: &[], k: 0, }, - /* 314 - "InstPortGroupGroup" */ + /* 313 - "InstPortGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 682), Trans(0, 112, 2, 683)], + transitions: &[Trans(0, 39, 1, 702), Trans(0, 113, 2, 703)], k: 1, }, - /* 315 - "InstPortGroupList" */ + /* 314 - "InstPortGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 684), - Trans(0, 39, 2, 685), - Trans(0, 112, 2, 685), + Trans(0, 36, 1, 704), + Trans(0, 39, 2, 705), + Trans(0, 113, 2, 705), ], k: 1, }, - /* 316 - "InstPortItem" */ + /* 315 - "InstPortItem" */ LookaheadDFA { - prod0: 686, + prod0: 706, transitions: &[], k: 0, }, - /* 317 - "InstPortItemOpt" */ + /* 316 - "InstPortItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 687), - Trans(0, 31, 2, 688), - Trans(0, 43, 2, 688), - Trans(0, 45, 2, 688), + Trans(0, 30, 1, 707), + Trans(0, 31, 2, 708), + Trans(0, 43, 2, 708), + Trans(0, 45, 2, 708), ], k: 1, }, - /* 318 - "InstPortList" */ + /* 317 - "InstPortList" */ LookaheadDFA { - prod0: 676, + prod0: 696, transitions: &[], k: 0, }, - /* 319 - "InstPortListList" */ + /* 318 - "InstPortListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8313,258 +8528,258 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 39, 4, -1), Trans(1, 43, 11, -1), Trans(1, 45, 12, -1), - Trans(1, 112, 5, -1), - Trans(2, 5, 3, 677), - Trans(2, 40, 3, 677), - Trans(4, 5, 3, 677), - Trans(4, 36, 3, 677), - Trans(4, 39, 3, 677), - Trans(4, 112, 3, 677), - Trans(5, 5, 3, 677), - Trans(5, 30, 3, 677), - Trans(5, 31, 3, 677), - Trans(5, 43, 3, 677), - Trans(5, 45, 3, 677), - Trans(6, 36, 3, 677), - Trans(6, 39, 3, 677), - Trans(6, 43, 13, 678), - Trans(6, 45, 13, 678), - Trans(6, 112, 3, 677), + Trans(1, 113, 5, -1), + Trans(2, 5, 3, 697), + Trans(2, 40, 3, 697), + Trans(4, 5, 3, 697), + Trans(4, 36, 3, 697), + Trans(4, 39, 3, 697), + Trans(4, 113, 3, 697), + Trans(5, 5, 3, 697), + Trans(5, 30, 3, 697), + Trans(5, 31, 3, 697), + Trans(5, 43, 3, 697), + Trans(5, 45, 3, 697), + Trans(6, 36, 3, 697), + Trans(6, 39, 3, 697), + Trans(6, 43, 13, 698), + Trans(6, 45, 13, 698), + Trans(6, 113, 3, 697), Trans(7, 5, 9, -1), Trans(7, 31, 10, -1), Trans(7, 43, 11, -1), Trans(7, 45, 12, -1), Trans(8, 5, 14, -1), Trans(8, 46, 15, -1), - Trans(9, 31, 13, 678), - Trans(9, 43, 13, 678), - Trans(9, 45, 13, 678), - Trans(10, 5, 13, 678), - Trans(10, 36, 13, 678), - Trans(10, 39, 13, 678), - Trans(10, 43, 13, 678), - Trans(10, 45, 13, 678), - Trans(10, 112, 13, 678), - Trans(11, 5, 13, 678), - Trans(11, 31, 13, 678), - Trans(11, 43, 13, 678), - Trans(11, 45, 13, 678), - Trans(12, 5, 13, 678), - Trans(12, 46, 13, 678), - Trans(14, 46, 13, 678), - Trans(15, 5, 13, 678), - Trans(15, 30, 13, 678), - Trans(15, 36, 13, 678), - Trans(15, 39, 13, 678), - Trans(15, 43, 13, 678), - Trans(15, 48, 13, 678), - Trans(15, 49, 13, 678), - Trans(15, 50, 13, 678), - Trans(15, 60, 13, 678), - Trans(15, 64, 13, 678), - Trans(15, 65, 13, 678), - Trans(15, 66, 13, 678), - Trans(15, 70, 13, 678), - Trans(15, 71, 13, 678), - Trans(15, 73, 13, 678), - Trans(15, 77, 13, 678), - Trans(15, 80, 13, 678), - Trans(15, 81, 13, 678), - Trans(15, 104, 13, 678), - Trans(15, 106, 13, 678), - Trans(15, 109, 13, 678), - Trans(15, 110, 13, 678), + Trans(9, 31, 13, 698), + Trans(9, 43, 13, 698), + Trans(9, 45, 13, 698), + Trans(10, 5, 13, 698), + Trans(10, 36, 13, 698), + Trans(10, 39, 13, 698), + Trans(10, 43, 13, 698), + Trans(10, 45, 13, 698), + Trans(10, 113, 13, 698), + Trans(11, 5, 13, 698), + Trans(11, 31, 13, 698), + Trans(11, 43, 13, 698), + Trans(11, 45, 13, 698), + Trans(12, 5, 13, 698), + Trans(12, 46, 13, 698), + Trans(14, 46, 13, 698), + Trans(15, 5, 13, 698), + Trans(15, 30, 13, 698), + Trans(15, 36, 13, 698), + Trans(15, 39, 13, 698), + Trans(15, 43, 13, 698), + Trans(15, 48, 13, 698), + Trans(15, 49, 13, 698), + Trans(15, 50, 13, 698), + Trans(15, 60, 13, 698), + Trans(15, 64, 13, 698), + Trans(15, 65, 13, 698), + Trans(15, 66, 13, 698), + Trans(15, 70, 13, 698), + Trans(15, 71, 13, 698), + Trans(15, 73, 13, 698), + Trans(15, 77, 13, 698), + Trans(15, 80, 13, 698), + Trans(15, 81, 13, 698), + Trans(15, 104, 13, 698), + Trans(15, 107, 13, 698), + Trans(15, 110, 13, 698), + Trans(15, 111, 13, 698), ], k: 3, }, - /* 320 - "InstPortListOpt" */ + /* 319 - "InstPortListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 679), - Trans(0, 43, 2, 680), - Trans(0, 45, 2, 680), + Trans(0, 31, 1, 699), + Trans(0, 43, 2, 700), + Trans(0, 45, 2, 700), ], k: 1, }, - /* 321 - "InstTerm" */ + /* 320 - "InstTerm" */ LookaheadDFA { prod0: 72, transitions: &[], k: 0, }, - /* 322 - "InstToken" */ + /* 321 - "InstToken" */ LookaheadDFA { - prod0: 184, + prod0: 185, transitions: &[], k: 0, }, - /* 323 - "IntegralNumber" */ + /* 322 - "IntegralNumber" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 9, 1, 330), - Trans(0, 10, 3, 332), - Trans(0, 11, 2, 331), + Trans(0, 9, 1, 333), + Trans(0, 10, 3, 335), + Trans(0, 11, 2, 334), ], k: 1, }, - /* 324 - "Interface" */ + /* 323 - "Interface" */ LookaheadDFA { - prod0: 295, + prod0: 297, transitions: &[], k: 0, }, - /* 325 - "InterfaceDeclaration" */ + /* 324 - "InterfaceDeclaration" */ LookaheadDFA { - prod0: 820, + prod0: 840, transitions: &[], k: 0, }, - /* 326 - "InterfaceDeclarationList" */ + /* 325 - "InterfaceDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 821), - Trans(0, 36, 1, 821), - Trans(0, 39, 1, 821), - Trans(0, 43, 2, 822), - Trans(0, 60, 1, 821), - Trans(0, 64, 1, 821), - Trans(0, 65, 1, 821), - Trans(0, 66, 1, 821), - Trans(0, 70, 1, 821), - Trans(0, 71, 1, 821), - Trans(0, 73, 1, 821), - Trans(0, 80, 1, 821), - Trans(0, 81, 1, 821), - Trans(0, 84, 1, 821), - Trans(0, 104, 1, 821), - Trans(0, 106, 1, 821), - Trans(0, 109, 1, 821), - Trans(0, 110, 1, 821), - ], - k: 1, - }, - /* 327 - "InterfaceDeclarationOpt" */ - LookaheadDFA { - prod0: -1, - transitions: &[Trans(0, 78, 2, 828), Trans(0, 91, 1, 827)], - k: 1, - }, - /* 328 - "InterfaceDeclarationOpt0" */ - LookaheadDFA { - prod0: -1, + Trans(0, 30, 1, 841), + Trans(0, 36, 1, 841), + Trans(0, 39, 1, 841), + Trans(0, 43, 2, 842), + Trans(0, 60, 1, 841), + Trans(0, 64, 1, 841), + Trans(0, 65, 1, 841), + Trans(0, 66, 1, 841), + Trans(0, 70, 1, 841), + Trans(0, 71, 1, 841), + Trans(0, 73, 1, 841), + Trans(0, 80, 1, 841), + Trans(0, 81, 1, 841), + Trans(0, 84, 1, 841), + Trans(0, 104, 1, 841), + Trans(0, 107, 1, 841), + Trans(0, 110, 1, 841), + Trans(0, 111, 1, 841), + ], + k: 1, + }, + /* 326 - "InterfaceDeclarationOpt" */ + LookaheadDFA { + prod0: -1, + transitions: &[Trans(0, 78, 2, 848), Trans(0, 91, 1, 847)], + k: 1, + }, + /* 327 - "InterfaceDeclarationOpt0" */ + LookaheadDFA { + prod0: -1, transitions: &[ - Trans(0, 28, 1, 825), - Trans(0, 36, 2, 826), - Trans(0, 39, 2, 826), + Trans(0, 28, 1, 845), + Trans(0, 36, 2, 846), + Trans(0, 39, 2, 846), ], k: 1, }, - /* 329 - "InterfaceDeclarationOpt1" */ + /* 328 - "InterfaceDeclarationOpt1" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 36, 1, 823), Trans(0, 39, 2, 824)], + transitions: &[Trans(0, 36, 1, 843), Trans(0, 39, 2, 844)], k: 1, }, - /* 330 - "InterfaceForDeclaration" */ + /* 329 - "InterfaceForDeclaration" */ LookaheadDFA { - prod0: 834, + prod0: 854, transitions: &[], k: 0, }, - /* 331 - "InterfaceForDeclarationOpt" */ + /* 330 - "InterfaceForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 836), Trans(0, 102, 1, 835)], + transitions: &[Trans(0, 30, 2, 856), Trans(0, 102, 1, 855)], k: 1, }, - /* 332 - "InterfaceGroup" */ + /* 331 - "InterfaceGroup" */ LookaheadDFA { - prod0: 845, + prod0: 865, transitions: &[], k: 0, }, - /* 333 - "InterfaceGroupGroup" */ + /* 332 - "InterfaceGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 849), - Trans(0, 39, 1, 846), - Trans(0, 60, 2, 849), - Trans(0, 64, 2, 849), - Trans(0, 65, 2, 849), - Trans(0, 66, 2, 849), - Trans(0, 70, 2, 849), - Trans(0, 71, 2, 849), - Trans(0, 73, 2, 849), - Trans(0, 80, 2, 849), - Trans(0, 81, 2, 849), - Trans(0, 84, 2, 849), - Trans(0, 104, 2, 849), - Trans(0, 106, 2, 849), - Trans(0, 109, 2, 849), - Trans(0, 110, 2, 849), + Trans(0, 30, 2, 869), + Trans(0, 39, 1, 866), + Trans(0, 60, 2, 869), + Trans(0, 64, 2, 869), + Trans(0, 65, 2, 869), + Trans(0, 66, 2, 869), + Trans(0, 70, 2, 869), + Trans(0, 71, 2, 869), + Trans(0, 73, 2, 869), + Trans(0, 80, 2, 869), + Trans(0, 81, 2, 869), + Trans(0, 84, 2, 869), + Trans(0, 104, 2, 869), + Trans(0, 107, 2, 869), + Trans(0, 110, 2, 869), + Trans(0, 111, 2, 869), ], k: 1, }, - /* 334 - "InterfaceGroupGroupList" */ + /* 333 - "InterfaceGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 847), - Trans(0, 36, 1, 847), - Trans(0, 39, 1, 847), - Trans(0, 43, 2, 848), - Trans(0, 60, 1, 847), - Trans(0, 64, 1, 847), - Trans(0, 65, 1, 847), - Trans(0, 66, 1, 847), - Trans(0, 70, 1, 847), - Trans(0, 71, 1, 847), - Trans(0, 73, 1, 847), - Trans(0, 80, 1, 847), - Trans(0, 81, 1, 847), - Trans(0, 84, 1, 847), - Trans(0, 104, 1, 847), - Trans(0, 106, 1, 847), - Trans(0, 109, 1, 847), - Trans(0, 110, 1, 847), + Trans(0, 30, 1, 867), + Trans(0, 36, 1, 867), + Trans(0, 39, 1, 867), + Trans(0, 43, 2, 868), + Trans(0, 60, 1, 867), + Trans(0, 64, 1, 867), + Trans(0, 65, 1, 867), + Trans(0, 66, 1, 867), + Trans(0, 70, 1, 867), + Trans(0, 71, 1, 867), + Trans(0, 73, 1, 867), + Trans(0, 80, 1, 867), + Trans(0, 81, 1, 867), + Trans(0, 84, 1, 867), + Trans(0, 104, 1, 867), + Trans(0, 107, 1, 867), + Trans(0, 110, 1, 867), + Trans(0, 111, 1, 867), ], k: 1, }, - /* 335 - "InterfaceGroupList" */ + /* 334 - "InterfaceGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 851), - Trans(0, 36, 1, 850), - Trans(0, 39, 2, 851), - Trans(0, 60, 2, 851), - Trans(0, 64, 2, 851), - Trans(0, 65, 2, 851), - Trans(0, 66, 2, 851), - Trans(0, 70, 2, 851), - Trans(0, 71, 2, 851), - Trans(0, 73, 2, 851), - Trans(0, 80, 2, 851), - Trans(0, 81, 2, 851), - Trans(0, 84, 2, 851), - Trans(0, 104, 2, 851), - Trans(0, 106, 2, 851), - Trans(0, 109, 2, 851), - Trans(0, 110, 2, 851), + Trans(0, 30, 2, 871), + Trans(0, 36, 1, 870), + Trans(0, 39, 2, 871), + Trans(0, 60, 2, 871), + Trans(0, 64, 2, 871), + Trans(0, 65, 2, 871), + Trans(0, 66, 2, 871), + Trans(0, 70, 2, 871), + Trans(0, 71, 2, 871), + Trans(0, 73, 2, 871), + Trans(0, 80, 2, 871), + Trans(0, 81, 2, 871), + Trans(0, 84, 2, 871), + Trans(0, 104, 2, 871), + Trans(0, 107, 2, 871), + Trans(0, 110, 2, 871), + Trans(0, 111, 2, 871), ], k: 1, }, - /* 336 - "InterfaceIfDeclaration" */ + /* 335 - "InterfaceIfDeclaration" */ LookaheadDFA { - prod0: 829, + prod0: 849, transitions: &[], k: 0, }, - /* 337 - "InterfaceIfDeclarationList" */ + /* 336 - "InterfaceIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -8584,41 +8799,42 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 81, 9, -1), Trans(0, 84, 5, -1), Trans(0, 104, 15, -1), - Trans(0, 106, 16, -1), - Trans(0, 109, 15, -1), - Trans(0, 110, 9, -1), + Trans(0, 107, 16, -1), + Trans(0, 110, 15, -1), + Trans(0, 111, 9, -1), Trans(1, 5, 4, -1), Trans(1, 30, 19, -1), Trans(1, 39, 35, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 830), - Trans(2, 6, 3, 830), - Trans(2, 7, 3, 830), - Trans(2, 8, 3, 830), - Trans(2, 9, 3, 830), - Trans(2, 10, 3, 830), - Trans(2, 11, 3, 830), - Trans(2, 18, 3, 830), - Trans(2, 24, 3, 830), - Trans(2, 25, 3, 830), - Trans(2, 26, 3, 830), - Trans(2, 27, 3, 830), - Trans(2, 38, 3, 830), - Trans(2, 39, 3, 830), - Trans(2, 41, 3, 830), - Trans(2, 53, 3, 830), - Trans(2, 70, 3, 830), - Trans(2, 76, 3, 830), - Trans(2, 83, 3, 830), - Trans(2, 86, 3, 830), - Trans(2, 88, 3, 830), - Trans(2, 111, 3, 830), - Trans(2, 112, 3, 830), - Trans(4, 30, 17, 831), - Trans(4, 39, 17, 831), - Trans(4, 70, 3, 830), + Trans(2, 5, 3, 850), + Trans(2, 6, 3, 850), + Trans(2, 7, 3, 850), + Trans(2, 8, 3, 850), + Trans(2, 9, 3, 850), + Trans(2, 10, 3, 850), + Trans(2, 11, 3, 850), + Trans(2, 18, 3, 850), + Trans(2, 24, 3, 850), + Trans(2, 25, 3, 850), + Trans(2, 26, 3, 850), + Trans(2, 27, 3, 850), + Trans(2, 38, 3, 850), + Trans(2, 39, 3, 850), + Trans(2, 41, 3, 850), + Trans(2, 53, 3, 850), + Trans(2, 70, 3, 850), + Trans(2, 76, 3, 850), + Trans(2, 83, 3, 850), + Trans(2, 86, 3, 850), + Trans(2, 88, 3, 850), + Trans(2, 105, 3, 850), + Trans(2, 112, 3, 850), + Trans(2, 113, 3, 850), + Trans(4, 30, 17, 851), + Trans(4, 39, 17, 851), + Trans(4, 70, 3, 850), Trans(5, 5, 42, -1), - Trans(5, 112, 25, -1), + Trans(5, 113, 25, -1), Trans(6, 5, 38, -1), Trans(6, 40, 19, -1), Trans(7, 5, 34, -1), @@ -8637,10 +8853,10 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 81, 19, -1), Trans(7, 84, 19, -1), Trans(7, 104, 19, -1), - Trans(7, 106, 19, -1), - Trans(7, 109, 19, -1), + Trans(7, 107, 19, -1), Trans(7, 110, 19, -1), - Trans(8, 0, 17, 831), + Trans(7, 111, 19, -1), + Trans(8, 0, 17, 851), Trans(8, 5, 18, -1), Trans(8, 30, 19, -1), Trans(8, 36, 20, -1), @@ -8664,17 +8880,17 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 89, 19, -1), Trans(8, 91, 28, -1), Trans(8, 104, 19, -1), - Trans(8, 106, 19, -1), - Trans(8, 109, 19, -1), + Trans(8, 107, 19, -1), Trans(8, 110, 19, -1), + Trans(8, 111, 19, -1), Trans(9, 5, 42, -1), - Trans(9, 112, 43, -1), + Trans(9, 113, 43, -1), Trans(10, 5, 36, -1), Trans(10, 39, 37, -1), Trans(11, 5, 42, -1), - Trans(11, 112, 44, -1), + Trans(11, 113, 44, -1), Trans(12, 5, 42, -1), - Trans(12, 112, 45, -1), + Trans(12, 113, 45, -1), Trans(13, 5, 29, -1), Trans(13, 6, 30, -1), Trans(13, 7, 30, -1), @@ -8696,714 +8912,719 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(13, 83, 30, -1), Trans(13, 86, 30, -1), Trans(13, 88, 26, -1), - Trans(13, 111, 32, -1), - Trans(13, 112, 33, -1), + Trans(13, 105, 25, -1), + Trans(13, 112, 32, -1), + Trans(13, 113, 33, -1), Trans(14, 5, 39, -1), - Trans(14, 111, 40, -1), - Trans(14, 112, 41, -1), + Trans(14, 112, 40, -1), + Trans(14, 113, 41, -1), Trans(15, 5, 42, -1), - Trans(15, 112, 46, -1), + Trans(15, 113, 46, -1), Trans(16, 5, 42, -1), - Trans(16, 112, 47, -1), - Trans(18, 0, 17, 831), - Trans(18, 30, 17, 831), - Trans(18, 36, 17, 831), - Trans(18, 39, 17, 831), - Trans(18, 43, 17, 831), - Trans(18, 58, 17, 831), - Trans(18, 59, 17, 831), - Trans(18, 60, 17, 831), - Trans(18, 64, 17, 831), - Trans(18, 65, 17, 831), - Trans(18, 66, 17, 831), - Trans(18, 70, 17, 831), - Trans(18, 71, 17, 831), - Trans(18, 72, 17, 831), - Trans(18, 73, 17, 831), - Trans(18, 78, 17, 831), - Trans(18, 80, 17, 831), - Trans(18, 81, 17, 831), - Trans(18, 84, 17, 831), - Trans(18, 85, 17, 831), - Trans(18, 89, 17, 831), - Trans(18, 91, 17, 831), - Trans(18, 104, 17, 831), - Trans(18, 106, 17, 831), - Trans(18, 109, 17, 831), - Trans(18, 110, 17, 831), - Trans(19, 5, 17, 831), - Trans(19, 112, 17, 831), - Trans(20, 5, 17, 831), - Trans(20, 40, 17, 831), - Trans(21, 5, 17, 831), - Trans(21, 30, 17, 831), - Trans(21, 36, 17, 831), - Trans(21, 39, 17, 831), - Trans(21, 43, 17, 831), - Trans(21, 59, 17, 831), - Trans(21, 60, 17, 831), - Trans(21, 64, 17, 831), - Trans(21, 65, 17, 831), - Trans(21, 66, 17, 831), - Trans(21, 70, 17, 831), - Trans(21, 71, 17, 831), - Trans(21, 72, 17, 831), - Trans(21, 73, 17, 831), - Trans(21, 78, 17, 831), - Trans(21, 80, 17, 831), - Trans(21, 81, 17, 831), - Trans(21, 84, 17, 831), - Trans(21, 85, 17, 831), - Trans(21, 89, 17, 831), - Trans(21, 91, 17, 831), - Trans(21, 104, 17, 831), - Trans(21, 106, 17, 831), - Trans(21, 109, 17, 831), - Trans(21, 110, 17, 831), - Trans(22, 0, 17, 831), - Trans(22, 5, 17, 831), - Trans(22, 30, 17, 831), - Trans(22, 36, 17, 831), - Trans(22, 39, 17, 831), - Trans(22, 43, 17, 831), - Trans(22, 58, 17, 831), - Trans(22, 59, 17, 831), - Trans(22, 60, 17, 831), - Trans(22, 64, 17, 831), - Trans(22, 65, 17, 831), - Trans(22, 66, 17, 831), - Trans(22, 70, 17, 831), - Trans(22, 71, 17, 831), - Trans(22, 72, 17, 831), - Trans(22, 73, 17, 831), - Trans(22, 78, 17, 831), - Trans(22, 80, 17, 831), - Trans(22, 81, 17, 831), - Trans(22, 84, 17, 831), - Trans(22, 85, 17, 831), - Trans(22, 89, 17, 831), - Trans(22, 91, 17, 831), - Trans(22, 104, 17, 831), - Trans(22, 106, 17, 831), - Trans(22, 109, 17, 831), - Trans(22, 110, 17, 831), - Trans(23, 5, 17, 831), - Trans(23, 30, 17, 831), - Trans(23, 39, 17, 831), - Trans(23, 70, 17, 831), - Trans(24, 5, 17, 831), - Trans(24, 41, 17, 831), - Trans(25, 5, 17, 831), - Trans(25, 39, 17, 831), - Trans(26, 5, 17, 831), - Trans(26, 6, 17, 831), - Trans(26, 7, 17, 831), - Trans(26, 8, 17, 831), - Trans(26, 9, 17, 831), - Trans(26, 10, 17, 831), - Trans(26, 11, 17, 831), - Trans(26, 18, 17, 831), - Trans(26, 24, 17, 831), - Trans(26, 25, 17, 831), - Trans(26, 26, 17, 831), - Trans(26, 27, 17, 831), - Trans(26, 38, 17, 831), - Trans(26, 39, 17, 831), - Trans(26, 41, 17, 831), - Trans(26, 53, 17, 831), - Trans(26, 70, 17, 831), - Trans(26, 76, 17, 831), - Trans(26, 83, 17, 831), - Trans(26, 86, 17, 831), - Trans(26, 88, 17, 831), - Trans(26, 111, 17, 831), - Trans(26, 112, 17, 831), - Trans(27, 5, 17, 831), - Trans(27, 111, 17, 831), - Trans(27, 112, 17, 831), - Trans(28, 5, 17, 831), - Trans(28, 78, 17, 831), - Trans(28, 85, 17, 831), - Trans(28, 89, 17, 831), - Trans(29, 6, 17, 831), - Trans(29, 7, 17, 831), - Trans(29, 8, 17, 831), - Trans(29, 9, 17, 831), - Trans(29, 10, 17, 831), - Trans(29, 11, 17, 831), - Trans(29, 18, 17, 831), - Trans(29, 24, 17, 831), - Trans(29, 25, 17, 831), - Trans(29, 26, 17, 831), - Trans(29, 27, 17, 831), - Trans(29, 38, 17, 831), - Trans(29, 39, 17, 831), - Trans(29, 41, 17, 831), - Trans(29, 53, 17, 831), - Trans(29, 70, 17, 831), - Trans(29, 76, 17, 831), - Trans(29, 83, 17, 831), - Trans(29, 86, 17, 831), - Trans(29, 88, 17, 831), - Trans(29, 111, 17, 831), - Trans(29, 112, 17, 831), - Trans(30, 5, 17, 831), - Trans(30, 16, 17, 831), - Trans(30, 17, 17, 831), - Trans(30, 18, 17, 831), - Trans(30, 19, 17, 831), - Trans(30, 20, 17, 831), - Trans(30, 21, 17, 831), - Trans(30, 22, 17, 831), - Trans(30, 23, 17, 831), - Trans(30, 24, 17, 831), - Trans(30, 25, 17, 831), - Trans(30, 26, 17, 831), - Trans(30, 30, 17, 831), - Trans(30, 47, 17, 831), - Trans(30, 51, 17, 831), - Trans(31, 5, 17, 831), - Trans(31, 6, 17, 831), - Trans(31, 7, 17, 831), - Trans(31, 8, 17, 831), - Trans(31, 9, 17, 831), - Trans(31, 10, 17, 831), - Trans(31, 11, 17, 831), - Trans(31, 18, 17, 831), - Trans(31, 24, 17, 831), - Trans(31, 25, 17, 831), - Trans(31, 26, 17, 831), - Trans(31, 27, 17, 831), - Trans(31, 38, 17, 831), - Trans(31, 39, 17, 831), - Trans(31, 41, 17, 831), - Trans(31, 53, 17, 831), - Trans(31, 57, 17, 831), - Trans(31, 70, 17, 831), - Trans(31, 76, 17, 831), - Trans(31, 83, 17, 831), - Trans(31, 86, 17, 831), - Trans(31, 88, 17, 831), - Trans(31, 111, 17, 831), - Trans(31, 112, 17, 831), - Trans(32, 5, 17, 831), - Trans(32, 16, 17, 831), - Trans(32, 17, 17, 831), - Trans(32, 18, 17, 831), - Trans(32, 19, 17, 831), - Trans(32, 20, 17, 831), - Trans(32, 21, 17, 831), - Trans(32, 22, 17, 831), - Trans(32, 23, 17, 831), - Trans(32, 24, 17, 831), - Trans(32, 25, 17, 831), - Trans(32, 26, 17, 831), - Trans(32, 29, 17, 831), - Trans(32, 30, 17, 831), - Trans(32, 34, 17, 831), - Trans(32, 40, 17, 831), - Trans(32, 41, 17, 831), - Trans(32, 47, 17, 831), - Trans(32, 51, 17, 831), - Trans(33, 5, 17, 831), - Trans(33, 16, 17, 831), - Trans(33, 17, 17, 831), - Trans(33, 18, 17, 831), - Trans(33, 19, 17, 831), - Trans(33, 20, 17, 831), - Trans(33, 21, 17, 831), - Trans(33, 22, 17, 831), - Trans(33, 23, 17, 831), - Trans(33, 24, 17, 831), - Trans(33, 25, 17, 831), - Trans(33, 26, 17, 831), - Trans(33, 28, 17, 831), - Trans(33, 29, 17, 831), - Trans(33, 30, 17, 831), - Trans(33, 34, 17, 831), - Trans(33, 40, 17, 831), - Trans(33, 41, 17, 831), - Trans(33, 47, 17, 831), - Trans(33, 51, 17, 831), - Trans(34, 30, 17, 831), - Trans(34, 36, 17, 831), - Trans(34, 39, 17, 831), - Trans(34, 43, 17, 831), - Trans(34, 60, 17, 831), - Trans(34, 64, 17, 831), - Trans(34, 65, 17, 831), - Trans(34, 66, 17, 831), - Trans(34, 70, 17, 831), - Trans(34, 71, 17, 831), - Trans(34, 73, 17, 831), - Trans(34, 80, 17, 831), - Trans(34, 81, 17, 831), - Trans(34, 84, 17, 831), - Trans(34, 104, 17, 831), - Trans(34, 106, 17, 831), - Trans(34, 109, 17, 831), - Trans(34, 110, 17, 831), - Trans(35, 5, 17, 831), - Trans(35, 30, 17, 831), - Trans(35, 36, 17, 831), - Trans(35, 39, 17, 831), - Trans(35, 43, 17, 831), - Trans(35, 60, 17, 831), - Trans(35, 64, 17, 831), - Trans(35, 65, 17, 831), - Trans(35, 66, 17, 831), - Trans(35, 70, 17, 831), - Trans(35, 71, 17, 831), - Trans(35, 73, 17, 831), - Trans(35, 80, 17, 831), - Trans(35, 81, 17, 831), - Trans(35, 84, 17, 831), - Trans(35, 104, 17, 831), - Trans(35, 106, 17, 831), - Trans(35, 109, 17, 831), - Trans(35, 110, 17, 831), - Trans(36, 39, 17, 831), - Trans(37, 5, 17, 831), - Trans(37, 43, 17, 831), - Trans(37, 53, 17, 831), - Trans(37, 65, 17, 831), - Trans(37, 69, 17, 831), - Trans(37, 70, 17, 831), - Trans(37, 80, 17, 831), - Trans(37, 99, 17, 831), - Trans(37, 100, 17, 831), - Trans(37, 111, 17, 831), - Trans(37, 112, 17, 831), - Trans(38, 40, 17, 831), - Trans(39, 111, 17, 831), - Trans(39, 112, 17, 831), - Trans(40, 5, 17, 831), - Trans(40, 29, 17, 831), - Trans(40, 46, 17, 831), - Trans(41, 5, 17, 831), - Trans(41, 28, 17, 831), - Trans(41, 29, 17, 831), - Trans(41, 46, 17, 831), - Trans(42, 112, 17, 831), - Trans(43, 5, 17, 831), - Trans(43, 30, 17, 831), - Trans(44, 5, 17, 831), - Trans(44, 79, 17, 831), - Trans(45, 5, 17, 831), - Trans(45, 13, 17, 831), - Trans(45, 28, 17, 831), - Trans(45, 39, 17, 831), - Trans(45, 41, 17, 831), - Trans(46, 5, 17, 831), - Trans(46, 28, 17, 831), - Trans(46, 39, 17, 831), - Trans(47, 5, 17, 831), - Trans(47, 35, 17, 831), + Trans(16, 113, 47, -1), + Trans(18, 0, 17, 851), + Trans(18, 30, 17, 851), + Trans(18, 36, 17, 851), + Trans(18, 39, 17, 851), + Trans(18, 43, 17, 851), + Trans(18, 58, 17, 851), + Trans(18, 59, 17, 851), + Trans(18, 60, 17, 851), + Trans(18, 64, 17, 851), + Trans(18, 65, 17, 851), + Trans(18, 66, 17, 851), + Trans(18, 70, 17, 851), + Trans(18, 71, 17, 851), + Trans(18, 72, 17, 851), + Trans(18, 73, 17, 851), + Trans(18, 78, 17, 851), + Trans(18, 80, 17, 851), + Trans(18, 81, 17, 851), + Trans(18, 84, 17, 851), + Trans(18, 85, 17, 851), + Trans(18, 89, 17, 851), + Trans(18, 91, 17, 851), + Trans(18, 104, 17, 851), + Trans(18, 107, 17, 851), + Trans(18, 110, 17, 851), + Trans(18, 111, 17, 851), + Trans(19, 5, 17, 851), + Trans(19, 113, 17, 851), + Trans(20, 5, 17, 851), + Trans(20, 40, 17, 851), + Trans(21, 5, 17, 851), + Trans(21, 30, 17, 851), + Trans(21, 36, 17, 851), + Trans(21, 39, 17, 851), + Trans(21, 43, 17, 851), + Trans(21, 59, 17, 851), + Trans(21, 60, 17, 851), + Trans(21, 64, 17, 851), + Trans(21, 65, 17, 851), + Trans(21, 66, 17, 851), + Trans(21, 70, 17, 851), + Trans(21, 71, 17, 851), + Trans(21, 72, 17, 851), + Trans(21, 73, 17, 851), + Trans(21, 78, 17, 851), + Trans(21, 80, 17, 851), + Trans(21, 81, 17, 851), + Trans(21, 84, 17, 851), + Trans(21, 85, 17, 851), + Trans(21, 89, 17, 851), + Trans(21, 91, 17, 851), + Trans(21, 104, 17, 851), + Trans(21, 107, 17, 851), + Trans(21, 110, 17, 851), + Trans(21, 111, 17, 851), + Trans(22, 0, 17, 851), + Trans(22, 5, 17, 851), + Trans(22, 30, 17, 851), + Trans(22, 36, 17, 851), + Trans(22, 39, 17, 851), + Trans(22, 43, 17, 851), + Trans(22, 58, 17, 851), + Trans(22, 59, 17, 851), + Trans(22, 60, 17, 851), + Trans(22, 64, 17, 851), + Trans(22, 65, 17, 851), + Trans(22, 66, 17, 851), + Trans(22, 70, 17, 851), + Trans(22, 71, 17, 851), + Trans(22, 72, 17, 851), + Trans(22, 73, 17, 851), + Trans(22, 78, 17, 851), + Trans(22, 80, 17, 851), + Trans(22, 81, 17, 851), + Trans(22, 84, 17, 851), + Trans(22, 85, 17, 851), + Trans(22, 89, 17, 851), + Trans(22, 91, 17, 851), + Trans(22, 104, 17, 851), + Trans(22, 107, 17, 851), + Trans(22, 110, 17, 851), + Trans(22, 111, 17, 851), + Trans(23, 5, 17, 851), + Trans(23, 30, 17, 851), + Trans(23, 39, 17, 851), + Trans(23, 70, 17, 851), + Trans(24, 5, 17, 851), + Trans(24, 41, 17, 851), + Trans(25, 5, 17, 851), + Trans(25, 39, 17, 851), + Trans(26, 5, 17, 851), + Trans(26, 6, 17, 851), + Trans(26, 7, 17, 851), + Trans(26, 8, 17, 851), + Trans(26, 9, 17, 851), + Trans(26, 10, 17, 851), + Trans(26, 11, 17, 851), + Trans(26, 18, 17, 851), + Trans(26, 24, 17, 851), + Trans(26, 25, 17, 851), + Trans(26, 26, 17, 851), + Trans(26, 27, 17, 851), + Trans(26, 38, 17, 851), + Trans(26, 39, 17, 851), + Trans(26, 41, 17, 851), + Trans(26, 53, 17, 851), + Trans(26, 70, 17, 851), + Trans(26, 76, 17, 851), + Trans(26, 83, 17, 851), + Trans(26, 86, 17, 851), + Trans(26, 88, 17, 851), + Trans(26, 105, 17, 851), + Trans(26, 112, 17, 851), + Trans(26, 113, 17, 851), + Trans(27, 5, 17, 851), + Trans(27, 112, 17, 851), + Trans(27, 113, 17, 851), + Trans(28, 5, 17, 851), + Trans(28, 78, 17, 851), + Trans(28, 85, 17, 851), + Trans(28, 89, 17, 851), + Trans(29, 6, 17, 851), + Trans(29, 7, 17, 851), + Trans(29, 8, 17, 851), + Trans(29, 9, 17, 851), + Trans(29, 10, 17, 851), + Trans(29, 11, 17, 851), + Trans(29, 18, 17, 851), + Trans(29, 24, 17, 851), + Trans(29, 25, 17, 851), + Trans(29, 26, 17, 851), + Trans(29, 27, 17, 851), + Trans(29, 38, 17, 851), + Trans(29, 39, 17, 851), + Trans(29, 41, 17, 851), + Trans(29, 53, 17, 851), + Trans(29, 70, 17, 851), + Trans(29, 76, 17, 851), + Trans(29, 83, 17, 851), + Trans(29, 86, 17, 851), + Trans(29, 88, 17, 851), + Trans(29, 105, 17, 851), + Trans(29, 112, 17, 851), + Trans(29, 113, 17, 851), + Trans(30, 5, 17, 851), + Trans(30, 16, 17, 851), + Trans(30, 17, 17, 851), + Trans(30, 18, 17, 851), + Trans(30, 19, 17, 851), + Trans(30, 20, 17, 851), + Trans(30, 21, 17, 851), + Trans(30, 22, 17, 851), + Trans(30, 23, 17, 851), + Trans(30, 24, 17, 851), + Trans(30, 25, 17, 851), + Trans(30, 26, 17, 851), + Trans(30, 30, 17, 851), + Trans(30, 47, 17, 851), + Trans(30, 51, 17, 851), + Trans(31, 5, 17, 851), + Trans(31, 6, 17, 851), + Trans(31, 7, 17, 851), + Trans(31, 8, 17, 851), + Trans(31, 9, 17, 851), + Trans(31, 10, 17, 851), + Trans(31, 11, 17, 851), + Trans(31, 18, 17, 851), + Trans(31, 24, 17, 851), + Trans(31, 25, 17, 851), + Trans(31, 26, 17, 851), + Trans(31, 27, 17, 851), + Trans(31, 38, 17, 851), + Trans(31, 39, 17, 851), + Trans(31, 41, 17, 851), + Trans(31, 53, 17, 851), + Trans(31, 57, 17, 851), + Trans(31, 70, 17, 851), + Trans(31, 76, 17, 851), + Trans(31, 83, 17, 851), + Trans(31, 86, 17, 851), + Trans(31, 88, 17, 851), + Trans(31, 105, 17, 851), + Trans(31, 112, 17, 851), + Trans(31, 113, 17, 851), + Trans(32, 5, 17, 851), + Trans(32, 16, 17, 851), + Trans(32, 17, 17, 851), + Trans(32, 18, 17, 851), + Trans(32, 19, 17, 851), + Trans(32, 20, 17, 851), + Trans(32, 21, 17, 851), + Trans(32, 22, 17, 851), + Trans(32, 23, 17, 851), + Trans(32, 24, 17, 851), + Trans(32, 25, 17, 851), + Trans(32, 26, 17, 851), + Trans(32, 29, 17, 851), + Trans(32, 30, 17, 851), + Trans(32, 34, 17, 851), + Trans(32, 40, 17, 851), + Trans(32, 41, 17, 851), + Trans(32, 47, 17, 851), + Trans(32, 51, 17, 851), + Trans(33, 5, 17, 851), + Trans(33, 16, 17, 851), + Trans(33, 17, 17, 851), + Trans(33, 18, 17, 851), + Trans(33, 19, 17, 851), + Trans(33, 20, 17, 851), + Trans(33, 21, 17, 851), + Trans(33, 22, 17, 851), + Trans(33, 23, 17, 851), + Trans(33, 24, 17, 851), + Trans(33, 25, 17, 851), + Trans(33, 26, 17, 851), + Trans(33, 28, 17, 851), + Trans(33, 29, 17, 851), + Trans(33, 30, 17, 851), + Trans(33, 34, 17, 851), + Trans(33, 40, 17, 851), + Trans(33, 41, 17, 851), + Trans(33, 47, 17, 851), + Trans(33, 51, 17, 851), + Trans(34, 30, 17, 851), + Trans(34, 36, 17, 851), + Trans(34, 39, 17, 851), + Trans(34, 43, 17, 851), + Trans(34, 60, 17, 851), + Trans(34, 64, 17, 851), + Trans(34, 65, 17, 851), + Trans(34, 66, 17, 851), + Trans(34, 70, 17, 851), + Trans(34, 71, 17, 851), + Trans(34, 73, 17, 851), + Trans(34, 80, 17, 851), + Trans(34, 81, 17, 851), + Trans(34, 84, 17, 851), + Trans(34, 104, 17, 851), + Trans(34, 107, 17, 851), + Trans(34, 110, 17, 851), + Trans(34, 111, 17, 851), + Trans(35, 5, 17, 851), + Trans(35, 30, 17, 851), + Trans(35, 36, 17, 851), + Trans(35, 39, 17, 851), + Trans(35, 43, 17, 851), + Trans(35, 60, 17, 851), + Trans(35, 64, 17, 851), + Trans(35, 65, 17, 851), + Trans(35, 66, 17, 851), + Trans(35, 70, 17, 851), + Trans(35, 71, 17, 851), + Trans(35, 73, 17, 851), + Trans(35, 80, 17, 851), + Trans(35, 81, 17, 851), + Trans(35, 84, 17, 851), + Trans(35, 104, 17, 851), + Trans(35, 107, 17, 851), + Trans(35, 110, 17, 851), + Trans(35, 111, 17, 851), + Trans(36, 39, 17, 851), + Trans(37, 5, 17, 851), + Trans(37, 43, 17, 851), + Trans(37, 53, 17, 851), + Trans(37, 65, 17, 851), + Trans(37, 69, 17, 851), + Trans(37, 70, 17, 851), + Trans(37, 80, 17, 851), + Trans(37, 99, 17, 851), + Trans(37, 100, 17, 851), + Trans(37, 105, 17, 851), + Trans(37, 112, 17, 851), + Trans(37, 113, 17, 851), + Trans(38, 40, 17, 851), + Trans(39, 112, 17, 851), + Trans(39, 113, 17, 851), + Trans(40, 5, 17, 851), + Trans(40, 29, 17, 851), + Trans(40, 46, 17, 851), + Trans(41, 5, 17, 851), + Trans(41, 28, 17, 851), + Trans(41, 29, 17, 851), + Trans(41, 46, 17, 851), + Trans(42, 113, 17, 851), + Trans(43, 5, 17, 851), + Trans(43, 30, 17, 851), + Trans(44, 5, 17, 851), + Trans(44, 79, 17, 851), + Trans(45, 5, 17, 851), + Trans(45, 13, 17, 851), + Trans(45, 28, 17, 851), + Trans(45, 39, 17, 851), + Trans(45, 41, 17, 851), + Trans(46, 5, 17, 851), + Trans(46, 28, 17, 851), + Trans(46, 39, 17, 851), + Trans(47, 5, 17, 851), + Trans(47, 35, 17, 851), ], k: 3, }, - /* 338 - "InterfaceIfDeclarationOpt" */ + /* 337 - "InterfaceIfDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 833), - Trans(0, 36, 2, 833), - Trans(0, 39, 2, 833), - Trans(0, 43, 2, 833), - Trans(0, 58, 1, 832), - Trans(0, 60, 2, 833), - Trans(0, 64, 2, 833), - Trans(0, 65, 2, 833), - Trans(0, 66, 2, 833), - Trans(0, 70, 2, 833), - Trans(0, 71, 2, 833), - Trans(0, 73, 2, 833), - Trans(0, 80, 2, 833), - Trans(0, 81, 2, 833), - Trans(0, 84, 2, 833), - Trans(0, 104, 2, 833), - Trans(0, 106, 2, 833), - Trans(0, 109, 2, 833), - Trans(0, 110, 2, 833), + Trans(0, 30, 2, 853), + Trans(0, 36, 2, 853), + Trans(0, 39, 2, 853), + Trans(0, 43, 2, 853), + Trans(0, 58, 1, 852), + Trans(0, 60, 2, 853), + Trans(0, 64, 2, 853), + Trans(0, 65, 2, 853), + Trans(0, 66, 2, 853), + Trans(0, 70, 2, 853), + Trans(0, 71, 2, 853), + Trans(0, 73, 2, 853), + Trans(0, 80, 2, 853), + Trans(0, 81, 2, 853), + Trans(0, 84, 2, 853), + Trans(0, 104, 2, 853), + Trans(0, 107, 2, 853), + Trans(0, 110, 2, 853), + Trans(0, 111, 2, 853), ], k: 1, }, - /* 339 - "InterfaceItem" */ + /* 338 - "InterfaceItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 10, 861), - Trans(0, 60, 8, 859), - Trans(0, 64, 14, 865), - Trans(0, 65, 6, 857), - Trans(0, 66, 11, 862), - Trans(0, 70, 5, 856), - Trans(0, 71, 12, 863), - Trans(0, 73, 13, 864), - Trans(0, 80, 1, 852), - Trans(0, 81, 3, 854), - Trans(0, 84, 4, 855), - Trans(0, 104, 9, 860), - Trans(0, 106, 7, 858), - Trans(0, 109, 9, 860), - Trans(0, 110, 2, 853), + Trans(0, 30, 10, 881), + Trans(0, 60, 8, 879), + Trans(0, 64, 14, 885), + Trans(0, 65, 6, 877), + Trans(0, 66, 11, 882), + Trans(0, 70, 5, 876), + Trans(0, 71, 12, 883), + Trans(0, 73, 13, 884), + Trans(0, 80, 1, 872), + Trans(0, 81, 3, 874), + Trans(0, 84, 4, 875), + Trans(0, 104, 9, 880), + Trans(0, 107, 7, 878), + Trans(0, 110, 9, 880), + Trans(0, 111, 2, 873), ], k: 1, }, - /* 340 - "InterfaceNamedBlock" */ + /* 339 - "InterfaceNamedBlock" */ LookaheadDFA { - prod0: 837, + prod0: 857, transitions: &[], k: 0, }, - /* 341 - "InterfaceNamedBlockList" */ + /* 340 - "InterfaceNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 838), - Trans(0, 36, 1, 838), - Trans(0, 39, 1, 838), - Trans(0, 43, 2, 839), - Trans(0, 60, 1, 838), - Trans(0, 64, 1, 838), - Trans(0, 65, 1, 838), - Trans(0, 66, 1, 838), - Trans(0, 70, 1, 838), - Trans(0, 71, 1, 838), - Trans(0, 73, 1, 838), - Trans(0, 80, 1, 838), - Trans(0, 81, 1, 838), - Trans(0, 84, 1, 838), - Trans(0, 104, 1, 838), - Trans(0, 106, 1, 838), - Trans(0, 109, 1, 838), - Trans(0, 110, 1, 838), + Trans(0, 30, 1, 858), + Trans(0, 36, 1, 858), + Trans(0, 39, 1, 858), + Trans(0, 43, 2, 859), + Trans(0, 60, 1, 858), + Trans(0, 64, 1, 858), + Trans(0, 65, 1, 858), + Trans(0, 66, 1, 858), + Trans(0, 70, 1, 858), + Trans(0, 71, 1, 858), + Trans(0, 73, 1, 858), + Trans(0, 80, 1, 858), + Trans(0, 81, 1, 858), + Trans(0, 84, 1, 858), + Trans(0, 104, 1, 858), + Trans(0, 107, 1, 858), + Trans(0, 110, 1, 858), + Trans(0, 111, 1, 858), ], k: 1, }, - /* 342 - "InterfaceOptionalNamedBlock" */ + /* 341 - "InterfaceOptionalNamedBlock" */ LookaheadDFA { - prod0: 840, + prod0: 860, transitions: &[], k: 0, }, - /* 343 - "InterfaceOptionalNamedBlockList" */ + /* 342 - "InterfaceOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 841), - Trans(0, 36, 1, 841), - Trans(0, 39, 1, 841), - Trans(0, 43, 2, 842), - Trans(0, 60, 1, 841), - Trans(0, 64, 1, 841), - Trans(0, 65, 1, 841), - Trans(0, 66, 1, 841), - Trans(0, 70, 1, 841), - Trans(0, 71, 1, 841), - Trans(0, 73, 1, 841), - Trans(0, 80, 1, 841), - Trans(0, 81, 1, 841), - Trans(0, 84, 1, 841), - Trans(0, 104, 1, 841), - Trans(0, 106, 1, 841), - Trans(0, 109, 1, 841), - Trans(0, 110, 1, 841), + Trans(0, 30, 1, 861), + Trans(0, 36, 1, 861), + Trans(0, 39, 1, 861), + Trans(0, 43, 2, 862), + Trans(0, 60, 1, 861), + Trans(0, 64, 1, 861), + Trans(0, 65, 1, 861), + Trans(0, 66, 1, 861), + Trans(0, 70, 1, 861), + Trans(0, 71, 1, 861), + Trans(0, 73, 1, 861), + Trans(0, 80, 1, 861), + Trans(0, 81, 1, 861), + Trans(0, 84, 1, 861), + Trans(0, 104, 1, 861), + Trans(0, 107, 1, 861), + Trans(0, 110, 1, 861), + Trans(0, 111, 1, 861), ], k: 1, }, - /* 344 - "InterfaceOptionalNamedBlockOpt" */ + /* 343 - "InterfaceOptionalNamedBlockOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 843), Trans(0, 39, 2, 844)], + transitions: &[Trans(0, 30, 1, 863), Trans(0, 39, 2, 864)], k: 1, }, - /* 345 - "InterfaceTerm" */ + /* 344 - "InterfaceTerm" */ LookaheadDFA { prod0: 73, transitions: &[], k: 0, }, - /* 346 - "InterfaceToken" */ + /* 345 - "InterfaceToken" */ LookaheadDFA { - prod0: 185, + prod0: 186, transitions: &[], k: 0, }, - /* 347 - "LAngle" */ + /* 346 - "LAngle" */ LookaheadDFA { - prod0: 250, + prod0: 252, transitions: &[], k: 0, }, - /* 348 - "LAngleTerm" */ + /* 347 - "LAngleTerm" */ LookaheadDFA { prod0: 32, transitions: &[], k: 0, }, - /* 349 - "LAngleToken" */ + /* 348 - "LAngleToken" */ LookaheadDFA { - prod0: 142, + prod0: 143, transitions: &[], k: 0, }, - /* 350 - "LBrace" */ + /* 349 - "LBrace" */ LookaheadDFA { - prod0: 251, + prod0: 253, transitions: &[], k: 0, }, - /* 351 - "LBraceTerm" */ + /* 350 - "LBraceTerm" */ LookaheadDFA { prod0: 34, transitions: &[], k: 0, }, - /* 352 - "LBraceToken" */ + /* 351 - "LBraceToken" */ LookaheadDFA { - prod0: 143, + prod0: 144, transitions: &[], k: 0, }, - /* 353 - "LBracket" */ + /* 352 - "LBracket" */ LookaheadDFA { - prod0: 252, + prod0: 254, transitions: &[], k: 0, }, - /* 354 - "LBracketTerm" */ + /* 353 - "LBracketTerm" */ LookaheadDFA { prod0: 35, transitions: &[], k: 0, }, - /* 355 - "LBracketToken" */ + /* 354 - "LBracketToken" */ LookaheadDFA { - prod0: 144, + prod0: 145, transitions: &[], k: 0, }, - /* 356 - "LParen" */ + /* 355 - "LParen" */ LookaheadDFA { - prod0: 253, + prod0: 255, transitions: &[], k: 0, }, - /* 357 - "LParenTerm" */ + /* 356 - "LParenTerm" */ LookaheadDFA { prod0: 36, transitions: &[], k: 0, }, - /* 358 - "LParenToken" */ + /* 357 - "LParenToken" */ LookaheadDFA { - prod0: 145, + prod0: 146, transitions: &[], k: 0, }, - /* 359 - "Let" */ + /* 358 - "Let" */ LookaheadDFA { - prod0: 296, + prod0: 298, transitions: &[], k: 0, }, - /* 360 - "LetDeclaration" */ + /* 359 - "LetDeclaration" */ LookaheadDFA { - prod0: 583, + prod0: 603, transitions: &[], k: 0, }, - /* 361 - "LetStatement" */ + /* 360 - "LetStatement" */ LookaheadDFA { - prod0: 525, + prod0: 531, transitions: &[], k: 0, }, - /* 362 - "LetTerm" */ + /* 361 - "LetTerm" */ LookaheadDFA { prod0: 75, transitions: &[], k: 0, }, - /* 363 - "LetToken" */ + /* 362 - "LetToken" */ LookaheadDFA { - prod0: 187, + prod0: 188, transitions: &[], k: 0, }, - /* 364 - "Local" */ + /* 363 - "Local" */ LookaheadDFA { - prod0: 297, + prod0: 299, transitions: &[], k: 0, }, - /* 365 - "LocalDeclaration" */ + /* 364 - "LocalDeclaration" */ LookaheadDFA { - prod0: 585, + prod0: 605, transitions: &[], k: 0, }, - /* 366 - "LocalDeclarationGroup" */ + /* 365 - "LocalDeclarationGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 586), - Trans(0, 54, 1, 586), - Trans(0, 55, 1, 586), - Trans(0, 56, 1, 586), - Trans(0, 62, 1, 586), - Trans(0, 63, 1, 586), - Trans(0, 67, 1, 586), - Trans(0, 68, 1, 586), - Trans(0, 82, 1, 586), - Trans(0, 94, 1, 586), - Trans(0, 95, 1, 586), - Trans(0, 96, 1, 586), - Trans(0, 97, 1, 586), - Trans(0, 98, 1, 586), - Trans(0, 101, 1, 586), - Trans(0, 103, 1, 586), - Trans(0, 105, 1, 586), - Trans(0, 106, 2, 587), - Trans(0, 107, 1, 586), - Trans(0, 108, 1, 586), - Trans(0, 111, 1, 586), - Trans(0, 112, 1, 586), + Trans(0, 52, 1, 606), + Trans(0, 54, 1, 606), + Trans(0, 55, 1, 606), + Trans(0, 56, 1, 606), + Trans(0, 62, 1, 606), + Trans(0, 63, 1, 606), + Trans(0, 67, 1, 606), + Trans(0, 68, 1, 606), + Trans(0, 82, 1, 606), + Trans(0, 94, 1, 606), + Trans(0, 95, 1, 606), + Trans(0, 96, 1, 606), + Trans(0, 97, 1, 606), + Trans(0, 98, 1, 606), + Trans(0, 101, 1, 606), + Trans(0, 103, 1, 606), + Trans(0, 106, 1, 606), + Trans(0, 107, 2, 607), + Trans(0, 108, 1, 606), + Trans(0, 109, 1, 606), + Trans(0, 112, 1, 606), + Trans(0, 113, 1, 606), ], k: 1, }, - /* 367 - "LocalTerm" */ + /* 366 - "LocalTerm" */ LookaheadDFA { prod0: 76, transitions: &[], k: 0, }, - /* 368 - "LocalToken" */ + /* 367 - "LocalToken" */ LookaheadDFA { - prod0: 188, + prod0: 189, transitions: &[], k: 0, }, - /* 369 - "Logic" */ + /* 368 - "Logic" */ LookaheadDFA { - prod0: 298, + prod0: 300, transitions: &[], k: 0, }, - /* 370 - "LogicTerm" */ + /* 369 - "LogicTerm" */ LookaheadDFA { prod0: 77, transitions: &[], k: 0, }, - /* 371 - "LogicToken" */ + /* 370 - "LogicToken" */ LookaheadDFA { - prod0: 189, + prod0: 190, transitions: &[], k: 0, }, - /* 372 - "Lsb" */ + /* 371 - "Lsb" */ LookaheadDFA { - prod0: 299, + prod0: 301, transitions: &[], k: 0, }, - /* 373 - "LsbTerm" */ + /* 372 - "LsbTerm" */ LookaheadDFA { prod0: 78, transitions: &[], k: 0, }, - /* 374 - "LsbToken" */ + /* 373 - "LsbToken" */ LookaheadDFA { - prod0: 190, + prod0: 191, transitions: &[], k: 0, }, - /* 375 - "MinusColon" */ + /* 374 - "MinusColon" */ LookaheadDFA { - prod0: 254, + prod0: 256, transitions: &[], k: 0, }, - /* 376 - "MinusColonTerm" */ + /* 375 - "MinusColonTerm" */ LookaheadDFA { prod0: 7, transitions: &[], k: 0, }, - /* 377 - "MinusColonToken" */ + /* 376 - "MinusColonToken" */ LookaheadDFA { - prod0: 146, + prod0: 147, transitions: &[], k: 0, }, - /* 378 - "MinusGT" */ + /* 377 - "MinusGT" */ LookaheadDFA { - prod0: 255, + prod0: 257, transitions: &[], k: 0, }, - /* 379 - "MinusGTTerm" */ + /* 378 - "MinusGTTerm" */ LookaheadDFA { prod0: 8, transitions: &[], k: 0, }, - /* 380 - "MinusGTToken" */ + /* 379 - "MinusGTToken" */ LookaheadDFA { - prod0: 147, + prod0: 148, transitions: &[], k: 0, }, - /* 381 - "Modport" */ + /* 380 - "Modport" */ LookaheadDFA { - prod0: 300, + prod0: 302, transitions: &[], k: 0, }, - /* 382 - "ModportDeclaration" */ + /* 381 - "ModportDeclaration" */ LookaheadDFA { - prod0: 603, + prod0: 623, transitions: &[], k: 0, }, - /* 383 - "ModportGroup" */ + /* 382 - "ModportGroup" */ LookaheadDFA { - prod0: 609, + prod0: 629, transitions: &[], k: 0, }, - /* 384 - "ModportGroupGroup" */ + /* 383 - "ModportGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 610), Trans(0, 112, 2, 611)], + transitions: &[Trans(0, 39, 1, 630), Trans(0, 113, 2, 631)], k: 1, }, - /* 385 - "ModportGroupList" */ + /* 384 - "ModportGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 612), - Trans(0, 39, 2, 613), - Trans(0, 112, 2, 613), + Trans(0, 36, 1, 632), + Trans(0, 39, 2, 633), + Trans(0, 113, 2, 633), ], k: 1, }, - /* 386 - "ModportItem" */ + /* 385 - "ModportItem" */ LookaheadDFA { - prod0: 614, + prod0: 634, transitions: &[], k: 0, }, - /* 387 - "ModportList" */ + /* 386 - "ModportList" */ LookaheadDFA { - prod0: 604, + prod0: 624, transitions: &[], k: 0, }, - /* 388 - "ModportListList" */ + /* 387 - "ModportListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -9413,19 +9634,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 36, 2, -1), Trans(1, 39, 4, -1), Trans(1, 43, 18, -1), - Trans(1, 112, 5, -1), - Trans(2, 5, 3, 605), - Trans(2, 40, 3, 605), - Trans(4, 5, 3, 605), - Trans(4, 36, 3, 605), - Trans(4, 39, 3, 605), - Trans(4, 112, 3, 605), - Trans(5, 5, 3, 605), - Trans(5, 30, 3, 605), - Trans(6, 36, 3, 605), - Trans(6, 39, 3, 605), - Trans(6, 43, 17, 606), - Trans(6, 112, 3, 605), + Trans(1, 113, 5, -1), + Trans(2, 5, 3, 625), + Trans(2, 40, 3, 625), + Trans(4, 5, 3, 625), + Trans(4, 36, 3, 625), + Trans(4, 39, 3, 625), + Trans(4, 113, 3, 625), + Trans(5, 5, 3, 625), + Trans(5, 30, 3, 625), + Trans(6, 36, 3, 625), + Trans(6, 39, 3, 625), + Trans(6, 43, 17, 626), + Trans(6, 113, 3, 625), Trans(7, 5, 8, -1), Trans(7, 30, 9, -1), Trans(7, 31, 10, -1), @@ -9443,332 +9664,333 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 81, 9, -1), Trans(7, 84, 9, -1), Trans(7, 104, 9, -1), - Trans(7, 106, 9, -1), - Trans(7, 109, 9, -1), + Trans(7, 107, 9, -1), Trans(7, 110, 9, -1), - Trans(8, 30, 17, 606), - Trans(8, 31, 17, 606), - Trans(8, 36, 17, 606), - Trans(8, 39, 17, 606), - Trans(8, 43, 17, 606), - Trans(8, 60, 17, 606), - Trans(8, 64, 17, 606), - Trans(8, 65, 17, 606), - Trans(8, 66, 17, 606), - Trans(8, 70, 17, 606), - Trans(8, 71, 17, 606), - Trans(8, 73, 17, 606), - Trans(8, 80, 17, 606), - Trans(8, 81, 17, 606), - Trans(8, 84, 17, 606), - Trans(8, 104, 17, 606), - Trans(8, 106, 17, 606), - Trans(8, 109, 17, 606), - Trans(8, 110, 17, 606), - Trans(9, 5, 17, 606), - Trans(9, 112, 17, 606), - Trans(10, 5, 17, 606), - Trans(10, 36, 17, 606), - Trans(10, 39, 17, 606), - Trans(10, 43, 17, 606), - Trans(10, 112, 17, 606), - Trans(11, 5, 17, 606), - Trans(11, 40, 17, 606), - Trans(12, 5, 17, 606), - Trans(12, 30, 17, 606), - Trans(12, 36, 17, 606), - Trans(12, 39, 17, 606), - Trans(12, 43, 17, 606), - Trans(12, 60, 17, 606), - Trans(12, 64, 17, 606), - Trans(12, 65, 17, 606), - Trans(12, 66, 17, 606), - Trans(12, 70, 17, 606), - Trans(12, 71, 17, 606), - Trans(12, 73, 17, 606), - Trans(12, 80, 17, 606), - Trans(12, 81, 17, 606), - Trans(12, 84, 17, 606), - Trans(12, 104, 17, 606), - Trans(12, 106, 17, 606), - Trans(12, 109, 17, 606), - Trans(12, 110, 17, 606), - Trans(13, 0, 17, 606), - Trans(13, 5, 17, 606), - Trans(13, 30, 17, 606), - Trans(13, 31, 17, 606), - Trans(13, 36, 17, 606), - Trans(13, 39, 17, 606), - Trans(13, 43, 17, 606), - Trans(13, 58, 17, 606), - Trans(13, 59, 17, 606), - Trans(13, 60, 17, 606), - Trans(13, 64, 17, 606), - Trans(13, 65, 17, 606), - Trans(13, 66, 17, 606), - Trans(13, 70, 17, 606), - Trans(13, 71, 17, 606), - Trans(13, 72, 17, 606), - Trans(13, 73, 17, 606), - Trans(13, 78, 17, 606), - Trans(13, 80, 17, 606), - Trans(13, 81, 17, 606), - Trans(13, 84, 17, 606), - Trans(13, 85, 17, 606), - Trans(13, 89, 17, 606), - Trans(13, 91, 17, 606), - Trans(13, 104, 17, 606), - Trans(13, 106, 17, 606), - Trans(13, 109, 17, 606), - Trans(13, 110, 17, 606), - Trans(14, 5, 17, 606), - Trans(14, 39, 17, 606), - Trans(15, 5, 17, 606), - Trans(15, 6, 17, 606), - Trans(15, 7, 17, 606), - Trans(15, 8, 17, 606), - Trans(15, 9, 17, 606), - Trans(15, 10, 17, 606), - Trans(15, 11, 17, 606), - Trans(15, 18, 17, 606), - Trans(15, 24, 17, 606), - Trans(15, 25, 17, 606), - Trans(15, 26, 17, 606), - Trans(15, 27, 17, 606), - Trans(15, 38, 17, 606), - Trans(15, 39, 17, 606), - Trans(15, 41, 17, 606), - Trans(15, 53, 17, 606), - Trans(15, 70, 17, 606), - Trans(15, 76, 17, 606), - Trans(15, 83, 17, 606), - Trans(15, 86, 17, 606), - Trans(15, 88, 17, 606), - Trans(15, 111, 17, 606), - Trans(15, 112, 17, 606), - Trans(16, 5, 17, 606), - Trans(16, 111, 17, 606), - Trans(16, 112, 17, 606), - Trans(18, 5, 17, 606), - Trans(18, 30, 17, 606), - Trans(18, 31, 17, 606), - Trans(18, 36, 17, 606), - Trans(18, 39, 17, 606), - Trans(18, 43, 17, 606), - Trans(18, 60, 17, 606), - Trans(18, 64, 17, 606), - Trans(18, 65, 17, 606), - Trans(18, 66, 17, 606), - Trans(18, 70, 17, 606), - Trans(18, 71, 17, 606), - Trans(18, 73, 17, 606), - Trans(18, 80, 17, 606), - Trans(18, 81, 17, 606), - Trans(18, 84, 17, 606), - Trans(18, 104, 17, 606), - Trans(18, 106, 17, 606), - Trans(18, 109, 17, 606), - Trans(18, 110, 17, 606), + Trans(7, 111, 9, -1), + Trans(8, 30, 17, 626), + Trans(8, 31, 17, 626), + Trans(8, 36, 17, 626), + Trans(8, 39, 17, 626), + Trans(8, 43, 17, 626), + Trans(8, 60, 17, 626), + Trans(8, 64, 17, 626), + Trans(8, 65, 17, 626), + Trans(8, 66, 17, 626), + Trans(8, 70, 17, 626), + Trans(8, 71, 17, 626), + Trans(8, 73, 17, 626), + Trans(8, 80, 17, 626), + Trans(8, 81, 17, 626), + Trans(8, 84, 17, 626), + Trans(8, 104, 17, 626), + Trans(8, 107, 17, 626), + Trans(8, 110, 17, 626), + Trans(8, 111, 17, 626), + Trans(9, 5, 17, 626), + Trans(9, 113, 17, 626), + Trans(10, 5, 17, 626), + Trans(10, 36, 17, 626), + Trans(10, 39, 17, 626), + Trans(10, 43, 17, 626), + Trans(10, 113, 17, 626), + Trans(11, 5, 17, 626), + Trans(11, 40, 17, 626), + Trans(12, 5, 17, 626), + Trans(12, 30, 17, 626), + Trans(12, 36, 17, 626), + Trans(12, 39, 17, 626), + Trans(12, 43, 17, 626), + Trans(12, 60, 17, 626), + Trans(12, 64, 17, 626), + Trans(12, 65, 17, 626), + Trans(12, 66, 17, 626), + Trans(12, 70, 17, 626), + Trans(12, 71, 17, 626), + Trans(12, 73, 17, 626), + Trans(12, 80, 17, 626), + Trans(12, 81, 17, 626), + Trans(12, 84, 17, 626), + Trans(12, 104, 17, 626), + Trans(12, 107, 17, 626), + Trans(12, 110, 17, 626), + Trans(12, 111, 17, 626), + Trans(13, 0, 17, 626), + Trans(13, 5, 17, 626), + Trans(13, 30, 17, 626), + Trans(13, 31, 17, 626), + Trans(13, 36, 17, 626), + Trans(13, 39, 17, 626), + Trans(13, 43, 17, 626), + Trans(13, 58, 17, 626), + Trans(13, 59, 17, 626), + Trans(13, 60, 17, 626), + Trans(13, 64, 17, 626), + Trans(13, 65, 17, 626), + Trans(13, 66, 17, 626), + Trans(13, 70, 17, 626), + Trans(13, 71, 17, 626), + Trans(13, 72, 17, 626), + Trans(13, 73, 17, 626), + Trans(13, 78, 17, 626), + Trans(13, 80, 17, 626), + Trans(13, 81, 17, 626), + Trans(13, 84, 17, 626), + Trans(13, 85, 17, 626), + Trans(13, 89, 17, 626), + Trans(13, 91, 17, 626), + Trans(13, 104, 17, 626), + Trans(13, 107, 17, 626), + Trans(13, 110, 17, 626), + Trans(13, 111, 17, 626), + Trans(14, 5, 17, 626), + Trans(14, 39, 17, 626), + Trans(15, 5, 17, 626), + Trans(15, 6, 17, 626), + Trans(15, 7, 17, 626), + Trans(15, 8, 17, 626), + Trans(15, 9, 17, 626), + Trans(15, 10, 17, 626), + Trans(15, 11, 17, 626), + Trans(15, 18, 17, 626), + Trans(15, 24, 17, 626), + Trans(15, 25, 17, 626), + Trans(15, 26, 17, 626), + Trans(15, 27, 17, 626), + Trans(15, 38, 17, 626), + Trans(15, 39, 17, 626), + Trans(15, 41, 17, 626), + Trans(15, 53, 17, 626), + Trans(15, 70, 17, 626), + Trans(15, 76, 17, 626), + Trans(15, 83, 17, 626), + Trans(15, 86, 17, 626), + Trans(15, 88, 17, 626), + Trans(15, 105, 17, 626), + Trans(15, 112, 17, 626), + Trans(15, 113, 17, 626), + Trans(16, 5, 17, 626), + Trans(16, 112, 17, 626), + Trans(16, 113, 17, 626), + Trans(18, 5, 17, 626), + Trans(18, 30, 17, 626), + Trans(18, 31, 17, 626), + Trans(18, 36, 17, 626), + Trans(18, 39, 17, 626), + Trans(18, 43, 17, 626), + Trans(18, 60, 17, 626), + Trans(18, 64, 17, 626), + Trans(18, 65, 17, 626), + Trans(18, 66, 17, 626), + Trans(18, 70, 17, 626), + Trans(18, 71, 17, 626), + Trans(18, 73, 17, 626), + Trans(18, 80, 17, 626), + Trans(18, 81, 17, 626), + Trans(18, 84, 17, 626), + Trans(18, 104, 17, 626), + Trans(18, 107, 17, 626), + Trans(18, 110, 17, 626), + Trans(18, 111, 17, 626), ], k: 3, }, - /* 389 - "ModportListOpt" */ + /* 388 - "ModportListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 607), Trans(0, 43, 2, 608)], + transitions: &[Trans(0, 31, 1, 627), Trans(0, 43, 2, 628)], k: 1, }, - /* 390 - "ModportTerm" */ + /* 389 - "ModportTerm" */ LookaheadDFA { prod0: 79, transitions: &[], k: 0, }, - /* 391 - "ModportToken" */ + /* 390 - "ModportToken" */ LookaheadDFA { - prod0: 191, + prod0: 192, transitions: &[], k: 0, }, - /* 392 - "Module" */ + /* 391 - "Module" */ LookaheadDFA { - prod0: 301, + prod0: 303, transitions: &[], k: 0, }, - /* 393 - "ModuleDeclaration" */ + /* 392 - "ModuleDeclaration" */ LookaheadDFA { - prod0: 769, + prod0: 789, transitions: &[], k: 0, }, - /* 394 - "ModuleDeclarationList" */ + /* 393 - "ModuleDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 770), - Trans(0, 36, 1, 770), - Trans(0, 39, 1, 770), - Trans(0, 43, 2, 771), - Trans(0, 48, 1, 770), - Trans(0, 49, 1, 770), - Trans(0, 50, 1, 770), - Trans(0, 60, 1, 770), - Trans(0, 64, 1, 770), - Trans(0, 65, 1, 770), - Trans(0, 66, 1, 770), - Trans(0, 70, 1, 770), - Trans(0, 71, 1, 770), - Trans(0, 73, 1, 770), - Trans(0, 77, 1, 770), - Trans(0, 80, 1, 770), - Trans(0, 81, 1, 770), - Trans(0, 104, 1, 770), - Trans(0, 106, 1, 770), - Trans(0, 109, 1, 770), - Trans(0, 110, 1, 770), + Trans(0, 30, 1, 790), + Trans(0, 36, 1, 790), + Trans(0, 39, 1, 790), + Trans(0, 43, 2, 791), + Trans(0, 48, 1, 790), + Trans(0, 49, 1, 790), + Trans(0, 50, 1, 790), + Trans(0, 60, 1, 790), + Trans(0, 64, 1, 790), + Trans(0, 65, 1, 790), + Trans(0, 66, 1, 790), + Trans(0, 70, 1, 790), + Trans(0, 71, 1, 790), + Trans(0, 73, 1, 790), + Trans(0, 77, 1, 790), + Trans(0, 80, 1, 790), + Trans(0, 81, 1, 790), + Trans(0, 104, 1, 790), + Trans(0, 107, 1, 790), + Trans(0, 110, 1, 790), + Trans(0, 111, 1, 790), ], k: 1, }, - /* 395 - "ModuleDeclarationOpt" */ + /* 394 - "ModuleDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 85, 2, 779), Trans(0, 91, 1, 778)], + transitions: &[Trans(0, 85, 2, 799), Trans(0, 91, 1, 798)], k: 1, }, - /* 396 - "ModuleDeclarationOpt0" */ + /* 395 - "ModuleDeclarationOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 28, 1, 776), - Trans(0, 36, 2, 777), - Trans(0, 39, 2, 777), - Trans(0, 41, 2, 777), + Trans(0, 28, 1, 796), + Trans(0, 36, 2, 797), + Trans(0, 39, 2, 797), + Trans(0, 41, 2, 797), ], k: 1, }, - /* 397 - "ModuleDeclarationOpt1" */ + /* 396 - "ModuleDeclarationOpt1" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 774), - Trans(0, 39, 2, 775), - Trans(0, 41, 2, 775), + Trans(0, 36, 1, 794), + Trans(0, 39, 2, 795), + Trans(0, 41, 2, 795), ], k: 1, }, - /* 398 - "ModuleDeclarationOpt2" */ + /* 397 - "ModuleDeclarationOpt2" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 2, 773), Trans(0, 41, 1, 772)], + transitions: &[Trans(0, 39, 2, 793), Trans(0, 41, 1, 792)], k: 1, }, - /* 399 - "ModuleForDeclaration" */ + /* 398 - "ModuleForDeclaration" */ LookaheadDFA { - prod0: 785, + prod0: 805, transitions: &[], k: 0, }, - /* 400 - "ModuleForDeclarationOpt" */ + /* 399 - "ModuleForDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 2, 787), Trans(0, 102, 1, 786)], + transitions: &[Trans(0, 30, 2, 807), Trans(0, 102, 1, 806)], k: 1, }, - /* 401 - "ModuleGroup" */ + /* 400 - "ModuleGroup" */ LookaheadDFA { - prod0: 796, + prod0: 816, transitions: &[], k: 0, }, - /* 402 - "ModuleGroupGroup" */ + /* 401 - "ModuleGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 800), - Trans(0, 39, 1, 797), - Trans(0, 48, 2, 800), - Trans(0, 49, 2, 800), - Trans(0, 50, 2, 800), - Trans(0, 60, 2, 800), - Trans(0, 64, 2, 800), - Trans(0, 65, 2, 800), - Trans(0, 66, 2, 800), - Trans(0, 70, 2, 800), - Trans(0, 71, 2, 800), - Trans(0, 73, 2, 800), - Trans(0, 77, 2, 800), - Trans(0, 80, 2, 800), - Trans(0, 81, 2, 800), - Trans(0, 104, 2, 800), - Trans(0, 106, 2, 800), - Trans(0, 109, 2, 800), - Trans(0, 110, 2, 800), + Trans(0, 30, 2, 820), + Trans(0, 39, 1, 817), + Trans(0, 48, 2, 820), + Trans(0, 49, 2, 820), + Trans(0, 50, 2, 820), + Trans(0, 60, 2, 820), + Trans(0, 64, 2, 820), + Trans(0, 65, 2, 820), + Trans(0, 66, 2, 820), + Trans(0, 70, 2, 820), + Trans(0, 71, 2, 820), + Trans(0, 73, 2, 820), + Trans(0, 77, 2, 820), + Trans(0, 80, 2, 820), + Trans(0, 81, 2, 820), + Trans(0, 104, 2, 820), + Trans(0, 107, 2, 820), + Trans(0, 110, 2, 820), + Trans(0, 111, 2, 820), ], k: 1, }, - /* 403 - "ModuleGroupGroupList" */ + /* 402 - "ModuleGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 798), - Trans(0, 36, 1, 798), - Trans(0, 39, 1, 798), - Trans(0, 43, 2, 799), - Trans(0, 48, 1, 798), - Trans(0, 49, 1, 798), - Trans(0, 50, 1, 798), - Trans(0, 60, 1, 798), - Trans(0, 64, 1, 798), - Trans(0, 65, 1, 798), - Trans(0, 66, 1, 798), - Trans(0, 70, 1, 798), - Trans(0, 71, 1, 798), - Trans(0, 73, 1, 798), - Trans(0, 77, 1, 798), - Trans(0, 80, 1, 798), - Trans(0, 81, 1, 798), - Trans(0, 104, 1, 798), - Trans(0, 106, 1, 798), - Trans(0, 109, 1, 798), - Trans(0, 110, 1, 798), + Trans(0, 30, 1, 818), + Trans(0, 36, 1, 818), + Trans(0, 39, 1, 818), + Trans(0, 43, 2, 819), + Trans(0, 48, 1, 818), + Trans(0, 49, 1, 818), + Trans(0, 50, 1, 818), + Trans(0, 60, 1, 818), + Trans(0, 64, 1, 818), + Trans(0, 65, 1, 818), + Trans(0, 66, 1, 818), + Trans(0, 70, 1, 818), + Trans(0, 71, 1, 818), + Trans(0, 73, 1, 818), + Trans(0, 77, 1, 818), + Trans(0, 80, 1, 818), + Trans(0, 81, 1, 818), + Trans(0, 104, 1, 818), + Trans(0, 107, 1, 818), + Trans(0, 110, 1, 818), + Trans(0, 111, 1, 818), ], k: 1, }, - /* 404 - "ModuleGroupList" */ + /* 403 - "ModuleGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 802), - Trans(0, 36, 1, 801), - Trans(0, 39, 2, 802), - Trans(0, 48, 2, 802), - Trans(0, 49, 2, 802), - Trans(0, 50, 2, 802), - Trans(0, 60, 2, 802), - Trans(0, 64, 2, 802), - Trans(0, 65, 2, 802), - Trans(0, 66, 2, 802), - Trans(0, 70, 2, 802), - Trans(0, 71, 2, 802), - Trans(0, 73, 2, 802), - Trans(0, 77, 2, 802), - Trans(0, 80, 2, 802), - Trans(0, 81, 2, 802), - Trans(0, 104, 2, 802), - Trans(0, 106, 2, 802), - Trans(0, 109, 2, 802), - Trans(0, 110, 2, 802), + Trans(0, 30, 2, 822), + Trans(0, 36, 1, 821), + Trans(0, 39, 2, 822), + Trans(0, 48, 2, 822), + Trans(0, 49, 2, 822), + Trans(0, 50, 2, 822), + Trans(0, 60, 2, 822), + Trans(0, 64, 2, 822), + Trans(0, 65, 2, 822), + Trans(0, 66, 2, 822), + Trans(0, 70, 2, 822), + Trans(0, 71, 2, 822), + Trans(0, 73, 2, 822), + Trans(0, 77, 2, 822), + Trans(0, 80, 2, 822), + Trans(0, 81, 2, 822), + Trans(0, 104, 2, 822), + Trans(0, 107, 2, 822), + Trans(0, 110, 2, 822), + Trans(0, 111, 2, 822), ], k: 1, }, - /* 405 - "ModuleIfDeclaration" */ + /* 404 - "ModuleIfDeclaration" */ LookaheadDFA { - prod0: 780, + prod0: 800, transitions: &[], k: 0, }, - /* 406 - "ModuleIfDeclarationList" */ + /* 405 - "ModuleIfDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -9791,41 +10013,42 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 80, 12, -1), Trans(0, 81, 12, -1), Trans(0, 104, 17, -1), - Trans(0, 106, 18, -1), - Trans(0, 109, 17, -1), - Trans(0, 110, 12, -1), + Trans(0, 107, 18, -1), + Trans(0, 110, 17, -1), + Trans(0, 111, 12, -1), Trans(1, 5, 4, -1), Trans(1, 30, 21, -1), Trans(1, 39, 38, -1), Trans(1, 70, 2, -1), - Trans(2, 5, 3, 781), - Trans(2, 6, 3, 781), - Trans(2, 7, 3, 781), - Trans(2, 8, 3, 781), - Trans(2, 9, 3, 781), - Trans(2, 10, 3, 781), - Trans(2, 11, 3, 781), - Trans(2, 18, 3, 781), - Trans(2, 24, 3, 781), - Trans(2, 25, 3, 781), - Trans(2, 26, 3, 781), - Trans(2, 27, 3, 781), - Trans(2, 38, 3, 781), - Trans(2, 39, 3, 781), - Trans(2, 41, 3, 781), - Trans(2, 53, 3, 781), - Trans(2, 70, 3, 781), - Trans(2, 76, 3, 781), - Trans(2, 83, 3, 781), - Trans(2, 86, 3, 781), - Trans(2, 88, 3, 781), - Trans(2, 111, 3, 781), - Trans(2, 112, 3, 781), - Trans(4, 30, 19, 782), - Trans(4, 39, 19, 782), - Trans(4, 70, 3, 781), + Trans(2, 5, 3, 801), + Trans(2, 6, 3, 801), + Trans(2, 7, 3, 801), + Trans(2, 8, 3, 801), + Trans(2, 9, 3, 801), + Trans(2, 10, 3, 801), + Trans(2, 11, 3, 801), + Trans(2, 18, 3, 801), + Trans(2, 24, 3, 801), + Trans(2, 25, 3, 801), + Trans(2, 26, 3, 801), + Trans(2, 27, 3, 801), + Trans(2, 38, 3, 801), + Trans(2, 39, 3, 801), + Trans(2, 41, 3, 801), + Trans(2, 53, 3, 801), + Trans(2, 70, 3, 801), + Trans(2, 76, 3, 801), + Trans(2, 83, 3, 801), + Trans(2, 86, 3, 801), + Trans(2, 88, 3, 801), + Trans(2, 105, 3, 801), + Trans(2, 112, 3, 801), + Trans(2, 113, 3, 801), + Trans(4, 30, 19, 802), + Trans(4, 39, 19, 802), + Trans(4, 70, 3, 801), Trans(5, 5, 46, -1), - Trans(5, 112, 25, -1), + Trans(5, 113, 25, -1), Trans(6, 5, 42, -1), Trans(6, 40, 21, -1), Trans(7, 5, 37, -1), @@ -9847,10 +10070,10 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 80, 21, -1), Trans(7, 81, 21, -1), Trans(7, 104, 21, -1), - Trans(7, 106, 21, -1), - Trans(7, 109, 21, -1), + Trans(7, 107, 21, -1), Trans(7, 110, 21, -1), - Trans(8, 0, 19, 782), + Trans(7, 111, 21, -1), + Trans(8, 0, 19, 802), Trans(8, 5, 20, -1), Trans(8, 30, 21, -1), Trans(8, 36, 22, -1), @@ -9877,22 +10100,22 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 89, 21, -1), Trans(8, 91, 31, -1), Trans(8, 104, 21, -1), - Trans(8, 106, 21, -1), - Trans(8, 109, 21, -1), + Trans(8, 107, 21, -1), Trans(8, 110, 21, -1), + Trans(8, 111, 21, -1), Trans(9, 5, 39, -1), Trans(9, 39, 40, -1), Trans(10, 5, 41, -1), Trans(10, 39, 40, -1), Trans(10, 41, 21, -1), Trans(11, 5, 46, -1), - Trans(11, 112, 47, -1), + Trans(11, 113, 47, -1), Trans(12, 5, 46, -1), - Trans(12, 112, 48, -1), + Trans(12, 113, 48, -1), Trans(13, 5, 46, -1), - Trans(13, 112, 49, -1), + Trans(13, 113, 49, -1), Trans(14, 5, 46, -1), - Trans(14, 112, 50, -1), + Trans(14, 113, 50, -1), Trans(15, 5, 32, -1), Trans(15, 6, 33, -1), Trans(15, 7, 33, -1), @@ -9914,989 +10137,994 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(15, 83, 33, -1), Trans(15, 86, 33, -1), Trans(15, 88, 29, -1), - Trans(15, 111, 35, -1), - Trans(15, 112, 36, -1), + Trans(15, 105, 25, -1), + Trans(15, 112, 35, -1), + Trans(15, 113, 36, -1), Trans(16, 5, 43, -1), - Trans(16, 111, 44, -1), - Trans(16, 112, 45, -1), + Trans(16, 112, 44, -1), + Trans(16, 113, 45, -1), Trans(17, 5, 46, -1), - Trans(17, 112, 51, -1), + Trans(17, 113, 51, -1), Trans(18, 5, 46, -1), - Trans(18, 112, 52, -1), - Trans(20, 0, 19, 782), - Trans(20, 30, 19, 782), - Trans(20, 36, 19, 782), - Trans(20, 39, 19, 782), - Trans(20, 43, 19, 782), - Trans(20, 48, 19, 782), - Trans(20, 49, 19, 782), - Trans(20, 50, 19, 782), - Trans(20, 58, 19, 782), - Trans(20, 59, 19, 782), - Trans(20, 60, 19, 782), - Trans(20, 64, 19, 782), - Trans(20, 65, 19, 782), - Trans(20, 66, 19, 782), - Trans(20, 70, 19, 782), - Trans(20, 71, 19, 782), - Trans(20, 72, 19, 782), - Trans(20, 73, 19, 782), - Trans(20, 77, 19, 782), - Trans(20, 78, 19, 782), - Trans(20, 80, 19, 782), - Trans(20, 81, 19, 782), - Trans(20, 85, 19, 782), - Trans(20, 89, 19, 782), - Trans(20, 91, 19, 782), - Trans(20, 104, 19, 782), - Trans(20, 106, 19, 782), - Trans(20, 109, 19, 782), - Trans(20, 110, 19, 782), - Trans(21, 5, 19, 782), - Trans(21, 112, 19, 782), - Trans(22, 5, 19, 782), - Trans(22, 40, 19, 782), - Trans(23, 5, 19, 782), - Trans(23, 30, 19, 782), - Trans(23, 36, 19, 782), - Trans(23, 39, 19, 782), - Trans(23, 43, 19, 782), - Trans(23, 48, 19, 782), - Trans(23, 49, 19, 782), - Trans(23, 50, 19, 782), - Trans(23, 59, 19, 782), - Trans(23, 60, 19, 782), - Trans(23, 64, 19, 782), - Trans(23, 65, 19, 782), - Trans(23, 66, 19, 782), - Trans(23, 70, 19, 782), - Trans(23, 71, 19, 782), - Trans(23, 72, 19, 782), - Trans(23, 73, 19, 782), - Trans(23, 77, 19, 782), - Trans(23, 78, 19, 782), - Trans(23, 80, 19, 782), - Trans(23, 81, 19, 782), - Trans(23, 85, 19, 782), - Trans(23, 89, 19, 782), - Trans(23, 91, 19, 782), - Trans(23, 104, 19, 782), - Trans(23, 106, 19, 782), - Trans(23, 109, 19, 782), - Trans(23, 110, 19, 782), - Trans(24, 0, 19, 782), - Trans(24, 5, 19, 782), - Trans(24, 30, 19, 782), - Trans(24, 36, 19, 782), - Trans(24, 39, 19, 782), - Trans(24, 43, 19, 782), - Trans(24, 48, 19, 782), - Trans(24, 49, 19, 782), - Trans(24, 50, 19, 782), - Trans(24, 58, 19, 782), - Trans(24, 59, 19, 782), - Trans(24, 60, 19, 782), - Trans(24, 64, 19, 782), - Trans(24, 65, 19, 782), - Trans(24, 66, 19, 782), - Trans(24, 70, 19, 782), - Trans(24, 71, 19, 782), - Trans(24, 72, 19, 782), - Trans(24, 73, 19, 782), - Trans(24, 77, 19, 782), - Trans(24, 78, 19, 782), - Trans(24, 80, 19, 782), - Trans(24, 81, 19, 782), - Trans(24, 85, 19, 782), - Trans(24, 89, 19, 782), - Trans(24, 91, 19, 782), - Trans(24, 104, 19, 782), - Trans(24, 106, 19, 782), - Trans(24, 109, 19, 782), - Trans(24, 110, 19, 782), - Trans(25, 5, 19, 782), - Trans(25, 39, 19, 782), - Trans(26, 5, 19, 782), - Trans(26, 39, 19, 782), - Trans(26, 41, 19, 782), - Trans(27, 5, 19, 782), - Trans(27, 30, 19, 782), - Trans(27, 39, 19, 782), - Trans(27, 70, 19, 782), - Trans(28, 5, 19, 782), - Trans(28, 41, 19, 782), - Trans(29, 5, 19, 782), - Trans(29, 6, 19, 782), - Trans(29, 7, 19, 782), - Trans(29, 8, 19, 782), - Trans(29, 9, 19, 782), - Trans(29, 10, 19, 782), - Trans(29, 11, 19, 782), - Trans(29, 18, 19, 782), - Trans(29, 24, 19, 782), - Trans(29, 25, 19, 782), - Trans(29, 26, 19, 782), - Trans(29, 27, 19, 782), - Trans(29, 38, 19, 782), - Trans(29, 39, 19, 782), - Trans(29, 41, 19, 782), - Trans(29, 53, 19, 782), - Trans(29, 70, 19, 782), - Trans(29, 76, 19, 782), - Trans(29, 83, 19, 782), - Trans(29, 86, 19, 782), - Trans(29, 88, 19, 782), - Trans(29, 111, 19, 782), - Trans(29, 112, 19, 782), - Trans(30, 5, 19, 782), - Trans(30, 111, 19, 782), - Trans(30, 112, 19, 782), - Trans(31, 5, 19, 782), - Trans(31, 78, 19, 782), - Trans(31, 85, 19, 782), - Trans(31, 89, 19, 782), - Trans(32, 6, 19, 782), - Trans(32, 7, 19, 782), - Trans(32, 8, 19, 782), - Trans(32, 9, 19, 782), - Trans(32, 10, 19, 782), - Trans(32, 11, 19, 782), - Trans(32, 18, 19, 782), - Trans(32, 24, 19, 782), - Trans(32, 25, 19, 782), - Trans(32, 26, 19, 782), - Trans(32, 27, 19, 782), - Trans(32, 38, 19, 782), - Trans(32, 39, 19, 782), - Trans(32, 41, 19, 782), - Trans(32, 53, 19, 782), - Trans(32, 70, 19, 782), - Trans(32, 76, 19, 782), - Trans(32, 83, 19, 782), - Trans(32, 86, 19, 782), - Trans(32, 88, 19, 782), - Trans(32, 111, 19, 782), - Trans(32, 112, 19, 782), - Trans(33, 5, 19, 782), - Trans(33, 16, 19, 782), - Trans(33, 17, 19, 782), - Trans(33, 18, 19, 782), - Trans(33, 19, 19, 782), - Trans(33, 20, 19, 782), - Trans(33, 21, 19, 782), - Trans(33, 22, 19, 782), - Trans(33, 23, 19, 782), - Trans(33, 24, 19, 782), - Trans(33, 25, 19, 782), - Trans(33, 26, 19, 782), - Trans(33, 30, 19, 782), - Trans(33, 47, 19, 782), - Trans(33, 51, 19, 782), - Trans(34, 5, 19, 782), - Trans(34, 6, 19, 782), - Trans(34, 7, 19, 782), - Trans(34, 8, 19, 782), - Trans(34, 9, 19, 782), - Trans(34, 10, 19, 782), - Trans(34, 11, 19, 782), - Trans(34, 18, 19, 782), - Trans(34, 24, 19, 782), - Trans(34, 25, 19, 782), - Trans(34, 26, 19, 782), - Trans(34, 27, 19, 782), - Trans(34, 38, 19, 782), - Trans(34, 39, 19, 782), - Trans(34, 41, 19, 782), - Trans(34, 53, 19, 782), - Trans(34, 57, 19, 782), - Trans(34, 70, 19, 782), - Trans(34, 76, 19, 782), - Trans(34, 83, 19, 782), - Trans(34, 86, 19, 782), - Trans(34, 88, 19, 782), - Trans(34, 111, 19, 782), - Trans(34, 112, 19, 782), - Trans(35, 5, 19, 782), - Trans(35, 16, 19, 782), - Trans(35, 17, 19, 782), - Trans(35, 18, 19, 782), - Trans(35, 19, 19, 782), - Trans(35, 20, 19, 782), - Trans(35, 21, 19, 782), - Trans(35, 22, 19, 782), - Trans(35, 23, 19, 782), - Trans(35, 24, 19, 782), - Trans(35, 25, 19, 782), - Trans(35, 26, 19, 782), - Trans(35, 29, 19, 782), - Trans(35, 30, 19, 782), - Trans(35, 34, 19, 782), - Trans(35, 40, 19, 782), - Trans(35, 41, 19, 782), - Trans(35, 47, 19, 782), - Trans(35, 51, 19, 782), - Trans(36, 5, 19, 782), - Trans(36, 16, 19, 782), - Trans(36, 17, 19, 782), - Trans(36, 18, 19, 782), - Trans(36, 19, 19, 782), - Trans(36, 20, 19, 782), - Trans(36, 21, 19, 782), - Trans(36, 22, 19, 782), - Trans(36, 23, 19, 782), - Trans(36, 24, 19, 782), - Trans(36, 25, 19, 782), - Trans(36, 26, 19, 782), - Trans(36, 28, 19, 782), - Trans(36, 29, 19, 782), - Trans(36, 30, 19, 782), - Trans(36, 34, 19, 782), - Trans(36, 40, 19, 782), - Trans(36, 41, 19, 782), - Trans(36, 47, 19, 782), - Trans(36, 51, 19, 782), - Trans(37, 30, 19, 782), - Trans(37, 36, 19, 782), - Trans(37, 39, 19, 782), - Trans(37, 43, 19, 782), - Trans(37, 48, 19, 782), - Trans(37, 49, 19, 782), - Trans(37, 50, 19, 782), - Trans(37, 60, 19, 782), - Trans(37, 64, 19, 782), - Trans(37, 65, 19, 782), - Trans(37, 66, 19, 782), - Trans(37, 70, 19, 782), - Trans(37, 71, 19, 782), - Trans(37, 73, 19, 782), - Trans(37, 77, 19, 782), - Trans(37, 80, 19, 782), - Trans(37, 81, 19, 782), - Trans(37, 104, 19, 782), - Trans(37, 106, 19, 782), - Trans(37, 109, 19, 782), - Trans(37, 110, 19, 782), - Trans(38, 5, 19, 782), - Trans(38, 30, 19, 782), - Trans(38, 36, 19, 782), - Trans(38, 39, 19, 782), - Trans(38, 43, 19, 782), - Trans(38, 48, 19, 782), - Trans(38, 49, 19, 782), - Trans(38, 50, 19, 782), - Trans(38, 60, 19, 782), - Trans(38, 64, 19, 782), - Trans(38, 65, 19, 782), - Trans(38, 66, 19, 782), - Trans(38, 70, 19, 782), - Trans(38, 71, 19, 782), - Trans(38, 73, 19, 782), - Trans(38, 77, 19, 782), - Trans(38, 80, 19, 782), - Trans(38, 81, 19, 782), - Trans(38, 104, 19, 782), - Trans(38, 106, 19, 782), - Trans(38, 109, 19, 782), - Trans(38, 110, 19, 782), - Trans(39, 39, 19, 782), - Trans(40, 5, 19, 782), - Trans(40, 43, 19, 782), - Trans(40, 53, 19, 782), - Trans(40, 65, 19, 782), - Trans(40, 69, 19, 782), - Trans(40, 70, 19, 782), - Trans(40, 80, 19, 782), - Trans(40, 99, 19, 782), - Trans(40, 100, 19, 782), - Trans(40, 111, 19, 782), - Trans(40, 112, 19, 782), - Trans(41, 39, 19, 782), - Trans(41, 41, 19, 782), - Trans(42, 40, 19, 782), - Trans(43, 111, 19, 782), - Trans(43, 112, 19, 782), - Trans(44, 5, 19, 782), - Trans(44, 29, 19, 782), - Trans(44, 46, 19, 782), - Trans(45, 5, 19, 782), - Trans(45, 28, 19, 782), - Trans(45, 29, 19, 782), - Trans(45, 46, 19, 782), - Trans(46, 112, 19, 782), - Trans(47, 5, 19, 782), - Trans(47, 34, 19, 782), - Trans(47, 35, 19, 782), - Trans(47, 40, 19, 782), - Trans(48, 5, 19, 782), - Trans(48, 30, 19, 782), - Trans(49, 5, 19, 782), - Trans(49, 79, 19, 782), - Trans(50, 5, 19, 782), - Trans(50, 13, 19, 782), - Trans(50, 28, 19, 782), - Trans(50, 39, 19, 782), - Trans(50, 41, 19, 782), - Trans(51, 5, 19, 782), - Trans(51, 28, 19, 782), - Trans(51, 39, 19, 782), - Trans(52, 5, 19, 782), - Trans(52, 35, 19, 782), + Trans(18, 113, 52, -1), + Trans(20, 0, 19, 802), + Trans(20, 30, 19, 802), + Trans(20, 36, 19, 802), + Trans(20, 39, 19, 802), + Trans(20, 43, 19, 802), + Trans(20, 48, 19, 802), + Trans(20, 49, 19, 802), + Trans(20, 50, 19, 802), + Trans(20, 58, 19, 802), + Trans(20, 59, 19, 802), + Trans(20, 60, 19, 802), + Trans(20, 64, 19, 802), + Trans(20, 65, 19, 802), + Trans(20, 66, 19, 802), + Trans(20, 70, 19, 802), + Trans(20, 71, 19, 802), + Trans(20, 72, 19, 802), + Trans(20, 73, 19, 802), + Trans(20, 77, 19, 802), + Trans(20, 78, 19, 802), + Trans(20, 80, 19, 802), + Trans(20, 81, 19, 802), + Trans(20, 85, 19, 802), + Trans(20, 89, 19, 802), + Trans(20, 91, 19, 802), + Trans(20, 104, 19, 802), + Trans(20, 107, 19, 802), + Trans(20, 110, 19, 802), + Trans(20, 111, 19, 802), + Trans(21, 5, 19, 802), + Trans(21, 113, 19, 802), + Trans(22, 5, 19, 802), + Trans(22, 40, 19, 802), + Trans(23, 5, 19, 802), + Trans(23, 30, 19, 802), + Trans(23, 36, 19, 802), + Trans(23, 39, 19, 802), + Trans(23, 43, 19, 802), + Trans(23, 48, 19, 802), + Trans(23, 49, 19, 802), + Trans(23, 50, 19, 802), + Trans(23, 59, 19, 802), + Trans(23, 60, 19, 802), + Trans(23, 64, 19, 802), + Trans(23, 65, 19, 802), + Trans(23, 66, 19, 802), + Trans(23, 70, 19, 802), + Trans(23, 71, 19, 802), + Trans(23, 72, 19, 802), + Trans(23, 73, 19, 802), + Trans(23, 77, 19, 802), + Trans(23, 78, 19, 802), + Trans(23, 80, 19, 802), + Trans(23, 81, 19, 802), + Trans(23, 85, 19, 802), + Trans(23, 89, 19, 802), + Trans(23, 91, 19, 802), + Trans(23, 104, 19, 802), + Trans(23, 107, 19, 802), + Trans(23, 110, 19, 802), + Trans(23, 111, 19, 802), + Trans(24, 0, 19, 802), + Trans(24, 5, 19, 802), + Trans(24, 30, 19, 802), + Trans(24, 36, 19, 802), + Trans(24, 39, 19, 802), + Trans(24, 43, 19, 802), + Trans(24, 48, 19, 802), + Trans(24, 49, 19, 802), + Trans(24, 50, 19, 802), + Trans(24, 58, 19, 802), + Trans(24, 59, 19, 802), + Trans(24, 60, 19, 802), + Trans(24, 64, 19, 802), + Trans(24, 65, 19, 802), + Trans(24, 66, 19, 802), + Trans(24, 70, 19, 802), + Trans(24, 71, 19, 802), + Trans(24, 72, 19, 802), + Trans(24, 73, 19, 802), + Trans(24, 77, 19, 802), + Trans(24, 78, 19, 802), + Trans(24, 80, 19, 802), + Trans(24, 81, 19, 802), + Trans(24, 85, 19, 802), + Trans(24, 89, 19, 802), + Trans(24, 91, 19, 802), + Trans(24, 104, 19, 802), + Trans(24, 107, 19, 802), + Trans(24, 110, 19, 802), + Trans(24, 111, 19, 802), + Trans(25, 5, 19, 802), + Trans(25, 39, 19, 802), + Trans(26, 5, 19, 802), + Trans(26, 39, 19, 802), + Trans(26, 41, 19, 802), + Trans(27, 5, 19, 802), + Trans(27, 30, 19, 802), + Trans(27, 39, 19, 802), + Trans(27, 70, 19, 802), + Trans(28, 5, 19, 802), + Trans(28, 41, 19, 802), + Trans(29, 5, 19, 802), + Trans(29, 6, 19, 802), + Trans(29, 7, 19, 802), + Trans(29, 8, 19, 802), + Trans(29, 9, 19, 802), + Trans(29, 10, 19, 802), + Trans(29, 11, 19, 802), + Trans(29, 18, 19, 802), + Trans(29, 24, 19, 802), + Trans(29, 25, 19, 802), + Trans(29, 26, 19, 802), + Trans(29, 27, 19, 802), + Trans(29, 38, 19, 802), + Trans(29, 39, 19, 802), + Trans(29, 41, 19, 802), + Trans(29, 53, 19, 802), + Trans(29, 70, 19, 802), + Trans(29, 76, 19, 802), + Trans(29, 83, 19, 802), + Trans(29, 86, 19, 802), + Trans(29, 88, 19, 802), + Trans(29, 105, 19, 802), + Trans(29, 112, 19, 802), + Trans(29, 113, 19, 802), + Trans(30, 5, 19, 802), + Trans(30, 112, 19, 802), + Trans(30, 113, 19, 802), + Trans(31, 5, 19, 802), + Trans(31, 78, 19, 802), + Trans(31, 85, 19, 802), + Trans(31, 89, 19, 802), + Trans(32, 6, 19, 802), + Trans(32, 7, 19, 802), + Trans(32, 8, 19, 802), + Trans(32, 9, 19, 802), + Trans(32, 10, 19, 802), + Trans(32, 11, 19, 802), + Trans(32, 18, 19, 802), + Trans(32, 24, 19, 802), + Trans(32, 25, 19, 802), + Trans(32, 26, 19, 802), + Trans(32, 27, 19, 802), + Trans(32, 38, 19, 802), + Trans(32, 39, 19, 802), + Trans(32, 41, 19, 802), + Trans(32, 53, 19, 802), + Trans(32, 70, 19, 802), + Trans(32, 76, 19, 802), + Trans(32, 83, 19, 802), + Trans(32, 86, 19, 802), + Trans(32, 88, 19, 802), + Trans(32, 105, 19, 802), + Trans(32, 112, 19, 802), + Trans(32, 113, 19, 802), + Trans(33, 5, 19, 802), + Trans(33, 16, 19, 802), + Trans(33, 17, 19, 802), + Trans(33, 18, 19, 802), + Trans(33, 19, 19, 802), + Trans(33, 20, 19, 802), + Trans(33, 21, 19, 802), + Trans(33, 22, 19, 802), + Trans(33, 23, 19, 802), + Trans(33, 24, 19, 802), + Trans(33, 25, 19, 802), + Trans(33, 26, 19, 802), + Trans(33, 30, 19, 802), + Trans(33, 47, 19, 802), + Trans(33, 51, 19, 802), + Trans(34, 5, 19, 802), + Trans(34, 6, 19, 802), + Trans(34, 7, 19, 802), + Trans(34, 8, 19, 802), + Trans(34, 9, 19, 802), + Trans(34, 10, 19, 802), + Trans(34, 11, 19, 802), + Trans(34, 18, 19, 802), + Trans(34, 24, 19, 802), + Trans(34, 25, 19, 802), + Trans(34, 26, 19, 802), + Trans(34, 27, 19, 802), + Trans(34, 38, 19, 802), + Trans(34, 39, 19, 802), + Trans(34, 41, 19, 802), + Trans(34, 53, 19, 802), + Trans(34, 57, 19, 802), + Trans(34, 70, 19, 802), + Trans(34, 76, 19, 802), + Trans(34, 83, 19, 802), + Trans(34, 86, 19, 802), + Trans(34, 88, 19, 802), + Trans(34, 105, 19, 802), + Trans(34, 112, 19, 802), + Trans(34, 113, 19, 802), + Trans(35, 5, 19, 802), + Trans(35, 16, 19, 802), + Trans(35, 17, 19, 802), + Trans(35, 18, 19, 802), + Trans(35, 19, 19, 802), + Trans(35, 20, 19, 802), + Trans(35, 21, 19, 802), + Trans(35, 22, 19, 802), + Trans(35, 23, 19, 802), + Trans(35, 24, 19, 802), + Trans(35, 25, 19, 802), + Trans(35, 26, 19, 802), + Trans(35, 29, 19, 802), + Trans(35, 30, 19, 802), + Trans(35, 34, 19, 802), + Trans(35, 40, 19, 802), + Trans(35, 41, 19, 802), + Trans(35, 47, 19, 802), + Trans(35, 51, 19, 802), + Trans(36, 5, 19, 802), + Trans(36, 16, 19, 802), + Trans(36, 17, 19, 802), + Trans(36, 18, 19, 802), + Trans(36, 19, 19, 802), + Trans(36, 20, 19, 802), + Trans(36, 21, 19, 802), + Trans(36, 22, 19, 802), + Trans(36, 23, 19, 802), + Trans(36, 24, 19, 802), + Trans(36, 25, 19, 802), + Trans(36, 26, 19, 802), + Trans(36, 28, 19, 802), + Trans(36, 29, 19, 802), + Trans(36, 30, 19, 802), + Trans(36, 34, 19, 802), + Trans(36, 40, 19, 802), + Trans(36, 41, 19, 802), + Trans(36, 47, 19, 802), + Trans(36, 51, 19, 802), + Trans(37, 30, 19, 802), + Trans(37, 36, 19, 802), + Trans(37, 39, 19, 802), + Trans(37, 43, 19, 802), + Trans(37, 48, 19, 802), + Trans(37, 49, 19, 802), + Trans(37, 50, 19, 802), + Trans(37, 60, 19, 802), + Trans(37, 64, 19, 802), + Trans(37, 65, 19, 802), + Trans(37, 66, 19, 802), + Trans(37, 70, 19, 802), + Trans(37, 71, 19, 802), + Trans(37, 73, 19, 802), + Trans(37, 77, 19, 802), + Trans(37, 80, 19, 802), + Trans(37, 81, 19, 802), + Trans(37, 104, 19, 802), + Trans(37, 107, 19, 802), + Trans(37, 110, 19, 802), + Trans(37, 111, 19, 802), + Trans(38, 5, 19, 802), + Trans(38, 30, 19, 802), + Trans(38, 36, 19, 802), + Trans(38, 39, 19, 802), + Trans(38, 43, 19, 802), + Trans(38, 48, 19, 802), + Trans(38, 49, 19, 802), + Trans(38, 50, 19, 802), + Trans(38, 60, 19, 802), + Trans(38, 64, 19, 802), + Trans(38, 65, 19, 802), + Trans(38, 66, 19, 802), + Trans(38, 70, 19, 802), + Trans(38, 71, 19, 802), + Trans(38, 73, 19, 802), + Trans(38, 77, 19, 802), + Trans(38, 80, 19, 802), + Trans(38, 81, 19, 802), + Trans(38, 104, 19, 802), + Trans(38, 107, 19, 802), + Trans(38, 110, 19, 802), + Trans(38, 111, 19, 802), + Trans(39, 39, 19, 802), + Trans(40, 5, 19, 802), + Trans(40, 43, 19, 802), + Trans(40, 53, 19, 802), + Trans(40, 65, 19, 802), + Trans(40, 69, 19, 802), + Trans(40, 70, 19, 802), + Trans(40, 80, 19, 802), + Trans(40, 99, 19, 802), + Trans(40, 100, 19, 802), + Trans(40, 105, 19, 802), + Trans(40, 112, 19, 802), + Trans(40, 113, 19, 802), + Trans(41, 39, 19, 802), + Trans(41, 41, 19, 802), + Trans(42, 40, 19, 802), + Trans(43, 112, 19, 802), + Trans(43, 113, 19, 802), + Trans(44, 5, 19, 802), + Trans(44, 29, 19, 802), + Trans(44, 46, 19, 802), + Trans(45, 5, 19, 802), + Trans(45, 28, 19, 802), + Trans(45, 29, 19, 802), + Trans(45, 46, 19, 802), + Trans(46, 113, 19, 802), + Trans(47, 5, 19, 802), + Trans(47, 34, 19, 802), + Trans(47, 35, 19, 802), + Trans(47, 40, 19, 802), + Trans(48, 5, 19, 802), + Trans(48, 30, 19, 802), + Trans(49, 5, 19, 802), + Trans(49, 79, 19, 802), + Trans(50, 5, 19, 802), + Trans(50, 13, 19, 802), + Trans(50, 28, 19, 802), + Trans(50, 39, 19, 802), + Trans(50, 41, 19, 802), + Trans(51, 5, 19, 802), + Trans(51, 28, 19, 802), + Trans(51, 39, 19, 802), + Trans(52, 5, 19, 802), + Trans(52, 35, 19, 802), ], k: 3, }, - /* 407 - "ModuleIfDeclarationOpt" */ + /* 406 - "ModuleIfDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 784), - Trans(0, 36, 2, 784), - Trans(0, 39, 2, 784), - Trans(0, 43, 2, 784), - Trans(0, 48, 2, 784), - Trans(0, 49, 2, 784), - Trans(0, 50, 2, 784), - Trans(0, 58, 1, 783), - Trans(0, 60, 2, 784), - Trans(0, 64, 2, 784), - Trans(0, 65, 2, 784), - Trans(0, 66, 2, 784), - Trans(0, 70, 2, 784), - Trans(0, 71, 2, 784), - Trans(0, 73, 2, 784), - Trans(0, 77, 2, 784), - Trans(0, 80, 2, 784), - Trans(0, 81, 2, 784), - Trans(0, 104, 2, 784), - Trans(0, 106, 2, 784), - Trans(0, 109, 2, 784), - Trans(0, 110, 2, 784), + Trans(0, 30, 2, 804), + Trans(0, 36, 2, 804), + Trans(0, 39, 2, 804), + Trans(0, 43, 2, 804), + Trans(0, 48, 2, 804), + Trans(0, 49, 2, 804), + Trans(0, 50, 2, 804), + Trans(0, 58, 1, 803), + Trans(0, 60, 2, 804), + Trans(0, 64, 2, 804), + Trans(0, 65, 2, 804), + Trans(0, 66, 2, 804), + Trans(0, 70, 2, 804), + Trans(0, 71, 2, 804), + Trans(0, 73, 2, 804), + Trans(0, 77, 2, 804), + Trans(0, 80, 2, 804), + Trans(0, 81, 2, 804), + Trans(0, 104, 2, 804), + Trans(0, 107, 2, 804), + Trans(0, 110, 2, 804), + Trans(0, 111, 2, 804), ], k: 1, }, - /* 408 - "ModuleItem" */ + /* 407 - "ModuleItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 14, 816), - Trans(0, 48, 7, 809), - Trans(0, 49, 6, 808), - Trans(0, 50, 8, 810), - Trans(0, 60, 12, 814), - Trans(0, 64, 17, 819), - Trans(0, 65, 11, 813), - Trans(0, 66, 9, 811), - Trans(0, 70, 10, 812), - Trans(0, 71, 15, 817), - Trans(0, 73, 16, 818), - Trans(0, 77, 3, 805), - Trans(0, 80, 1, 803), - Trans(0, 81, 5, 807), - Trans(0, 104, 13, 815), - Trans(0, 106, 4, 806), - Trans(0, 109, 13, 815), - Trans(0, 110, 2, 804), + Trans(0, 30, 14, 836), + Trans(0, 48, 7, 829), + Trans(0, 49, 6, 828), + Trans(0, 50, 8, 830), + Trans(0, 60, 12, 834), + Trans(0, 64, 17, 839), + Trans(0, 65, 11, 833), + Trans(0, 66, 9, 831), + Trans(0, 70, 10, 832), + Trans(0, 71, 15, 837), + Trans(0, 73, 16, 838), + Trans(0, 77, 3, 825), + Trans(0, 80, 1, 823), + Trans(0, 81, 5, 827), + Trans(0, 104, 13, 835), + Trans(0, 107, 4, 826), + Trans(0, 110, 13, 835), + Trans(0, 111, 2, 824), ], k: 1, }, - /* 409 - "ModuleNamedBlock" */ + /* 408 - "ModuleNamedBlock" */ LookaheadDFA { - prod0: 788, + prod0: 808, transitions: &[], k: 0, }, - /* 410 - "ModuleNamedBlockList" */ + /* 409 - "ModuleNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 789), - Trans(0, 36, 1, 789), - Trans(0, 39, 1, 789), - Trans(0, 43, 2, 790), - Trans(0, 48, 1, 789), - Trans(0, 49, 1, 789), - Trans(0, 50, 1, 789), - Trans(0, 60, 1, 789), - Trans(0, 64, 1, 789), - Trans(0, 65, 1, 789), - Trans(0, 66, 1, 789), - Trans(0, 70, 1, 789), - Trans(0, 71, 1, 789), - Trans(0, 73, 1, 789), - Trans(0, 77, 1, 789), - Trans(0, 80, 1, 789), - Trans(0, 81, 1, 789), - Trans(0, 104, 1, 789), - Trans(0, 106, 1, 789), - Trans(0, 109, 1, 789), - Trans(0, 110, 1, 789), + Trans(0, 30, 1, 809), + Trans(0, 36, 1, 809), + Trans(0, 39, 1, 809), + Trans(0, 43, 2, 810), + Trans(0, 48, 1, 809), + Trans(0, 49, 1, 809), + Trans(0, 50, 1, 809), + Trans(0, 60, 1, 809), + Trans(0, 64, 1, 809), + Trans(0, 65, 1, 809), + Trans(0, 66, 1, 809), + Trans(0, 70, 1, 809), + Trans(0, 71, 1, 809), + Trans(0, 73, 1, 809), + Trans(0, 77, 1, 809), + Trans(0, 80, 1, 809), + Trans(0, 81, 1, 809), + Trans(0, 104, 1, 809), + Trans(0, 107, 1, 809), + Trans(0, 110, 1, 809), + Trans(0, 111, 1, 809), ], k: 1, }, - /* 411 - "ModuleOptionalNamedBlock" */ + /* 410 - "ModuleOptionalNamedBlock" */ LookaheadDFA { - prod0: 791, + prod0: 811, transitions: &[], k: 0, }, - /* 412 - "ModuleOptionalNamedBlockList" */ + /* 411 - "ModuleOptionalNamedBlockList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 1, 792), - Trans(0, 36, 1, 792), - Trans(0, 39, 1, 792), - Trans(0, 43, 2, 793), - Trans(0, 48, 1, 792), - Trans(0, 49, 1, 792), - Trans(0, 50, 1, 792), - Trans(0, 60, 1, 792), - Trans(0, 64, 1, 792), - Trans(0, 65, 1, 792), - Trans(0, 66, 1, 792), - Trans(0, 70, 1, 792), - Trans(0, 71, 1, 792), - Trans(0, 73, 1, 792), - Trans(0, 77, 1, 792), - Trans(0, 80, 1, 792), - Trans(0, 81, 1, 792), - Trans(0, 104, 1, 792), - Trans(0, 106, 1, 792), - Trans(0, 109, 1, 792), - Trans(0, 110, 1, 792), + Trans(0, 30, 1, 812), + Trans(0, 36, 1, 812), + Trans(0, 39, 1, 812), + Trans(0, 43, 2, 813), + Trans(0, 48, 1, 812), + Trans(0, 49, 1, 812), + Trans(0, 50, 1, 812), + Trans(0, 60, 1, 812), + Trans(0, 64, 1, 812), + Trans(0, 65, 1, 812), + Trans(0, 66, 1, 812), + Trans(0, 70, 1, 812), + Trans(0, 71, 1, 812), + Trans(0, 73, 1, 812), + Trans(0, 77, 1, 812), + Trans(0, 80, 1, 812), + Trans(0, 81, 1, 812), + Trans(0, 104, 1, 812), + Trans(0, 107, 1, 812), + Trans(0, 110, 1, 812), + Trans(0, 111, 1, 812), ], k: 1, }, - /* 413 - "ModuleOptionalNamedBlockOpt" */ + /* 412 - "ModuleOptionalNamedBlockOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 30, 1, 794), Trans(0, 39, 2, 795)], + transitions: &[Trans(0, 30, 1, 814), Trans(0, 39, 2, 815)], k: 1, }, - /* 414 - "ModuleTerm" */ + /* 413 - "ModuleTerm" */ LookaheadDFA { prod0: 80, transitions: &[], k: 0, }, - /* 415 - "ModuleToken" */ + /* 414 - "ModuleToken" */ LookaheadDFA { - prod0: 192, + prod0: 193, transitions: &[], k: 0, }, - /* 416 - "Msb" */ + /* 415 - "Msb" */ LookaheadDFA { - prod0: 302, + prod0: 304, transitions: &[], k: 0, }, - /* 417 - "MsbTerm" */ + /* 416 - "MsbTerm" */ LookaheadDFA { prod0: 81, transitions: &[], k: 0, }, - /* 418 - "MsbToken" */ + /* 417 - "MsbToken" */ LookaheadDFA { - prod0: 193, + prod0: 194, transitions: &[], k: 0, }, - /* 419 - "Number" */ + /* 418 - "Number" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 7, 2, 329), - Trans(0, 8, 2, 329), - Trans(0, 9, 1, 328), - Trans(0, 10, 1, 328), - Trans(0, 11, 1, 328), + Trans(0, 7, 2, 332), + Trans(0, 8, 2, 332), + Trans(0, 9, 1, 331), + Trans(0, 10, 1, 331), + Trans(0, 11, 1, 331), ], k: 1, }, - /* 420 - "Operator01" */ + /* 419 - "Operator01" */ LookaheadDFA { - prod0: 228, + prod0: 230, transitions: &[], k: 0, }, - /* 421 - "Operator01Term" */ + /* 420 - "Operator01Term" */ LookaheadDFA { prod0: 18, transitions: &[], k: 0, }, - /* 422 - "Operator01Token" */ + /* 421 - "Operator01Token" */ LookaheadDFA { - prod0: 120, + prod0: 121, transitions: &[], k: 0, }, - /* 423 - "Operator02" */ + /* 422 - "Operator02" */ LookaheadDFA { - prod0: 229, + prod0: 231, transitions: &[], k: 0, }, - /* 424 - "Operator02Term" */ + /* 423 - "Operator02Term" */ LookaheadDFA { prod0: 17, transitions: &[], k: 0, }, - /* 425 - "Operator02Token" */ + /* 424 - "Operator02Token" */ LookaheadDFA { - prod0: 121, + prod0: 122, transitions: &[], k: 0, }, - /* 426 - "Operator03" */ + /* 425 - "Operator03" */ LookaheadDFA { - prod0: 230, + prod0: 232, transitions: &[], k: 0, }, - /* 427 - "Operator03Term" */ + /* 426 - "Operator03Term" */ LookaheadDFA { prod0: 21, transitions: &[], k: 0, }, - /* 428 - "Operator03Token" */ + /* 427 - "Operator03Token" */ LookaheadDFA { - prod0: 122, + prod0: 123, transitions: &[], k: 0, }, - /* 429 - "Operator04" */ + /* 428 - "Operator04" */ LookaheadDFA { - prod0: 231, + prod0: 233, transitions: &[], k: 0, }, - /* 430 - "Operator04Term" */ + /* 429 - "Operator04Term" */ LookaheadDFA { prod0: 20, transitions: &[], k: 0, }, - /* 431 - "Operator04Token" */ + /* 430 - "Operator04Token" */ LookaheadDFA { - prod0: 123, + prod0: 124, transitions: &[], k: 0, }, - /* 432 - "Operator05" */ + /* 431 - "Operator05" */ LookaheadDFA { - prod0: 232, + prod0: 234, transitions: &[], k: 0, }, - /* 433 - "Operator05Term" */ + /* 432 - "Operator05Term" */ LookaheadDFA { prod0: 19, transitions: &[], k: 0, }, - /* 434 - "Operator05Token" */ + /* 433 - "Operator05Token" */ LookaheadDFA { - prod0: 124, + prod0: 125, transitions: &[], k: 0, }, - /* 435 - "Operator06" */ + /* 434 - "Operator06" */ LookaheadDFA { - prod0: 233, + prod0: 235, transitions: &[], k: 0, }, - /* 436 - "Operator06Term" */ + /* 435 - "Operator06Term" */ LookaheadDFA { prod0: 16, transitions: &[], k: 0, }, - /* 437 - "Operator06Token" */ + /* 436 - "Operator06Token" */ LookaheadDFA { - prod0: 125, + prod0: 126, transitions: &[], k: 0, }, - /* 438 - "Operator07" */ + /* 437 - "Operator07" */ LookaheadDFA { - prod0: 234, + prod0: 236, transitions: &[], k: 0, }, - /* 439 - "Operator07Term" */ + /* 438 - "Operator07Term" */ LookaheadDFA { prod0: 15, transitions: &[], k: 0, }, - /* 440 - "Operator07Token" */ + /* 439 - "Operator07Token" */ LookaheadDFA { - prod0: 126, + prod0: 127, transitions: &[], k: 0, }, - /* 441 - "Operator08" */ + /* 440 - "Operator08" */ LookaheadDFA { - prod0: 235, + prod0: 237, transitions: &[], k: 0, }, - /* 442 - "Operator08Term" */ + /* 441 - "Operator08Term" */ LookaheadDFA { prod0: 14, transitions: &[], k: 0, }, - /* 443 - "Operator08Token" */ + /* 442 - "Operator08Token" */ LookaheadDFA { - prod0: 127, + prod0: 128, transitions: &[], k: 0, }, - /* 444 - "Operator09" */ + /* 443 - "Operator09" */ LookaheadDFA { - prod0: 236, + prod0: 238, transitions: &[], k: 0, }, - /* 445 - "Operator09Term" */ + /* 444 - "Operator09Term" */ LookaheadDFA { prod0: 13, transitions: &[], k: 0, }, - /* 446 - "Operator09Token" */ + /* 445 - "Operator09Token" */ LookaheadDFA { - prod0: 128, + prod0: 129, transitions: &[], k: 0, }, - /* 447 - "Operator10" */ + /* 446 - "Operator10" */ LookaheadDFA { - prod0: 237, + prod0: 239, transitions: &[], k: 0, }, - /* 448 - "Operator10Term" */ + /* 447 - "Operator10Term" */ LookaheadDFA { prod0: 12, transitions: &[], k: 0, }, - /* 449 - "Operator10Token" */ + /* 448 - "Operator10Token" */ LookaheadDFA { - prod0: 129, + prod0: 130, transitions: &[], k: 0, }, - /* 450 - "Operator11" */ + /* 449 - "Operator11" */ LookaheadDFA { - prod0: 238, + prod0: 240, transitions: &[], k: 0, }, - /* 451 - "Operator11Term" */ + /* 450 - "Operator11Term" */ LookaheadDFA { prod0: 11, transitions: &[], k: 0, }, - /* 452 - "Operator11Token" */ + /* 451 - "Operator11Token" */ LookaheadDFA { - prod0: 130, + prod0: 131, transitions: &[], k: 0, }, - /* 453 - "Output" */ + /* 452 - "Output" */ LookaheadDFA { - prod0: 303, + prod0: 305, transitions: &[], k: 0, }, - /* 454 - "OutputTerm" */ + /* 453 - "OutputTerm" */ LookaheadDFA { prod0: 82, transitions: &[], k: 0, }, - /* 455 - "OutputToken" */ + /* 454 - "OutputToken" */ LookaheadDFA { - prod0: 194, + prod0: 195, transitions: &[], k: 0, }, - /* 456 - "Outside" */ + /* 455 - "Outside" */ LookaheadDFA { - prod0: 304, + prod0: 306, transitions: &[], k: 0, }, - /* 457 - "OutsideExpression" */ + /* 456 - "OutsideExpression" */ LookaheadDFA { - prod0: 461, + prod0: 466, transitions: &[], k: 0, }, - /* 458 - "OutsideTerm" */ + /* 457 - "OutsideTerm" */ LookaheadDFA { prod0: 83, transitions: &[], k: 0, }, - /* 459 - "OutsideToken" */ + /* 458 - "OutsideToken" */ LookaheadDFA { - prod0: 195, + prod0: 196, transitions: &[], k: 0, }, - /* 460 - "Package" */ + /* 459 - "Package" */ LookaheadDFA { - prod0: 305, + prod0: 307, transitions: &[], k: 0, }, - /* 461 - "PackageDeclaration" */ + /* 460 - "PackageDeclaration" */ LookaheadDFA { - prod0: 866, + prod0: 886, transitions: &[], k: 0, }, - /* 462 - "PackageDeclarationList" */ + /* 461 - "PackageDeclarationList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 867), - Trans(0, 39, 1, 867), - Trans(0, 43, 2, 868), - Trans(0, 60, 1, 867), - Trans(0, 61, 1, 867), - Trans(0, 64, 1, 867), - Trans(0, 66, 1, 867), - Trans(0, 71, 1, 867), - Trans(0, 73, 1, 867), - Trans(0, 81, 1, 867), - Trans(0, 104, 1, 867), - Trans(0, 106, 1, 867), - Trans(0, 109, 1, 867), - Trans(0, 110, 1, 867), + Trans(0, 36, 1, 887), + Trans(0, 39, 1, 887), + Trans(0, 43, 2, 888), + Trans(0, 60, 1, 887), + Trans(0, 61, 1, 887), + Trans(0, 64, 1, 887), + Trans(0, 66, 1, 887), + Trans(0, 71, 1, 887), + Trans(0, 73, 1, 887), + Trans(0, 81, 1, 887), + Trans(0, 104, 1, 887), + Trans(0, 107, 1, 887), + Trans(0, 110, 1, 887), + Trans(0, 111, 1, 887), ], k: 1, }, - /* 463 - "PackageDeclarationOpt" */ + /* 462 - "PackageDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 89, 2, 872), Trans(0, 91, 1, 871)], + transitions: &[Trans(0, 89, 2, 892), Trans(0, 91, 1, 891)], k: 1, }, - /* 464 - "PackageDeclarationOpt0" */ + /* 463 - "PackageDeclarationOpt0" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 869), Trans(0, 39, 2, 870)], + transitions: &[Trans(0, 28, 1, 889), Trans(0, 39, 2, 890)], k: 1, }, - /* 465 - "PackageGroup" */ + /* 464 - "PackageGroup" */ LookaheadDFA { - prod0: 873, + prod0: 893, transitions: &[], k: 0, }, - /* 466 - "PackageGroupGroup" */ + /* 465 - "PackageGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 874), - Trans(0, 60, 2, 877), - Trans(0, 61, 2, 877), - Trans(0, 64, 2, 877), - Trans(0, 66, 2, 877), - Trans(0, 71, 2, 877), - Trans(0, 73, 2, 877), - Trans(0, 81, 2, 877), - Trans(0, 104, 2, 877), - Trans(0, 106, 2, 877), - Trans(0, 109, 2, 877), - Trans(0, 110, 2, 877), + Trans(0, 39, 1, 894), + Trans(0, 60, 2, 897), + Trans(0, 61, 2, 897), + Trans(0, 64, 2, 897), + Trans(0, 66, 2, 897), + Trans(0, 71, 2, 897), + Trans(0, 73, 2, 897), + Trans(0, 81, 2, 897), + Trans(0, 104, 2, 897), + Trans(0, 107, 2, 897), + Trans(0, 110, 2, 897), + Trans(0, 111, 2, 897), ], k: 1, }, - /* 467 - "PackageGroupGroupList" */ + /* 466 - "PackageGroupGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 875), - Trans(0, 39, 1, 875), - Trans(0, 43, 2, 876), - Trans(0, 60, 1, 875), - Trans(0, 61, 1, 875), - Trans(0, 64, 1, 875), - Trans(0, 66, 1, 875), - Trans(0, 71, 1, 875), - Trans(0, 73, 1, 875), - Trans(0, 81, 1, 875), - Trans(0, 104, 1, 875), - Trans(0, 106, 1, 875), - Trans(0, 109, 1, 875), - Trans(0, 110, 1, 875), + Trans(0, 36, 1, 895), + Trans(0, 39, 1, 895), + Trans(0, 43, 2, 896), + Trans(0, 60, 1, 895), + Trans(0, 61, 1, 895), + Trans(0, 64, 1, 895), + Trans(0, 66, 1, 895), + Trans(0, 71, 1, 895), + Trans(0, 73, 1, 895), + Trans(0, 81, 1, 895), + Trans(0, 104, 1, 895), + Trans(0, 107, 1, 895), + Trans(0, 110, 1, 895), + Trans(0, 111, 1, 895), ], k: 1, }, - /* 468 - "PackageGroupList" */ + /* 467 - "PackageGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 878), - Trans(0, 39, 2, 879), - Trans(0, 60, 2, 879), - Trans(0, 61, 2, 879), - Trans(0, 64, 2, 879), - Trans(0, 66, 2, 879), - Trans(0, 71, 2, 879), - Trans(0, 73, 2, 879), - Trans(0, 81, 2, 879), - Trans(0, 104, 2, 879), - Trans(0, 106, 2, 879), - Trans(0, 109, 2, 879), - Trans(0, 110, 2, 879), + Trans(0, 36, 1, 898), + Trans(0, 39, 2, 899), + Trans(0, 60, 2, 899), + Trans(0, 61, 2, 899), + Trans(0, 64, 2, 899), + Trans(0, 66, 2, 899), + Trans(0, 71, 2, 899), + Trans(0, 73, 2, 899), + Trans(0, 81, 2, 899), + Trans(0, 104, 2, 899), + Trans(0, 107, 2, 899), + Trans(0, 110, 2, 899), + Trans(0, 111, 2, 899), ], k: 1, }, - /* 469 - "PackageItem" */ + /* 468 - "PackageItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 60, 4, 883), - Trans(0, 61, 8, 887), - Trans(0, 64, 10, 889), - Trans(0, 66, 6, 885), - Trans(0, 71, 7, 886), - Trans(0, 73, 9, 888), - Trans(0, 81, 2, 881), - Trans(0, 104, 5, 884), - Trans(0, 106, 3, 882), - Trans(0, 109, 5, 884), - Trans(0, 110, 1, 880), + Trans(0, 60, 4, 903), + Trans(0, 61, 8, 907), + Trans(0, 64, 10, 909), + Trans(0, 66, 6, 905), + Trans(0, 71, 7, 906), + Trans(0, 73, 9, 908), + Trans(0, 81, 2, 901), + Trans(0, 104, 5, 904), + Trans(0, 107, 3, 902), + Trans(0, 110, 5, 904), + Trans(0, 111, 1, 900), ], k: 1, }, - /* 470 - "PackageTerm" */ + /* 469 - "PackageTerm" */ LookaheadDFA { prod0: 84, transitions: &[], k: 0, }, - /* 471 - "PackageToken" */ + /* 470 - "PackageToken" */ LookaheadDFA { - prod0: 196, + prod0: 197, transitions: &[], k: 0, }, - /* 472 - "Param" */ + /* 471 - "Param" */ LookaheadDFA { - prod0: 306, + prod0: 308, transitions: &[], k: 0, }, - /* 473 - "ParamTerm" */ + /* 472 - "ParamTerm" */ LookaheadDFA { prod0: 85, transitions: &[], k: 0, }, - /* 474 - "ParamToken" */ + /* 473 - "ParamToken" */ LookaheadDFA { - prod0: 197, + prod0: 198, transitions: &[], k: 0, }, - /* 475 - "PlusColon" */ + /* 474 - "PlusColon" */ LookaheadDFA { - prod0: 256, + prod0: 258, transitions: &[], k: 0, }, - /* 476 - "PlusColonTerm" */ + /* 475 - "PlusColonTerm" */ LookaheadDFA { prod0: 9, transitions: &[], k: 0, }, - /* 477 - "PlusColonToken" */ + /* 476 - "PlusColonToken" */ LookaheadDFA { - prod0: 148, + prod0: 149, transitions: &[], k: 0, }, - /* 478 - "PortDeclaration" */ + /* 477 - "PortDeclaration" */ LookaheadDFA { - prod0: 726, + prod0: 746, transitions: &[], k: 0, }, - /* 479 - "PortDeclarationGroup" */ + /* 478 - "PortDeclarationGroup" */ LookaheadDFA { - prod0: 734, + prod0: 754, transitions: &[], k: 0, }, - /* 480 - "PortDeclarationGroupGroup" */ + /* 479 - "PortDeclarationGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 735), Trans(0, 112, 2, 736)], + transitions: &[Trans(0, 39, 1, 755), Trans(0, 113, 2, 756)], k: 1, }, - /* 481 - "PortDeclarationGroupList" */ + /* 480 - "PortDeclarationGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 737), - Trans(0, 39, 2, 738), - Trans(0, 112, 2, 738), + Trans(0, 36, 1, 757), + Trans(0, 39, 2, 758), + Trans(0, 113, 2, 758), ], k: 1, }, - /* 482 - "PortDeclarationItem" */ + /* 481 - "PortDeclarationItem" */ LookaheadDFA { - prod0: 739, + prod0: 759, transitions: &[], k: 0, }, - /* 483 - "PortDeclarationItemGroup" */ + /* 482 - "PortDeclarationItemGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 71, 1, 740), - Trans(0, 74, 1, 740), - Trans(0, 75, 1, 740), - Trans(0, 78, 2, 741), - Trans(0, 84, 1, 740), - Trans(0, 87, 1, 740), - Trans(0, 92, 1, 740), + Trans(0, 71, 1, 760), + Trans(0, 74, 1, 760), + Trans(0, 75, 1, 760), + Trans(0, 78, 2, 761), + Trans(0, 84, 1, 760), + Trans(0, 87, 1, 760), + Trans(0, 92, 1, 760), ], k: 1, }, - /* 484 - "PortDeclarationItemOpt" */ + /* 483 - "PortDeclarationItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 743), - Trans(0, 40, 1, 742), - Trans(0, 43, 2, 743), - Trans(0, 45, 2, 743), + Trans(0, 31, 2, 763), + Trans(0, 40, 1, 762), + Trans(0, 43, 2, 763), + Trans(0, 45, 2, 763), ], k: 1, }, - /* 485 - "PortDeclarationList" */ + /* 484 - "PortDeclarationList" */ LookaheadDFA { - prod0: 729, + prod0: 749, transitions: &[], k: 0, }, - /* 486 - "PortDeclarationListList" */ + /* 485 - "PortDeclarationListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -10908,20 +11136,20 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 39, 4, -1), Trans(1, 43, 15, -1), Trans(1, 45, 16, -1), - Trans(1, 112, 5, -1), - Trans(2, 5, 3, 730), - Trans(2, 40, 3, 730), - Trans(4, 5, 3, 730), - Trans(4, 36, 3, 730), - Trans(4, 39, 3, 730), - Trans(4, 112, 3, 730), - Trans(5, 5, 3, 730), - Trans(5, 30, 3, 730), - Trans(6, 36, 3, 730), - Trans(6, 39, 3, 730), - Trans(6, 43, 12, 731), - Trans(6, 45, 12, 731), - Trans(6, 112, 3, 730), + Trans(1, 113, 5, -1), + Trans(2, 5, 3, 750), + Trans(2, 40, 3, 750), + Trans(4, 5, 3, 750), + Trans(4, 36, 3, 750), + Trans(4, 39, 3, 750), + Trans(4, 113, 3, 750), + Trans(5, 5, 3, 750), + Trans(5, 30, 3, 750), + Trans(6, 36, 3, 750), + Trans(6, 39, 3, 750), + Trans(6, 43, 12, 751), + Trans(6, 45, 12, 751), + Trans(6, 113, 3, 750), Trans(7, 5, 13, -1), Trans(7, 31, 14, -1), Trans(7, 43, 15, -1), @@ -10929,231 +11157,232 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 5, 9, -1), Trans(8, 13, 10, -1), Trans(8, 39, 11, -1), - Trans(9, 13, 12, 731), - Trans(9, 39, 12, 731), - Trans(10, 5, 12, 731), - Trans(10, 52, 12, 731), - Trans(10, 54, 12, 731), - Trans(10, 55, 12, 731), - Trans(10, 56, 12, 731), - Trans(10, 62, 12, 731), - Trans(10, 63, 12, 731), - Trans(10, 67, 12, 731), - Trans(10, 68, 12, 731), - Trans(10, 82, 12, 731), - Trans(10, 94, 12, 731), - Trans(10, 95, 12, 731), - Trans(10, 96, 12, 731), - Trans(10, 97, 12, 731), - Trans(10, 98, 12, 731), - Trans(10, 101, 12, 731), - Trans(10, 103, 12, 731), - Trans(10, 105, 12, 731), - Trans(10, 107, 12, 731), - Trans(10, 108, 12, 731), - Trans(10, 111, 12, 731), - Trans(10, 112, 12, 731), - Trans(11, 5, 12, 731), - Trans(11, 30, 12, 731), - Trans(11, 36, 12, 731), - Trans(11, 39, 12, 731), - Trans(11, 43, 12, 731), - Trans(11, 48, 12, 731), - Trans(11, 49, 12, 731), - Trans(11, 50, 12, 731), - Trans(11, 53, 12, 731), - Trans(11, 60, 12, 731), - Trans(11, 64, 12, 731), - Trans(11, 65, 12, 731), - Trans(11, 66, 12, 731), - Trans(11, 69, 12, 731), - Trans(11, 70, 12, 731), - Trans(11, 71, 12, 731), - Trans(11, 73, 12, 731), - Trans(11, 77, 12, 731), - Trans(11, 80, 12, 731), - Trans(11, 81, 12, 731), - Trans(11, 99, 12, 731), - Trans(11, 100, 12, 731), - Trans(11, 104, 12, 731), - Trans(11, 106, 12, 731), - Trans(11, 109, 12, 731), - Trans(11, 110, 12, 731), - Trans(11, 111, 12, 731), - Trans(11, 112, 12, 731), - Trans(13, 31, 12, 731), - Trans(13, 43, 12, 731), - Trans(13, 45, 12, 731), - Trans(14, 5, 12, 731), - Trans(14, 36, 12, 731), - Trans(14, 39, 12, 731), - Trans(14, 43, 12, 731), - Trans(14, 45, 12, 731), - Trans(14, 112, 12, 731), - Trans(15, 5, 12, 731), - Trans(15, 31, 12, 731), - Trans(15, 43, 12, 731), - Trans(15, 45, 12, 731), - Trans(16, 5, 12, 731), - Trans(16, 13, 12, 731), - Trans(16, 39, 12, 731), + Trans(9, 13, 12, 751), + Trans(9, 39, 12, 751), + Trans(10, 5, 12, 751), + Trans(10, 52, 12, 751), + Trans(10, 54, 12, 751), + Trans(10, 55, 12, 751), + Trans(10, 56, 12, 751), + Trans(10, 62, 12, 751), + Trans(10, 63, 12, 751), + Trans(10, 67, 12, 751), + Trans(10, 68, 12, 751), + Trans(10, 82, 12, 751), + Trans(10, 94, 12, 751), + Trans(10, 95, 12, 751), + Trans(10, 96, 12, 751), + Trans(10, 97, 12, 751), + Trans(10, 98, 12, 751), + Trans(10, 101, 12, 751), + Trans(10, 103, 12, 751), + Trans(10, 106, 12, 751), + Trans(10, 108, 12, 751), + Trans(10, 109, 12, 751), + Trans(10, 112, 12, 751), + Trans(10, 113, 12, 751), + Trans(11, 5, 12, 751), + Trans(11, 30, 12, 751), + Trans(11, 36, 12, 751), + Trans(11, 39, 12, 751), + Trans(11, 43, 12, 751), + Trans(11, 48, 12, 751), + Trans(11, 49, 12, 751), + Trans(11, 50, 12, 751), + Trans(11, 53, 12, 751), + Trans(11, 60, 12, 751), + Trans(11, 64, 12, 751), + Trans(11, 65, 12, 751), + Trans(11, 66, 12, 751), + Trans(11, 69, 12, 751), + Trans(11, 70, 12, 751), + Trans(11, 71, 12, 751), + Trans(11, 73, 12, 751), + Trans(11, 77, 12, 751), + Trans(11, 80, 12, 751), + Trans(11, 81, 12, 751), + Trans(11, 99, 12, 751), + Trans(11, 100, 12, 751), + Trans(11, 104, 12, 751), + Trans(11, 105, 12, 751), + Trans(11, 107, 12, 751), + Trans(11, 110, 12, 751), + Trans(11, 111, 12, 751), + Trans(11, 112, 12, 751), + Trans(11, 113, 12, 751), + Trans(13, 31, 12, 751), + Trans(13, 43, 12, 751), + Trans(13, 45, 12, 751), + Trans(14, 5, 12, 751), + Trans(14, 36, 12, 751), + Trans(14, 39, 12, 751), + Trans(14, 43, 12, 751), + Trans(14, 45, 12, 751), + Trans(14, 113, 12, 751), + Trans(15, 5, 12, 751), + Trans(15, 31, 12, 751), + Trans(15, 43, 12, 751), + Trans(15, 45, 12, 751), + Trans(16, 5, 12, 751), + Trans(16, 13, 12, 751), + Trans(16, 39, 12, 751), ], k: 3, }, - /* 487 - "PortDeclarationListOpt" */ + /* 486 - "PortDeclarationListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 732), - Trans(0, 43, 2, 733), - Trans(0, 45, 2, 733), + Trans(0, 31, 1, 752), + Trans(0, 43, 2, 753), + Trans(0, 45, 2, 753), ], k: 1, }, - /* 488 - "PortDeclarationOpt" */ + /* 487 - "PortDeclarationOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 727), - Trans(0, 39, 1, 727), - Trans(0, 45, 2, 728), - Trans(0, 112, 1, 727), + Trans(0, 36, 1, 747), + Trans(0, 39, 1, 747), + Trans(0, 45, 2, 748), + Trans(0, 113, 1, 747), ], k: 1, }, - /* 489 - "Pub" */ + /* 488 - "Pub" */ LookaheadDFA { - prod0: 307, + prod0: 309, transitions: &[], k: 0, }, - /* 490 - "PubTerm" */ + /* 489 - "PubTerm" */ LookaheadDFA { prod0: 86, transitions: &[], k: 0, }, - /* 491 - "PubToken" */ + /* 490 - "PubToken" */ LookaheadDFA { - prod0: 198, + prod0: 199, transitions: &[], k: 0, }, - /* 492 - "QuoteLBrace" */ + /* 491 - "QuoteLBrace" */ LookaheadDFA { - prod0: 249, + prod0: 251, transitions: &[], k: 0, }, - /* 493 - "QuoteLBraceTerm" */ + /* 492 - "QuoteLBraceTerm" */ LookaheadDFA { prod0: 33, transitions: &[], k: 0, }, - /* 494 - "QuoteLBraceToken" */ + /* 493 - "QuoteLBraceToken" */ LookaheadDFA { - prod0: 141, + prod0: 142, transitions: &[], k: 0, }, - /* 495 - "RAngle" */ + /* 494 - "RAngle" */ LookaheadDFA { - prod0: 257, + prod0: 259, transitions: &[], k: 0, }, - /* 496 - "RAngleTerm" */ + /* 495 - "RAngleTerm" */ LookaheadDFA { prod0: 37, transitions: &[], k: 0, }, - /* 497 - "RAngleToken" */ + /* 496 - "RAngleToken" */ LookaheadDFA { - prod0: 149, + prod0: 150, transitions: &[], k: 0, }, - /* 498 - "RBrace" */ + /* 497 - "RBrace" */ LookaheadDFA { - prod0: 258, + prod0: 260, transitions: &[], k: 0, }, - /* 499 - "RBraceTerm" */ + /* 498 - "RBraceTerm" */ LookaheadDFA { prod0: 38, transitions: &[], k: 0, }, - /* 500 - "RBraceToken" */ + /* 499 - "RBraceToken" */ LookaheadDFA { - prod0: 150, + prod0: 151, transitions: &[], k: 0, }, - /* 501 - "RBracket" */ + /* 500 - "RBracket" */ LookaheadDFA { - prod0: 259, + prod0: 261, transitions: &[], k: 0, }, - /* 502 - "RBracketTerm" */ + /* 501 - "RBracketTerm" */ LookaheadDFA { prod0: 39, transitions: &[], k: 0, }, - /* 503 - "RBracketToken" */ + /* 502 - "RBracketToken" */ LookaheadDFA { - prod0: 151, + prod0: 152, transitions: &[], k: 0, }, - /* 504 - "RParen" */ + /* 503 - "RParen" */ LookaheadDFA { - prod0: 260, + prod0: 262, transitions: &[], k: 0, }, - /* 505 - "RParenTerm" */ + /* 504 - "RParenTerm" */ LookaheadDFA { prod0: 40, transitions: &[], k: 0, }, - /* 506 - "RParenToken" */ + /* 505 - "RParenToken" */ LookaheadDFA { - prod0: 152, + prod0: 153, transitions: &[], k: 0, }, - /* 507 - "Range" */ + /* 506 - "Range" */ LookaheadDFA { - prod0: 481, + prod0: 486, transitions: &[], k: 0, }, - /* 508 - "RangeItem" */ + /* 507 - "RangeItem" */ LookaheadDFA { - prod0: 467, + prod0: 472, transitions: &[], k: 0, }, - /* 509 - "RangeList" */ + /* 508 - "RangeList" */ LookaheadDFA { - prod0: 462, + prod0: 467, transitions: &[], k: 0, }, - /* 510 - "RangeListList" */ + /* 509 - "RangeListList" */ LookaheadDFA { prod0: -1, transitions: &[ Trans(0, 31, 1, -1), - Trans(0, 43, 9, -1), - Trans(1, 5, 8, -1), + Trans(0, 43, 10, -1), + Trans(1, 5, 9, -1), Trans(1, 6, 2, -1), Trans(1, 7, 2, -1), Trans(1, 8, 2, -1), @@ -11168,812 +11397,824 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 38, 5, -1), Trans(1, 39, 4, -1), Trans(1, 41, 4, -1), - Trans(1, 43, 23, -1), + Trans(1, 43, 24, -1), Trans(1, 53, 4, -1), Trans(1, 70, 4, -1), Trans(1, 76, 4, -1), Trans(1, 83, 2, -1), Trans(1, 86, 2, -1), Trans(1, 88, 4, -1), - Trans(1, 111, 6, -1), + Trans(1, 105, 6, -1), Trans(1, 112, 7, -1), - Trans(2, 5, 3, 463), - Trans(2, 16, 3, 463), - Trans(2, 17, 3, 463), - Trans(2, 18, 3, 463), - Trans(2, 19, 3, 463), - Trans(2, 20, 3, 463), - Trans(2, 21, 3, 463), - Trans(2, 22, 3, 463), - Trans(2, 23, 3, 463), - Trans(2, 24, 3, 463), - Trans(2, 25, 3, 463), - Trans(2, 26, 3, 463), - Trans(2, 31, 3, 463), - Trans(2, 32, 3, 463), - Trans(2, 33, 3, 463), - Trans(2, 43, 3, 463), - Trans(2, 47, 3, 463), - Trans(2, 51, 3, 463), - Trans(4, 5, 3, 463), - Trans(4, 6, 3, 463), - Trans(4, 7, 3, 463), - Trans(4, 8, 3, 463), - Trans(4, 9, 3, 463), - Trans(4, 10, 3, 463), - Trans(4, 11, 3, 463), - Trans(4, 18, 3, 463), - Trans(4, 24, 3, 463), - Trans(4, 25, 3, 463), - Trans(4, 26, 3, 463), - Trans(4, 27, 3, 463), - Trans(4, 38, 3, 463), - Trans(4, 39, 3, 463), - Trans(4, 41, 3, 463), - Trans(4, 53, 3, 463), - Trans(4, 70, 3, 463), - Trans(4, 76, 3, 463), - Trans(4, 83, 3, 463), - Trans(4, 86, 3, 463), - Trans(4, 88, 3, 463), - Trans(4, 111, 3, 463), - Trans(4, 112, 3, 463), - Trans(5, 5, 3, 463), - Trans(5, 6, 3, 463), - Trans(5, 7, 3, 463), - Trans(5, 8, 3, 463), - Trans(5, 9, 3, 463), - Trans(5, 10, 3, 463), - Trans(5, 11, 3, 463), - Trans(5, 18, 3, 463), - Trans(5, 24, 3, 463), - Trans(5, 25, 3, 463), - Trans(5, 26, 3, 463), - Trans(5, 27, 3, 463), - Trans(5, 38, 3, 463), - Trans(5, 39, 3, 463), - Trans(5, 41, 3, 463), - Trans(5, 53, 3, 463), - Trans(5, 57, 3, 463), - Trans(5, 70, 3, 463), - Trans(5, 76, 3, 463), - Trans(5, 83, 3, 463), - Trans(5, 86, 3, 463), - Trans(5, 88, 3, 463), - Trans(5, 111, 3, 463), - Trans(5, 112, 3, 463), - Trans(6, 5, 3, 463), - Trans(6, 16, 3, 463), - Trans(6, 17, 3, 463), - Trans(6, 18, 3, 463), - Trans(6, 19, 3, 463), - Trans(6, 20, 3, 463), - Trans(6, 21, 3, 463), - Trans(6, 22, 3, 463), - Trans(6, 23, 3, 463), - Trans(6, 24, 3, 463), - Trans(6, 25, 3, 463), - Trans(6, 26, 3, 463), - Trans(6, 29, 3, 463), - Trans(6, 31, 3, 463), - Trans(6, 32, 3, 463), - Trans(6, 33, 3, 463), - Trans(6, 34, 3, 463), - Trans(6, 40, 3, 463), - Trans(6, 41, 3, 463), - Trans(6, 43, 3, 463), - Trans(6, 47, 3, 463), - Trans(6, 51, 3, 463), - Trans(7, 5, 3, 463), - Trans(7, 16, 3, 463), - Trans(7, 17, 3, 463), - Trans(7, 18, 3, 463), - Trans(7, 19, 3, 463), - Trans(7, 20, 3, 463), - Trans(7, 21, 3, 463), - Trans(7, 22, 3, 463), - Trans(7, 23, 3, 463), - Trans(7, 24, 3, 463), - Trans(7, 25, 3, 463), - Trans(7, 26, 3, 463), - Trans(7, 28, 3, 463), - Trans(7, 29, 3, 463), - Trans(7, 31, 3, 463), - Trans(7, 32, 3, 463), - Trans(7, 33, 3, 463), - Trans(7, 34, 3, 463), - Trans(7, 40, 3, 463), - Trans(7, 41, 3, 463), - Trans(7, 43, 3, 463), - Trans(7, 47, 3, 463), - Trans(7, 51, 3, 463), - Trans(8, 6, 3, 463), - Trans(8, 7, 3, 463), - Trans(8, 8, 3, 463), - Trans(8, 9, 3, 463), - Trans(8, 10, 3, 463), - Trans(8, 11, 3, 463), - Trans(8, 18, 3, 463), - Trans(8, 24, 3, 463), - Trans(8, 25, 3, 463), - Trans(8, 26, 3, 463), - Trans(8, 27, 3, 463), - Trans(8, 38, 3, 463), - Trans(8, 39, 3, 463), - Trans(8, 41, 3, 463), - Trans(8, 43, 22, 464), - Trans(8, 53, 3, 463), - Trans(8, 70, 3, 463), - Trans(8, 76, 3, 463), - Trans(8, 83, 3, 463), - Trans(8, 86, 3, 463), - Trans(8, 88, 3, 463), - Trans(8, 111, 3, 463), - Trans(8, 112, 3, 463), - Trans(9, 5, 10, -1), - Trans(9, 12, 11, -1), - Trans(9, 14, 11, -1), - Trans(9, 16, 11, -1), - Trans(9, 17, 11, -1), - Trans(9, 18, 11, -1), - Trans(9, 19, 11, -1), - Trans(9, 20, 11, -1), - Trans(9, 21, 11, -1), - Trans(9, 22, 11, -1), - Trans(9, 23, 11, -1), - Trans(9, 24, 11, -1), - Trans(9, 25, 11, -1), - Trans(9, 26, 11, -1), - Trans(9, 30, 12, -1), - Trans(9, 31, 13, -1), - Trans(9, 32, 11, -1), - Trans(9, 33, 11, -1), - Trans(9, 39, 14, -1), - Trans(9, 42, 15, -1), - Trans(9, 43, 16, -1), - Trans(9, 44, 17, -1), - Trans(9, 45, 18, -1), - Trans(9, 46, 19, -1), - Trans(9, 47, 11, -1), - Trans(9, 51, 20, -1), - Trans(9, 93, 11, -1), - Trans(9, 102, 21, -1), - Trans(10, 12, 22, 464), - Trans(10, 14, 22, 464), - Trans(10, 16, 22, 464), - Trans(10, 17, 22, 464), - Trans(10, 18, 22, 464), - Trans(10, 19, 22, 464), - Trans(10, 20, 22, 464), - Trans(10, 21, 22, 464), - Trans(10, 22, 22, 464), - Trans(10, 23, 22, 464), - Trans(10, 24, 22, 464), - Trans(10, 25, 22, 464), - Trans(10, 26, 22, 464), - Trans(10, 30, 22, 464), - Trans(10, 31, 22, 464), - Trans(10, 32, 22, 464), - Trans(10, 33, 22, 464), - Trans(10, 39, 22, 464), - Trans(10, 42, 22, 464), - Trans(10, 43, 22, 464), - Trans(10, 44, 22, 464), - Trans(10, 45, 22, 464), - Trans(10, 46, 22, 464), - Trans(10, 47, 22, 464), - Trans(10, 51, 22, 464), - Trans(10, 93, 22, 464), - Trans(10, 102, 22, 464), - Trans(11, 5, 22, 464), - Trans(11, 6, 22, 464), - Trans(11, 7, 22, 464), - Trans(11, 8, 22, 464), - Trans(11, 9, 22, 464), - Trans(11, 10, 22, 464), - Trans(11, 11, 22, 464), - Trans(11, 18, 22, 464), - Trans(11, 24, 22, 464), - Trans(11, 25, 22, 464), - Trans(11, 26, 22, 464), - Trans(11, 27, 22, 464), - Trans(11, 38, 22, 464), - Trans(11, 39, 22, 464), - Trans(11, 41, 22, 464), - Trans(11, 53, 22, 464), - Trans(11, 70, 22, 464), - Trans(11, 76, 22, 464), - Trans(11, 83, 22, 464), - Trans(11, 86, 22, 464), - Trans(11, 88, 22, 464), - Trans(11, 111, 22, 464), - Trans(11, 112, 22, 464), - Trans(12, 5, 22, 464), - Trans(12, 6, 22, 464), - Trans(12, 7, 22, 464), - Trans(12, 8, 22, 464), - Trans(12, 9, 22, 464), - Trans(12, 10, 22, 464), - Trans(12, 11, 22, 464), - Trans(12, 18, 22, 464), - Trans(12, 24, 22, 464), - Trans(12, 25, 22, 464), - Trans(12, 26, 22, 464), - Trans(12, 27, 22, 464), - Trans(12, 38, 22, 464), - Trans(12, 39, 22, 464), - Trans(12, 41, 22, 464), - Trans(12, 53, 22, 464), - Trans(12, 65, 22, 464), - Trans(12, 69, 22, 464), - Trans(12, 70, 22, 464), - Trans(12, 76, 22, 464), - Trans(12, 80, 22, 464), - Trans(12, 83, 22, 464), - Trans(12, 86, 22, 464), - Trans(12, 88, 22, 464), - Trans(12, 99, 22, 464), - Trans(12, 100, 22, 464), - Trans(12, 111, 22, 464), - Trans(12, 112, 22, 464), - Trans(13, 5, 22, 464), - Trans(13, 6, 22, 464), - Trans(13, 7, 22, 464), - Trans(13, 8, 22, 464), - Trans(13, 9, 22, 464), - Trans(13, 10, 22, 464), - Trans(13, 11, 22, 464), - Trans(13, 18, 22, 464), - Trans(13, 24, 22, 464), - Trans(13, 25, 22, 464), - Trans(13, 26, 22, 464), - Trans(13, 27, 22, 464), - Trans(13, 36, 22, 464), - Trans(13, 38, 22, 464), - Trans(13, 39, 22, 464), - Trans(13, 41, 22, 464), - Trans(13, 43, 22, 464), - Trans(13, 45, 22, 464), - Trans(13, 53, 22, 464), - Trans(13, 57, 22, 464), - Trans(13, 70, 22, 464), - Trans(13, 76, 22, 464), - Trans(13, 81, 22, 464), - Trans(13, 83, 22, 464), - Trans(13, 86, 22, 464), - Trans(13, 88, 22, 464), - Trans(13, 90, 22, 464), - Trans(13, 111, 22, 464), - Trans(13, 112, 22, 464), - Trans(14, 5, 22, 464), - Trans(14, 6, 22, 464), - Trans(14, 7, 22, 464), - Trans(14, 8, 22, 464), - Trans(14, 9, 22, 464), - Trans(14, 10, 22, 464), - Trans(14, 11, 22, 464), - Trans(14, 18, 22, 464), - Trans(14, 24, 22, 464), - Trans(14, 25, 22, 464), - Trans(14, 26, 22, 464), - Trans(14, 27, 22, 464), - Trans(14, 30, 22, 464), - Trans(14, 36, 22, 464), - Trans(14, 38, 22, 464), - Trans(14, 39, 22, 464), - Trans(14, 41, 22, 464), - Trans(14, 43, 22, 464), - Trans(14, 48, 22, 464), - Trans(14, 49, 22, 464), - Trans(14, 50, 22, 464), - Trans(14, 53, 22, 464), - Trans(14, 57, 22, 464), - Trans(14, 60, 22, 464), - Trans(14, 64, 22, 464), - Trans(14, 65, 22, 464), - Trans(14, 66, 22, 464), - Trans(14, 69, 22, 464), - Trans(14, 70, 22, 464), - Trans(14, 71, 22, 464), - Trans(14, 73, 22, 464), - Trans(14, 76, 22, 464), - Trans(14, 77, 22, 464), - Trans(14, 80, 22, 464), - Trans(14, 81, 22, 464), - Trans(14, 83, 22, 464), - Trans(14, 84, 22, 464), - Trans(14, 86, 22, 464), - Trans(14, 88, 22, 464), - Trans(14, 99, 22, 464), - Trans(14, 100, 22, 464), - Trans(14, 104, 22, 464), - Trans(14, 106, 22, 464), - Trans(14, 109, 22, 464), - Trans(14, 110, 22, 464), - Trans(14, 111, 22, 464), - Trans(14, 112, 22, 464), - Trans(15, 5, 22, 464), - Trans(15, 31, 22, 464), - Trans(15, 35, 22, 464), - Trans(15, 39, 22, 464), - Trans(15, 40, 22, 464), - Trans(15, 43, 22, 464), - Trans(15, 45, 22, 464), - Trans(15, 46, 22, 464), - Trans(15, 79, 22, 464), - Trans(16, 5, 22, 464), - Trans(16, 12, 22, 464), - Trans(16, 14, 22, 464), - Trans(16, 16, 22, 464), - Trans(16, 17, 22, 464), - Trans(16, 18, 22, 464), - Trans(16, 19, 22, 464), - Trans(16, 20, 22, 464), - Trans(16, 21, 22, 464), - Trans(16, 22, 22, 464), - Trans(16, 23, 22, 464), - Trans(16, 24, 22, 464), - Trans(16, 25, 22, 464), - Trans(16, 26, 22, 464), - Trans(16, 30, 22, 464), - Trans(16, 31, 22, 464), - Trans(16, 32, 22, 464), - Trans(16, 33, 22, 464), - Trans(16, 36, 22, 464), - Trans(16, 39, 22, 464), - Trans(16, 42, 22, 464), - Trans(16, 43, 22, 464), - Trans(16, 44, 22, 464), - Trans(16, 45, 22, 464), - Trans(16, 46, 22, 464), - Trans(16, 47, 22, 464), - Trans(16, 48, 22, 464), - Trans(16, 49, 22, 464), - Trans(16, 50, 22, 464), - Trans(16, 51, 22, 464), - Trans(16, 58, 22, 464), - Trans(16, 60, 22, 464), - Trans(16, 61, 22, 464), - Trans(16, 64, 22, 464), - Trans(16, 65, 22, 464), - Trans(16, 66, 22, 464), - Trans(16, 70, 22, 464), - Trans(16, 71, 22, 464), - Trans(16, 73, 22, 464), - Trans(16, 77, 22, 464), - Trans(16, 80, 22, 464), - Trans(16, 81, 22, 464), - Trans(16, 84, 22, 464), - Trans(16, 93, 22, 464), - Trans(16, 102, 22, 464), - Trans(16, 104, 22, 464), - Trans(16, 106, 22, 464), - Trans(16, 109, 22, 464), - Trans(16, 110, 22, 464), - Trans(17, 5, 22, 464), - Trans(17, 12, 22, 464), - Trans(17, 14, 22, 464), - Trans(17, 15, 22, 464), - Trans(17, 16, 22, 464), - Trans(17, 17, 22, 464), - Trans(17, 18, 22, 464), - Trans(17, 19, 22, 464), - Trans(17, 20, 22, 464), - Trans(17, 21, 22, 464), - Trans(17, 22, 22, 464), - Trans(17, 23, 22, 464), - Trans(17, 24, 22, 464), - Trans(17, 25, 22, 464), - Trans(17, 26, 22, 464), - Trans(17, 30, 22, 464), - Trans(17, 31, 22, 464), - Trans(17, 32, 22, 464), - Trans(17, 33, 22, 464), - Trans(17, 34, 22, 464), - Trans(17, 35, 22, 464), - Trans(17, 36, 22, 464), - Trans(17, 39, 22, 464), - Trans(17, 40, 22, 464), - Trans(17, 41, 22, 464), - Trans(17, 42, 22, 464), - Trans(17, 43, 22, 464), - Trans(17, 44, 22, 464), - Trans(17, 45, 22, 464), - Trans(17, 46, 22, 464), - Trans(17, 47, 22, 464), - Trans(17, 51, 22, 464), - Trans(17, 93, 22, 464), - Trans(17, 102, 22, 464), - Trans(18, 5, 22, 464), - Trans(18, 12, 22, 464), - Trans(18, 14, 22, 464), - Trans(18, 16, 22, 464), - Trans(18, 17, 22, 464), - Trans(18, 18, 22, 464), - Trans(18, 19, 22, 464), - Trans(18, 20, 22, 464), - Trans(18, 21, 22, 464), - Trans(18, 22, 22, 464), - Trans(18, 23, 22, 464), - Trans(18, 24, 22, 464), - Trans(18, 25, 22, 464), - Trans(18, 26, 22, 464), - Trans(18, 30, 22, 464), - Trans(18, 31, 22, 464), - Trans(18, 32, 22, 464), - Trans(18, 33, 22, 464), - Trans(18, 39, 22, 464), - Trans(18, 41, 22, 464), - Trans(18, 42, 22, 464), - Trans(18, 43, 22, 464), - Trans(18, 44, 22, 464), - Trans(18, 45, 22, 464), - Trans(18, 46, 22, 464), - Trans(18, 47, 22, 464), - Trans(18, 51, 22, 464), - Trans(18, 93, 22, 464), - Trans(18, 102, 22, 464), - Trans(19, 5, 22, 464), - Trans(19, 6, 22, 464), - Trans(19, 7, 22, 464), - Trans(19, 8, 22, 464), - Trans(19, 9, 22, 464), - Trans(19, 10, 22, 464), - Trans(19, 11, 22, 464), - Trans(19, 18, 22, 464), - Trans(19, 24, 22, 464), - Trans(19, 25, 22, 464), - Trans(19, 26, 22, 464), - Trans(19, 27, 22, 464), - Trans(19, 30, 22, 464), - Trans(19, 36, 22, 464), - Trans(19, 38, 22, 464), - Trans(19, 39, 22, 464), - Trans(19, 41, 22, 464), - Trans(19, 43, 22, 464), - Trans(19, 48, 22, 464), - Trans(19, 49, 22, 464), - Trans(19, 50, 22, 464), - Trans(19, 53, 22, 464), - Trans(19, 57, 22, 464), - Trans(19, 60, 22, 464), - Trans(19, 61, 22, 464), - Trans(19, 64, 22, 464), - Trans(19, 65, 22, 464), - Trans(19, 66, 22, 464), - Trans(19, 69, 22, 464), - Trans(19, 70, 22, 464), - Trans(19, 71, 22, 464), - Trans(19, 73, 22, 464), - Trans(19, 76, 22, 464), - Trans(19, 77, 22, 464), - Trans(19, 80, 22, 464), - Trans(19, 81, 22, 464), - Trans(19, 83, 22, 464), - Trans(19, 84, 22, 464), - Trans(19, 86, 22, 464), - Trans(19, 88, 22, 464), - Trans(19, 99, 22, 464), - Trans(19, 100, 22, 464), - Trans(19, 104, 22, 464), - Trans(19, 106, 22, 464), - Trans(19, 109, 22, 464), - Trans(19, 110, 22, 464), - Trans(19, 111, 22, 464), - Trans(19, 112, 22, 464), - Trans(20, 5, 22, 464), - Trans(20, 111, 22, 464), - Trans(20, 112, 22, 464), - Trans(21, 5, 22, 464), - Trans(21, 6, 22, 464), - Trans(21, 7, 22, 464), - Trans(21, 8, 22, 464), - Trans(21, 9, 22, 464), - Trans(21, 10, 22, 464), - Trans(21, 11, 22, 464), - Trans(21, 15, 22, 464), - Trans(21, 18, 22, 464), - Trans(21, 24, 22, 464), - Trans(21, 25, 22, 464), - Trans(21, 26, 22, 464), - Trans(21, 27, 22, 464), - Trans(21, 38, 22, 464), - Trans(21, 39, 22, 464), - Trans(21, 41, 22, 464), - Trans(21, 53, 22, 464), - Trans(21, 70, 22, 464), - Trans(21, 76, 22, 464), - Trans(21, 83, 22, 464), - Trans(21, 86, 22, 464), - Trans(21, 88, 22, 464), - Trans(21, 111, 22, 464), - Trans(21, 112, 22, 464), - Trans(23, 5, 22, 464), - Trans(23, 12, 22, 464), - Trans(23, 14, 22, 464), - Trans(23, 16, 22, 464), - Trans(23, 17, 22, 464), - Trans(23, 18, 22, 464), - Trans(23, 19, 22, 464), - Trans(23, 20, 22, 464), - Trans(23, 21, 22, 464), - Trans(23, 22, 22, 464), - Trans(23, 23, 22, 464), - Trans(23, 24, 22, 464), - Trans(23, 25, 22, 464), - Trans(23, 26, 22, 464), - Trans(23, 30, 22, 464), - Trans(23, 31, 22, 464), - Trans(23, 32, 22, 464), - Trans(23, 33, 22, 464), - Trans(23, 39, 22, 464), - Trans(23, 42, 22, 464), - Trans(23, 43, 22, 464), - Trans(23, 44, 22, 464), - Trans(23, 45, 22, 464), - Trans(23, 46, 22, 464), - Trans(23, 47, 22, 464), - Trans(23, 51, 22, 464), - Trans(23, 93, 22, 464), - Trans(23, 102, 22, 464), + Trans(1, 113, 8, -1), + Trans(2, 5, 3, 468), + Trans(2, 16, 3, 468), + Trans(2, 17, 3, 468), + Trans(2, 18, 3, 468), + Trans(2, 19, 3, 468), + Trans(2, 20, 3, 468), + Trans(2, 21, 3, 468), + Trans(2, 22, 3, 468), + Trans(2, 23, 3, 468), + Trans(2, 24, 3, 468), + Trans(2, 25, 3, 468), + Trans(2, 26, 3, 468), + Trans(2, 31, 3, 468), + Trans(2, 32, 3, 468), + Trans(2, 33, 3, 468), + Trans(2, 43, 3, 468), + Trans(2, 47, 3, 468), + Trans(2, 51, 3, 468), + Trans(4, 5, 3, 468), + Trans(4, 6, 3, 468), + Trans(4, 7, 3, 468), + Trans(4, 8, 3, 468), + Trans(4, 9, 3, 468), + Trans(4, 10, 3, 468), + Trans(4, 11, 3, 468), + Trans(4, 18, 3, 468), + Trans(4, 24, 3, 468), + Trans(4, 25, 3, 468), + Trans(4, 26, 3, 468), + Trans(4, 27, 3, 468), + Trans(4, 38, 3, 468), + Trans(4, 39, 3, 468), + Trans(4, 41, 3, 468), + Trans(4, 53, 3, 468), + Trans(4, 70, 3, 468), + Trans(4, 76, 3, 468), + Trans(4, 83, 3, 468), + Trans(4, 86, 3, 468), + Trans(4, 88, 3, 468), + Trans(4, 105, 3, 468), + Trans(4, 112, 3, 468), + Trans(4, 113, 3, 468), + Trans(5, 5, 3, 468), + Trans(5, 6, 3, 468), + Trans(5, 7, 3, 468), + Trans(5, 8, 3, 468), + Trans(5, 9, 3, 468), + Trans(5, 10, 3, 468), + Trans(5, 11, 3, 468), + Trans(5, 18, 3, 468), + Trans(5, 24, 3, 468), + Trans(5, 25, 3, 468), + Trans(5, 26, 3, 468), + Trans(5, 27, 3, 468), + Trans(5, 38, 3, 468), + Trans(5, 39, 3, 468), + Trans(5, 41, 3, 468), + Trans(5, 53, 3, 468), + Trans(5, 57, 3, 468), + Trans(5, 70, 3, 468), + Trans(5, 76, 3, 468), + Trans(5, 83, 3, 468), + Trans(5, 86, 3, 468), + Trans(5, 88, 3, 468), + Trans(5, 105, 3, 468), + Trans(5, 112, 3, 468), + Trans(5, 113, 3, 468), + Trans(6, 5, 3, 468), + Trans(6, 39, 3, 468), + Trans(7, 5, 3, 468), + Trans(7, 16, 3, 468), + Trans(7, 17, 3, 468), + Trans(7, 18, 3, 468), + Trans(7, 19, 3, 468), + Trans(7, 20, 3, 468), + Trans(7, 21, 3, 468), + Trans(7, 22, 3, 468), + Trans(7, 23, 3, 468), + Trans(7, 24, 3, 468), + Trans(7, 25, 3, 468), + Trans(7, 26, 3, 468), + Trans(7, 29, 3, 468), + Trans(7, 31, 3, 468), + Trans(7, 32, 3, 468), + Trans(7, 33, 3, 468), + Trans(7, 34, 3, 468), + Trans(7, 40, 3, 468), + Trans(7, 41, 3, 468), + Trans(7, 43, 3, 468), + Trans(7, 47, 3, 468), + Trans(7, 51, 3, 468), + Trans(8, 5, 3, 468), + Trans(8, 16, 3, 468), + Trans(8, 17, 3, 468), + Trans(8, 18, 3, 468), + Trans(8, 19, 3, 468), + Trans(8, 20, 3, 468), + Trans(8, 21, 3, 468), + Trans(8, 22, 3, 468), + Trans(8, 23, 3, 468), + Trans(8, 24, 3, 468), + Trans(8, 25, 3, 468), + Trans(8, 26, 3, 468), + Trans(8, 28, 3, 468), + Trans(8, 29, 3, 468), + Trans(8, 31, 3, 468), + Trans(8, 32, 3, 468), + Trans(8, 33, 3, 468), + Trans(8, 34, 3, 468), + Trans(8, 40, 3, 468), + Trans(8, 41, 3, 468), + Trans(8, 43, 3, 468), + Trans(8, 47, 3, 468), + Trans(8, 51, 3, 468), + Trans(9, 6, 3, 468), + Trans(9, 7, 3, 468), + Trans(9, 8, 3, 468), + Trans(9, 9, 3, 468), + Trans(9, 10, 3, 468), + Trans(9, 11, 3, 468), + Trans(9, 18, 3, 468), + Trans(9, 24, 3, 468), + Trans(9, 25, 3, 468), + Trans(9, 26, 3, 468), + Trans(9, 27, 3, 468), + Trans(9, 38, 3, 468), + Trans(9, 39, 3, 468), + Trans(9, 41, 3, 468), + Trans(9, 43, 23, 469), + Trans(9, 53, 3, 468), + Trans(9, 70, 3, 468), + Trans(9, 76, 3, 468), + Trans(9, 83, 3, 468), + Trans(9, 86, 3, 468), + Trans(9, 88, 3, 468), + Trans(9, 105, 3, 468), + Trans(9, 112, 3, 468), + Trans(9, 113, 3, 468), + Trans(10, 5, 11, -1), + Trans(10, 12, 12, -1), + Trans(10, 14, 12, -1), + Trans(10, 16, 12, -1), + Trans(10, 17, 12, -1), + Trans(10, 18, 12, -1), + Trans(10, 19, 12, -1), + Trans(10, 20, 12, -1), + Trans(10, 21, 12, -1), + Trans(10, 22, 12, -1), + Trans(10, 23, 12, -1), + Trans(10, 24, 12, -1), + Trans(10, 25, 12, -1), + Trans(10, 26, 12, -1), + Trans(10, 30, 13, -1), + Trans(10, 31, 14, -1), + Trans(10, 32, 12, -1), + Trans(10, 33, 12, -1), + Trans(10, 39, 15, -1), + Trans(10, 42, 16, -1), + Trans(10, 43, 17, -1), + Trans(10, 44, 18, -1), + Trans(10, 45, 19, -1), + Trans(10, 46, 20, -1), + Trans(10, 47, 12, -1), + Trans(10, 51, 21, -1), + Trans(10, 93, 12, -1), + Trans(10, 102, 22, -1), + Trans(11, 12, 23, 469), + Trans(11, 14, 23, 469), + Trans(11, 16, 23, 469), + Trans(11, 17, 23, 469), + Trans(11, 18, 23, 469), + Trans(11, 19, 23, 469), + Trans(11, 20, 23, 469), + Trans(11, 21, 23, 469), + Trans(11, 22, 23, 469), + Trans(11, 23, 23, 469), + Trans(11, 24, 23, 469), + Trans(11, 25, 23, 469), + Trans(11, 26, 23, 469), + Trans(11, 30, 23, 469), + Trans(11, 31, 23, 469), + Trans(11, 32, 23, 469), + Trans(11, 33, 23, 469), + Trans(11, 39, 23, 469), + Trans(11, 42, 23, 469), + Trans(11, 43, 23, 469), + Trans(11, 44, 23, 469), + Trans(11, 45, 23, 469), + Trans(11, 46, 23, 469), + Trans(11, 47, 23, 469), + Trans(11, 51, 23, 469), + Trans(11, 93, 23, 469), + Trans(11, 102, 23, 469), + Trans(12, 5, 23, 469), + Trans(12, 6, 23, 469), + Trans(12, 7, 23, 469), + Trans(12, 8, 23, 469), + Trans(12, 9, 23, 469), + Trans(12, 10, 23, 469), + Trans(12, 11, 23, 469), + Trans(12, 18, 23, 469), + Trans(12, 24, 23, 469), + Trans(12, 25, 23, 469), + Trans(12, 26, 23, 469), + Trans(12, 27, 23, 469), + Trans(12, 38, 23, 469), + Trans(12, 39, 23, 469), + Trans(12, 41, 23, 469), + Trans(12, 53, 23, 469), + Trans(12, 70, 23, 469), + Trans(12, 76, 23, 469), + Trans(12, 83, 23, 469), + Trans(12, 86, 23, 469), + Trans(12, 88, 23, 469), + Trans(12, 105, 23, 469), + Trans(12, 112, 23, 469), + Trans(12, 113, 23, 469), + Trans(13, 5, 23, 469), + Trans(13, 6, 23, 469), + Trans(13, 7, 23, 469), + Trans(13, 8, 23, 469), + Trans(13, 9, 23, 469), + Trans(13, 10, 23, 469), + Trans(13, 11, 23, 469), + Trans(13, 18, 23, 469), + Trans(13, 24, 23, 469), + Trans(13, 25, 23, 469), + Trans(13, 26, 23, 469), + Trans(13, 27, 23, 469), + Trans(13, 38, 23, 469), + Trans(13, 39, 23, 469), + Trans(13, 41, 23, 469), + Trans(13, 53, 23, 469), + Trans(13, 65, 23, 469), + Trans(13, 69, 23, 469), + Trans(13, 70, 23, 469), + Trans(13, 76, 23, 469), + Trans(13, 80, 23, 469), + Trans(13, 83, 23, 469), + Trans(13, 86, 23, 469), + Trans(13, 88, 23, 469), + Trans(13, 99, 23, 469), + Trans(13, 100, 23, 469), + Trans(13, 105, 23, 469), + Trans(13, 112, 23, 469), + Trans(13, 113, 23, 469), + Trans(14, 5, 23, 469), + Trans(14, 6, 23, 469), + Trans(14, 7, 23, 469), + Trans(14, 8, 23, 469), + Trans(14, 9, 23, 469), + Trans(14, 10, 23, 469), + Trans(14, 11, 23, 469), + Trans(14, 18, 23, 469), + Trans(14, 24, 23, 469), + Trans(14, 25, 23, 469), + Trans(14, 26, 23, 469), + Trans(14, 27, 23, 469), + Trans(14, 36, 23, 469), + Trans(14, 38, 23, 469), + Trans(14, 39, 23, 469), + Trans(14, 41, 23, 469), + Trans(14, 43, 23, 469), + Trans(14, 45, 23, 469), + Trans(14, 53, 23, 469), + Trans(14, 57, 23, 469), + Trans(14, 70, 23, 469), + Trans(14, 76, 23, 469), + Trans(14, 81, 23, 469), + Trans(14, 83, 23, 469), + Trans(14, 86, 23, 469), + Trans(14, 88, 23, 469), + Trans(14, 90, 23, 469), + Trans(14, 105, 23, 469), + Trans(14, 112, 23, 469), + Trans(14, 113, 23, 469), + Trans(15, 5, 23, 469), + Trans(15, 6, 23, 469), + Trans(15, 7, 23, 469), + Trans(15, 8, 23, 469), + Trans(15, 9, 23, 469), + Trans(15, 10, 23, 469), + Trans(15, 11, 23, 469), + Trans(15, 18, 23, 469), + Trans(15, 24, 23, 469), + Trans(15, 25, 23, 469), + Trans(15, 26, 23, 469), + Trans(15, 27, 23, 469), + Trans(15, 30, 23, 469), + Trans(15, 36, 23, 469), + Trans(15, 38, 23, 469), + Trans(15, 39, 23, 469), + Trans(15, 41, 23, 469), + Trans(15, 43, 23, 469), + Trans(15, 48, 23, 469), + Trans(15, 49, 23, 469), + Trans(15, 50, 23, 469), + Trans(15, 53, 23, 469), + Trans(15, 57, 23, 469), + Trans(15, 60, 23, 469), + Trans(15, 64, 23, 469), + Trans(15, 65, 23, 469), + Trans(15, 66, 23, 469), + Trans(15, 69, 23, 469), + Trans(15, 70, 23, 469), + Trans(15, 71, 23, 469), + Trans(15, 73, 23, 469), + Trans(15, 76, 23, 469), + Trans(15, 77, 23, 469), + Trans(15, 80, 23, 469), + Trans(15, 81, 23, 469), + Trans(15, 83, 23, 469), + Trans(15, 84, 23, 469), + Trans(15, 86, 23, 469), + Trans(15, 88, 23, 469), + Trans(15, 99, 23, 469), + Trans(15, 100, 23, 469), + Trans(15, 104, 23, 469), + Trans(15, 105, 23, 469), + Trans(15, 107, 23, 469), + Trans(15, 110, 23, 469), + Trans(15, 111, 23, 469), + Trans(15, 112, 23, 469), + Trans(15, 113, 23, 469), + Trans(16, 5, 23, 469), + Trans(16, 31, 23, 469), + Trans(16, 35, 23, 469), + Trans(16, 39, 23, 469), + Trans(16, 40, 23, 469), + Trans(16, 43, 23, 469), + Trans(16, 45, 23, 469), + Trans(16, 46, 23, 469), + Trans(16, 79, 23, 469), + Trans(17, 5, 23, 469), + Trans(17, 12, 23, 469), + Trans(17, 14, 23, 469), + Trans(17, 16, 23, 469), + Trans(17, 17, 23, 469), + Trans(17, 18, 23, 469), + Trans(17, 19, 23, 469), + Trans(17, 20, 23, 469), + Trans(17, 21, 23, 469), + Trans(17, 22, 23, 469), + Trans(17, 23, 23, 469), + Trans(17, 24, 23, 469), + Trans(17, 25, 23, 469), + Trans(17, 26, 23, 469), + Trans(17, 30, 23, 469), + Trans(17, 31, 23, 469), + Trans(17, 32, 23, 469), + Trans(17, 33, 23, 469), + Trans(17, 36, 23, 469), + Trans(17, 39, 23, 469), + Trans(17, 42, 23, 469), + Trans(17, 43, 23, 469), + Trans(17, 44, 23, 469), + Trans(17, 45, 23, 469), + Trans(17, 46, 23, 469), + Trans(17, 47, 23, 469), + Trans(17, 48, 23, 469), + Trans(17, 49, 23, 469), + Trans(17, 50, 23, 469), + Trans(17, 51, 23, 469), + Trans(17, 58, 23, 469), + Trans(17, 60, 23, 469), + Trans(17, 61, 23, 469), + Trans(17, 64, 23, 469), + Trans(17, 65, 23, 469), + Trans(17, 66, 23, 469), + Trans(17, 70, 23, 469), + Trans(17, 71, 23, 469), + Trans(17, 73, 23, 469), + Trans(17, 77, 23, 469), + Trans(17, 80, 23, 469), + Trans(17, 81, 23, 469), + Trans(17, 84, 23, 469), + Trans(17, 93, 23, 469), + Trans(17, 102, 23, 469), + Trans(17, 104, 23, 469), + Trans(17, 107, 23, 469), + Trans(17, 110, 23, 469), + Trans(17, 111, 23, 469), + Trans(18, 5, 23, 469), + Trans(18, 12, 23, 469), + Trans(18, 14, 23, 469), + Trans(18, 15, 23, 469), + Trans(18, 16, 23, 469), + Trans(18, 17, 23, 469), + Trans(18, 18, 23, 469), + Trans(18, 19, 23, 469), + Trans(18, 20, 23, 469), + Trans(18, 21, 23, 469), + Trans(18, 22, 23, 469), + Trans(18, 23, 23, 469), + Trans(18, 24, 23, 469), + Trans(18, 25, 23, 469), + Trans(18, 26, 23, 469), + Trans(18, 30, 23, 469), + Trans(18, 31, 23, 469), + Trans(18, 32, 23, 469), + Trans(18, 33, 23, 469), + Trans(18, 34, 23, 469), + Trans(18, 35, 23, 469), + Trans(18, 36, 23, 469), + Trans(18, 39, 23, 469), + Trans(18, 40, 23, 469), + Trans(18, 41, 23, 469), + Trans(18, 42, 23, 469), + Trans(18, 43, 23, 469), + Trans(18, 44, 23, 469), + Trans(18, 45, 23, 469), + Trans(18, 46, 23, 469), + Trans(18, 47, 23, 469), + Trans(18, 51, 23, 469), + Trans(18, 93, 23, 469), + Trans(18, 102, 23, 469), + Trans(19, 5, 23, 469), + Trans(19, 12, 23, 469), + Trans(19, 14, 23, 469), + Trans(19, 16, 23, 469), + Trans(19, 17, 23, 469), + Trans(19, 18, 23, 469), + Trans(19, 19, 23, 469), + Trans(19, 20, 23, 469), + Trans(19, 21, 23, 469), + Trans(19, 22, 23, 469), + Trans(19, 23, 23, 469), + Trans(19, 24, 23, 469), + Trans(19, 25, 23, 469), + Trans(19, 26, 23, 469), + Trans(19, 30, 23, 469), + Trans(19, 31, 23, 469), + Trans(19, 32, 23, 469), + Trans(19, 33, 23, 469), + Trans(19, 39, 23, 469), + Trans(19, 41, 23, 469), + Trans(19, 42, 23, 469), + Trans(19, 43, 23, 469), + Trans(19, 44, 23, 469), + Trans(19, 45, 23, 469), + Trans(19, 46, 23, 469), + Trans(19, 47, 23, 469), + Trans(19, 51, 23, 469), + Trans(19, 93, 23, 469), + Trans(19, 102, 23, 469), + Trans(20, 5, 23, 469), + Trans(20, 6, 23, 469), + Trans(20, 7, 23, 469), + Trans(20, 8, 23, 469), + Trans(20, 9, 23, 469), + Trans(20, 10, 23, 469), + Trans(20, 11, 23, 469), + Trans(20, 18, 23, 469), + Trans(20, 24, 23, 469), + Trans(20, 25, 23, 469), + Trans(20, 26, 23, 469), + Trans(20, 27, 23, 469), + Trans(20, 30, 23, 469), + Trans(20, 36, 23, 469), + Trans(20, 38, 23, 469), + Trans(20, 39, 23, 469), + Trans(20, 41, 23, 469), + Trans(20, 43, 23, 469), + Trans(20, 48, 23, 469), + Trans(20, 49, 23, 469), + Trans(20, 50, 23, 469), + Trans(20, 53, 23, 469), + Trans(20, 57, 23, 469), + Trans(20, 60, 23, 469), + Trans(20, 61, 23, 469), + Trans(20, 64, 23, 469), + Trans(20, 65, 23, 469), + Trans(20, 66, 23, 469), + Trans(20, 69, 23, 469), + Trans(20, 70, 23, 469), + Trans(20, 71, 23, 469), + Trans(20, 73, 23, 469), + Trans(20, 76, 23, 469), + Trans(20, 77, 23, 469), + Trans(20, 80, 23, 469), + Trans(20, 81, 23, 469), + Trans(20, 83, 23, 469), + Trans(20, 84, 23, 469), + Trans(20, 86, 23, 469), + Trans(20, 88, 23, 469), + Trans(20, 99, 23, 469), + Trans(20, 100, 23, 469), + Trans(20, 104, 23, 469), + Trans(20, 105, 23, 469), + Trans(20, 107, 23, 469), + Trans(20, 110, 23, 469), + Trans(20, 111, 23, 469), + Trans(20, 112, 23, 469), + Trans(20, 113, 23, 469), + Trans(21, 5, 23, 469), + Trans(21, 112, 23, 469), + Trans(21, 113, 23, 469), + Trans(22, 5, 23, 469), + Trans(22, 6, 23, 469), + Trans(22, 7, 23, 469), + Trans(22, 8, 23, 469), + Trans(22, 9, 23, 469), + Trans(22, 10, 23, 469), + Trans(22, 11, 23, 469), + Trans(22, 15, 23, 469), + Trans(22, 18, 23, 469), + Trans(22, 24, 23, 469), + Trans(22, 25, 23, 469), + Trans(22, 26, 23, 469), + Trans(22, 27, 23, 469), + Trans(22, 38, 23, 469), + Trans(22, 39, 23, 469), + Trans(22, 41, 23, 469), + Trans(22, 53, 23, 469), + Trans(22, 70, 23, 469), + Trans(22, 76, 23, 469), + Trans(22, 83, 23, 469), + Trans(22, 86, 23, 469), + Trans(22, 88, 23, 469), + Trans(22, 105, 23, 469), + Trans(22, 112, 23, 469), + Trans(22, 113, 23, 469), + Trans(24, 5, 23, 469), + Trans(24, 12, 23, 469), + Trans(24, 14, 23, 469), + Trans(24, 16, 23, 469), + Trans(24, 17, 23, 469), + Trans(24, 18, 23, 469), + Trans(24, 19, 23, 469), + Trans(24, 20, 23, 469), + Trans(24, 21, 23, 469), + Trans(24, 22, 23, 469), + Trans(24, 23, 23, 469), + Trans(24, 24, 23, 469), + Trans(24, 25, 23, 469), + Trans(24, 26, 23, 469), + Trans(24, 30, 23, 469), + Trans(24, 31, 23, 469), + Trans(24, 32, 23, 469), + Trans(24, 33, 23, 469), + Trans(24, 39, 23, 469), + Trans(24, 42, 23, 469), + Trans(24, 43, 23, 469), + Trans(24, 44, 23, 469), + Trans(24, 45, 23, 469), + Trans(24, 46, 23, 469), + Trans(24, 47, 23, 469), + Trans(24, 51, 23, 469), + Trans(24, 93, 23, 469), + Trans(24, 102, 23, 469), ], k: 3, }, - /* 511 - "RangeListOpt" */ + /* 510 - "RangeListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 465), Trans(0, 43, 2, 466)], + transitions: &[Trans(0, 31, 1, 470), Trans(0, 43, 2, 471)], k: 1, }, - /* 512 - "RangeOperator" */ + /* 511 - "RangeOperator" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 32, 2, 485), Trans(0, 33, 1, 484)], + transitions: &[Trans(0, 32, 2, 490), Trans(0, 33, 1, 489)], k: 1, }, - /* 513 - "RangeOpt" */ + /* 512 - "RangeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 30, 2, 483), - Trans(0, 31, 2, 483), - Trans(0, 32, 1, 482), - Trans(0, 33, 1, 482), - Trans(0, 39, 2, 483), - Trans(0, 43, 2, 483), - Trans(0, 102, 2, 483), + Trans(0, 30, 2, 488), + Trans(0, 31, 2, 488), + Trans(0, 32, 1, 487), + Trans(0, 33, 1, 487), + Trans(0, 39, 2, 488), + Trans(0, 43, 2, 488), + Trans(0, 102, 2, 488), ], k: 1, }, - /* 514 - "RealNumber" */ + /* 513 - "RealNumber" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 7, 2, 334), Trans(0, 8, 1, 333)], + transitions: &[Trans(0, 7, 2, 337), Trans(0, 8, 1, 336)], k: 1, }, - /* 515 - "Ref" */ + /* 514 - "Ref" */ LookaheadDFA { - prod0: 308, + prod0: 310, transitions: &[], k: 0, }, - /* 516 - "RefTerm" */ + /* 515 - "RefTerm" */ LookaheadDFA { prod0: 87, transitions: &[], k: 0, }, - /* 517 - "RefToken" */ + /* 516 - "RefToken" */ LookaheadDFA { - prod0: 199, + prod0: 200, transitions: &[], k: 0, }, - /* 518 - "Repeat" */ + /* 517 - "Repeat" */ LookaheadDFA { - prod0: 309, + prod0: 311, transitions: &[], k: 0, }, - /* 519 - "RepeatTerm" */ + /* 518 - "RepeatTerm" */ LookaheadDFA { prod0: 88, transitions: &[], k: 0, }, - /* 520 - "RepeatToken" */ + /* 519 - "RepeatToken" */ LookaheadDFA { - prod0: 200, + prod0: 201, transitions: &[], k: 0, }, - /* 521 - "Reset" */ + /* 520 - "Reset" */ LookaheadDFA { - prod0: 310, + prod0: 312, transitions: &[], k: 0, }, - /* 522 - "ResetAsyncHigh" */ + /* 521 - "ResetAsyncHigh" */ LookaheadDFA { - prod0: 311, + prod0: 313, transitions: &[], k: 0, }, - /* 523 - "ResetAsyncHighTerm" */ + /* 522 - "ResetAsyncHighTerm" */ LookaheadDFA { prod0: 90, transitions: &[], k: 0, }, - /* 524 - "ResetAsyncHighToken" */ + /* 523 - "ResetAsyncHighToken" */ LookaheadDFA { - prod0: 202, + prod0: 203, transitions: &[], k: 0, }, - /* 525 - "ResetAsyncLow" */ + /* 524 - "ResetAsyncLow" */ LookaheadDFA { - prod0: 312, + prod0: 314, transitions: &[], k: 0, }, - /* 526 - "ResetAsyncLowTerm" */ + /* 525 - "ResetAsyncLowTerm" */ LookaheadDFA { prod0: 91, transitions: &[], k: 0, }, - /* 527 - "ResetAsyncLowToken" */ + /* 526 - "ResetAsyncLowToken" */ LookaheadDFA { - prod0: 203, + prod0: 204, transitions: &[], k: 0, }, - /* 528 - "ResetSyncHigh" */ + /* 527 - "ResetSyncHigh" */ LookaheadDFA { - prod0: 313, + prod0: 315, transitions: &[], k: 0, }, - /* 529 - "ResetSyncHighTerm" */ + /* 528 - "ResetSyncHighTerm" */ LookaheadDFA { prod0: 92, transitions: &[], k: 0, }, - /* 530 - "ResetSyncHighToken" */ + /* 529 - "ResetSyncHighToken" */ LookaheadDFA { - prod0: 204, + prod0: 205, transitions: &[], k: 0, }, - /* 531 - "ResetSyncLow" */ + /* 530 - "ResetSyncLow" */ LookaheadDFA { - prod0: 314, + prod0: 316, transitions: &[], k: 0, }, - /* 532 - "ResetSyncLowTerm" */ + /* 531 - "ResetSyncLowTerm" */ LookaheadDFA { prod0: 93, transitions: &[], k: 0, }, - /* 533 - "ResetSyncLowToken" */ + /* 532 - "ResetSyncLowToken" */ LookaheadDFA { - prod0: 205, + prod0: 206, transitions: &[], k: 0, }, - /* 534 - "ResetTerm" */ + /* 533 - "ResetTerm" */ LookaheadDFA { prod0: 89, transitions: &[], k: 0, }, - /* 535 - "ResetToken" */ + /* 534 - "ResetToken" */ LookaheadDFA { - prod0: 201, + prod0: 202, transitions: &[], k: 0, }, - /* 536 - "Return" */ + /* 535 - "Return" */ LookaheadDFA { - prod0: 315, + prod0: 317, transitions: &[], k: 0, }, - /* 537 - "ReturnStatement" */ + /* 536 - "ReturnStatement" */ LookaheadDFA { - prod0: 554, + prod0: 560, transitions: &[], k: 0, }, - /* 538 - "ReturnTerm" */ + /* 537 - "ReturnTerm" */ LookaheadDFA { prod0: 94, transitions: &[], k: 0, }, - /* 539 - "ReturnToken" */ + /* 538 - "ReturnToken" */ LookaheadDFA { - prod0: 206, + prod0: 207, transitions: &[], k: 0, }, - /* 540 - "ScalarType" */ + /* 539 - "ScalarType" */ LookaheadDFA { - prod0: 509, + prod0: 514, transitions: &[], k: 0, }, - /* 541 - "ScalarTypeGroup" */ + /* 540 - "ScalarTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 510), - Trans(0, 54, 1, 510), - Trans(0, 55, 1, 510), - Trans(0, 56, 1, 510), - Trans(0, 62, 2, 511), - Trans(0, 63, 2, 511), - Trans(0, 67, 2, 511), - Trans(0, 68, 2, 511), - Trans(0, 82, 1, 510), - Trans(0, 94, 1, 510), - Trans(0, 95, 1, 510), - Trans(0, 96, 1, 510), - Trans(0, 97, 1, 510), - Trans(0, 98, 1, 510), - Trans(0, 103, 2, 511), - Trans(0, 107, 2, 511), - Trans(0, 108, 2, 511), - Trans(0, 111, 1, 510), - Trans(0, 112, 1, 510), + Trans(0, 52, 1, 515), + Trans(0, 54, 1, 515), + Trans(0, 55, 1, 515), + Trans(0, 56, 1, 515), + Trans(0, 62, 2, 516), + Trans(0, 63, 2, 516), + Trans(0, 67, 2, 516), + Trans(0, 68, 2, 516), + Trans(0, 82, 1, 515), + Trans(0, 94, 1, 515), + Trans(0, 95, 1, 515), + Trans(0, 96, 1, 515), + Trans(0, 97, 1, 515), + Trans(0, 98, 1, 515), + Trans(0, 103, 2, 516), + Trans(0, 108, 2, 516), + Trans(0, 109, 2, 516), + Trans(0, 112, 1, 515), + Trans(0, 113, 1, 515), ], k: 1, }, - /* 542 - "ScalarTypeList" */ + /* 541 - "ScalarTypeList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 2, 513), - Trans(0, 54, 2, 513), - Trans(0, 55, 2, 513), - Trans(0, 56, 2, 513), - Trans(0, 62, 2, 513), - Trans(0, 63, 2, 513), - Trans(0, 67, 2, 513), - Trans(0, 68, 2, 513), - Trans(0, 82, 2, 513), - Trans(0, 94, 2, 513), - Trans(0, 95, 2, 513), - Trans(0, 96, 2, 513), - Trans(0, 97, 2, 513), - Trans(0, 98, 2, 513), - Trans(0, 101, 1, 512), - Trans(0, 103, 2, 513), - Trans(0, 105, 1, 512), - Trans(0, 107, 2, 513), - Trans(0, 108, 2, 513), - Trans(0, 111, 2, 513), - Trans(0, 112, 2, 513), + Trans(0, 52, 2, 518), + Trans(0, 54, 2, 518), + Trans(0, 55, 2, 518), + Trans(0, 56, 2, 518), + Trans(0, 62, 2, 518), + Trans(0, 63, 2, 518), + Trans(0, 67, 2, 518), + Trans(0, 68, 2, 518), + Trans(0, 82, 2, 518), + Trans(0, 94, 2, 518), + Trans(0, 95, 2, 518), + Trans(0, 96, 2, 518), + Trans(0, 97, 2, 518), + Trans(0, 98, 2, 518), + Trans(0, 101, 1, 517), + Trans(0, 103, 2, 518), + Trans(0, 106, 1, 517), + Trans(0, 108, 2, 518), + Trans(0, 109, 2, 518), + Trans(0, 112, 2, 518), + Trans(0, 113, 2, 518), ], k: 1, }, - /* 543 - "ScopedIdentifier" */ + /* 542 - "ScopedIdentifier" */ LookaheadDFA { - prod0: 342, + prod0: 345, transitions: &[], k: 0, }, - /* 544 - "ScopedIdentifierGroup" */ + /* 543 - "ScopedIdentifierGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 111, 1, 343), Trans(0, 112, 2, 344)], + transitions: &[Trans(0, 112, 1, 346), Trans(0, 113, 2, 347)], k: 1, }, - /* 545 - "ScopedIdentifierList" */ + /* 544 - "ScopedIdentifierList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -12015,47 +12256,47 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 102, 26, -1), Trans(1, 5, 4, -1), Trans(1, 47, 43, -1), - Trans(1, 112, 2, -1), - Trans(2, 5, 3, 345), - Trans(2, 12, 3, 345), - Trans(2, 14, 3, 345), - Trans(2, 15, 3, 345), - Trans(2, 16, 3, 345), - Trans(2, 17, 3, 345), - Trans(2, 18, 3, 345), - Trans(2, 19, 3, 345), - Trans(2, 20, 3, 345), - Trans(2, 21, 3, 345), - Trans(2, 22, 3, 345), - Trans(2, 23, 3, 345), - Trans(2, 24, 3, 345), - Trans(2, 25, 3, 345), - Trans(2, 26, 3, 345), - Trans(2, 28, 3, 345), - Trans(2, 29, 3, 345), - Trans(2, 30, 3, 345), - Trans(2, 31, 3, 345), - Trans(2, 32, 3, 345), - Trans(2, 33, 3, 345), - Trans(2, 34, 3, 345), - Trans(2, 35, 3, 345), - Trans(2, 36, 3, 345), - Trans(2, 37, 3, 345), - Trans(2, 39, 3, 345), - Trans(2, 40, 3, 345), - Trans(2, 41, 3, 345), - Trans(2, 42, 3, 345), - Trans(2, 43, 3, 345), - Trans(2, 44, 3, 345), - Trans(2, 45, 3, 345), - Trans(2, 46, 3, 345), - Trans(2, 47, 3, 345), - Trans(2, 51, 3, 345), - Trans(2, 79, 3, 345), - Trans(2, 93, 3, 345), - Trans(2, 102, 3, 345), - Trans(4, 47, 27, 346), - Trans(4, 112, 3, 345), + Trans(1, 113, 2, -1), + Trans(2, 5, 3, 348), + Trans(2, 12, 3, 348), + Trans(2, 14, 3, 348), + Trans(2, 15, 3, 348), + Trans(2, 16, 3, 348), + Trans(2, 17, 3, 348), + Trans(2, 18, 3, 348), + Trans(2, 19, 3, 348), + Trans(2, 20, 3, 348), + Trans(2, 21, 3, 348), + Trans(2, 22, 3, 348), + Trans(2, 23, 3, 348), + Trans(2, 24, 3, 348), + Trans(2, 25, 3, 348), + Trans(2, 26, 3, 348), + Trans(2, 28, 3, 348), + Trans(2, 29, 3, 348), + Trans(2, 30, 3, 348), + Trans(2, 31, 3, 348), + Trans(2, 32, 3, 348), + Trans(2, 33, 3, 348), + Trans(2, 34, 3, 348), + Trans(2, 35, 3, 348), + Trans(2, 36, 3, 348), + Trans(2, 37, 3, 348), + Trans(2, 39, 3, 348), + Trans(2, 40, 3, 348), + Trans(2, 41, 3, 348), + Trans(2, 42, 3, 348), + Trans(2, 43, 3, 348), + Trans(2, 44, 3, 348), + Trans(2, 45, 3, 348), + Trans(2, 46, 3, 348), + Trans(2, 47, 3, 348), + Trans(2, 51, 3, 348), + Trans(2, 79, 3, 348), + Trans(2, 93, 3, 348), + Trans(2, 102, 3, 348), + Trans(4, 47, 27, 349), + Trans(4, 113, 3, 348), Trans(5, 5, 64, -1), Trans(5, 6, 65, -1), Trans(5, 7, 65, -1), @@ -12077,8 +12318,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(5, 83, 65, -1), Trans(5, 86, 65, -1), Trans(5, 88, 30, -1), - Trans(5, 111, 66, -1), - Trans(5, 112, 67, -1), + Trans(5, 105, 36, -1), + Trans(5, 112, 66, -1), + Trans(5, 113, 67, -1), Trans(6, 5, 64, -1), Trans(6, 6, 68, -1), Trans(6, 7, 68, -1), @@ -12100,8 +12342,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(6, 83, 68, -1), Trans(6, 86, 68, -1), Trans(6, 88, 30, -1), - Trans(6, 111, 69, -1), - Trans(6, 112, 70, -1), + Trans(6, 105, 36, -1), + Trans(6, 112, 69, -1), + Trans(6, 113, 70, -1), Trans(7, 5, 64, -1), Trans(7, 6, 71, -1), Trans(7, 7, 71, -1), @@ -12123,8 +12366,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 83, 71, -1), Trans(7, 86, 71, -1), Trans(7, 88, 30, -1), - Trans(7, 111, 72, -1), - Trans(7, 112, 73, -1), + Trans(7, 105, 36, -1), + Trans(7, 112, 72, -1), + Trans(7, 113, 73, -1), Trans(8, 5, 74, -1), Trans(8, 6, 75, -1), Trans(8, 7, 75, -1), @@ -12151,8 +12395,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 88, 30, -1), Trans(8, 99, 30, -1), Trans(8, 100, 43, -1), - Trans(8, 111, 77, -1), - Trans(8, 112, 78, -1), + Trans(8, 105, 36, -1), + Trans(8, 112, 77, -1), + Trans(8, 113, 78, -1), Trans(9, 5, 79, -1), Trans(9, 6, 80, -1), Trans(9, 7, 80, -1), @@ -12181,8 +12426,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(9, 86, 80, -1), Trans(9, 88, 30, -1), Trans(9, 90, 31, -1), - Trans(9, 111, 83, -1), - Trans(9, 112, 84, -1), + Trans(9, 105, 36, -1), + Trans(9, 112, 83, -1), + Trans(9, 113, 84, -1), Trans(10, 5, 64, -1), Trans(10, 6, 85, -1), Trans(10, 7, 85, -1), @@ -12204,10 +12450,11 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(10, 83, 85, -1), Trans(10, 86, 85, -1), Trans(10, 88, 30, -1), - Trans(10, 111, 86, -1), - Trans(10, 112, 87, -1), + Trans(10, 105, 36, -1), + Trans(10, 112, 86, -1), + Trans(10, 113, 87, -1), Trans(11, 5, 124, -1), - Trans(11, 112, 125, -1), + Trans(11, 113, 125, -1), Trans(12, 5, 64, -1), Trans(12, 6, 88, -1), Trans(12, 7, 88, -1), @@ -12229,8 +12476,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(12, 83, 88, -1), Trans(12, 86, 88, -1), Trans(12, 88, 30, -1), - Trans(12, 111, 89, -1), - Trans(12, 112, 90, -1), + Trans(12, 105, 36, -1), + Trans(12, 112, 89, -1), + Trans(12, 113, 90, -1), Trans(13, 5, 120, -1), Trans(13, 41, 118, -1), Trans(14, 5, 64, -1), @@ -12254,8 +12502,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(14, 83, 91, -1), Trans(14, 86, 91, -1), Trans(14, 88, 30, -1), - Trans(14, 111, 92, -1), - Trans(14, 112, 93, -1), + Trans(14, 105, 36, -1), + Trans(14, 112, 92, -1), + Trans(14, 113, 93, -1), Trans(15, 5, 94, -1), Trans(15, 6, 95, -1), Trans(15, 7, 95, -1), @@ -12298,11 +12547,12 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(15, 99, 30, -1), Trans(15, 100, 43, -1), Trans(15, 104, 31, -1), - Trans(15, 106, 31, -1), - Trans(15, 109, 31, -1), + Trans(15, 105, 36, -1), + Trans(15, 107, 31, -1), Trans(15, 110, 31, -1), - Trans(15, 111, 98, -1), - Trans(15, 112, 99, -1), + Trans(15, 111, 31, -1), + Trans(15, 112, 98, -1), + Trans(15, 113, 99, -1), Trans(16, 5, 64, -1), Trans(16, 6, 100, -1), Trans(16, 7, 100, -1), @@ -12324,8 +12574,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(16, 83, 100, -1), Trans(16, 86, 100, -1), Trans(16, 88, 30, -1), - Trans(16, 111, 101, -1), - Trans(16, 112, 102, -1), + Trans(16, 105, 36, -1), + Trans(16, 112, 101, -1), + Trans(16, 113, 102, -1), Trans(17, 5, 103, -1), Trans(17, 6, 104, -1), Trans(17, 7, 104, -1), @@ -12349,8 +12600,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(17, 83, 104, -1), Trans(17, 86, 104, -1), Trans(17, 88, 30, -1), - Trans(17, 111, 106, -1), - Trans(17, 112, 107, -1), + Trans(17, 105, 36, -1), + Trans(17, 112, 106, -1), + Trans(17, 113, 107, -1), Trans(18, 5, 56, -1), Trans(18, 12, 30, -1), Trans(18, 13, 57, -1), @@ -12435,9 +12687,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(19, 93, 30, -1), Trans(19, 102, 55, -1), Trans(19, 104, 31, -1), - Trans(19, 106, 31, -1), - Trans(19, 109, 31, -1), + Trans(19, 107, 31, -1), Trans(19, 110, 31, -1), + Trans(19, 111, 31, -1), Trans(20, 5, 115, -1), Trans(20, 12, 30, -1), Trans(20, 14, 30, -1), @@ -12502,7 +12754,7 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(21, 51, 41, -1), Trans(21, 93, 30, -1), Trans(21, 102, 55, -1), - Trans(22, 0, 27, 346), + Trans(22, 0, 27, 349), Trans(22, 5, 28, -1), Trans(22, 6, 29, -1), Trans(22, 7, 29, -1), @@ -12552,14 +12804,15 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(22, 99, 30, -1), Trans(22, 100, 43, -1), Trans(22, 104, 31, -1), - Trans(22, 106, 31, -1), - Trans(22, 109, 31, -1), + Trans(22, 105, 36, -1), + Trans(22, 107, 31, -1), Trans(22, 110, 31, -1), - Trans(22, 111, 44, -1), - Trans(22, 112, 45, -1), + Trans(22, 111, 31, -1), + Trans(22, 112, 44, -1), + Trans(22, 113, 45, -1), Trans(23, 5, 121, -1), - Trans(23, 111, 122, -1), - Trans(23, 112, 123, -1), + Trans(23, 112, 122, -1), + Trans(23, 113, 123, -1), Trans(24, 5, 64, -1), Trans(24, 6, 108, -1), Trans(24, 7, 108, -1), @@ -12581,8 +12834,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(24, 83, 108, -1), Trans(24, 86, 108, -1), Trans(24, 88, 30, -1), - Trans(24, 111, 109, -1), - Trans(24, 112, 110, -1), + Trans(24, 105, 36, -1), + Trans(24, 112, 109, -1), + Trans(24, 113, 110, -1), Trans(25, 5, 64, -1), Trans(25, 6, 111, -1), Trans(25, 7, 111, -1), @@ -12604,8 +12858,9 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(25, 83, 111, -1), Trans(25, 86, 111, -1), Trans(25, 88, 30, -1), - Trans(25, 111, 112, -1), - Trans(25, 112, 113, -1), + Trans(25, 105, 36, -1), + Trans(25, 112, 112, -1), + Trans(25, 113, 113, -1), Trans(26, 5, 114, -1), Trans(26, 6, 65, -1), Trans(26, 7, 65, -1), @@ -12628,2671 +12883,2703 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(26, 83, 65, -1), Trans(26, 86, 65, -1), Trans(26, 88, 30, -1), - Trans(26, 111, 66, -1), - Trans(26, 112, 67, -1), - Trans(28, 0, 27, 346), - Trans(28, 6, 27, 346), - Trans(28, 7, 27, 346), - Trans(28, 8, 27, 346), - Trans(28, 9, 27, 346), - Trans(28, 10, 27, 346), - Trans(28, 11, 27, 346), - Trans(28, 18, 27, 346), - Trans(28, 24, 27, 346), - Trans(28, 25, 27, 346), - Trans(28, 26, 27, 346), - Trans(28, 27, 27, 346), - Trans(28, 30, 27, 346), - Trans(28, 36, 27, 346), - Trans(28, 38, 27, 346), - Trans(28, 39, 27, 346), - Trans(28, 41, 27, 346), - Trans(28, 43, 27, 346), - Trans(28, 48, 27, 346), - Trans(28, 49, 27, 346), - Trans(28, 50, 27, 346), - Trans(28, 53, 27, 346), - Trans(28, 57, 27, 346), - Trans(28, 59, 27, 346), - Trans(28, 60, 27, 346), - Trans(28, 61, 27, 346), - Trans(28, 64, 27, 346), - Trans(28, 65, 27, 346), - Trans(28, 66, 27, 346), - Trans(28, 69, 27, 346), - Trans(28, 70, 27, 346), - Trans(28, 71, 27, 346), - Trans(28, 72, 27, 346), - Trans(28, 73, 27, 346), - Trans(28, 76, 27, 346), - Trans(28, 77, 27, 346), - Trans(28, 78, 27, 346), - Trans(28, 80, 27, 346), - Trans(28, 81, 27, 346), - Trans(28, 83, 27, 346), - Trans(28, 84, 27, 346), - Trans(28, 85, 27, 346), - Trans(28, 86, 27, 346), - Trans(28, 88, 27, 346), - Trans(28, 89, 27, 346), - Trans(28, 91, 27, 346), - Trans(28, 99, 27, 346), - Trans(28, 100, 27, 346), - Trans(28, 104, 27, 346), - Trans(28, 106, 27, 346), - Trans(28, 109, 27, 346), - Trans(28, 110, 27, 346), - Trans(28, 111, 27, 346), - Trans(28, 112, 27, 346), - Trans(29, 5, 27, 346), - Trans(29, 16, 27, 346), - Trans(29, 17, 27, 346), - Trans(29, 18, 27, 346), - Trans(29, 19, 27, 346), - Trans(29, 20, 27, 346), - Trans(29, 21, 27, 346), - Trans(29, 22, 27, 346), - Trans(29, 23, 27, 346), - Trans(29, 24, 27, 346), - Trans(29, 25, 27, 346), - Trans(29, 26, 27, 346), - Trans(29, 30, 27, 346), - Trans(29, 31, 27, 346), - Trans(29, 47, 27, 346), - Trans(29, 51, 27, 346), - Trans(30, 5, 27, 346), - Trans(30, 6, 27, 346), - Trans(30, 7, 27, 346), - Trans(30, 8, 27, 346), - Trans(30, 9, 27, 346), - Trans(30, 10, 27, 346), - Trans(30, 11, 27, 346), - Trans(30, 18, 27, 346), - Trans(30, 24, 27, 346), - Trans(30, 25, 27, 346), - Trans(30, 26, 27, 346), - Trans(30, 27, 27, 346), - Trans(30, 38, 27, 346), - Trans(30, 39, 27, 346), - Trans(30, 41, 27, 346), - Trans(30, 53, 27, 346), - Trans(30, 70, 27, 346), - Trans(30, 76, 27, 346), - Trans(30, 83, 27, 346), - Trans(30, 86, 27, 346), - Trans(30, 88, 27, 346), - Trans(30, 111, 27, 346), - Trans(30, 112, 27, 346), - Trans(31, 5, 27, 346), - Trans(31, 112, 27, 346), - Trans(32, 5, 27, 346), - Trans(32, 40, 27, 346), - Trans(33, 5, 27, 346), - Trans(33, 6, 27, 346), - Trans(33, 7, 27, 346), - Trans(33, 8, 27, 346), - Trans(33, 9, 27, 346), - Trans(33, 10, 27, 346), - Trans(33, 11, 27, 346), - Trans(33, 18, 27, 346), - Trans(33, 24, 27, 346), - Trans(33, 25, 27, 346), - Trans(33, 26, 27, 346), - Trans(33, 27, 27, 346), - Trans(33, 38, 27, 346), - Trans(33, 39, 27, 346), - Trans(33, 41, 27, 346), - Trans(33, 53, 27, 346), - Trans(33, 57, 27, 346), - Trans(33, 70, 27, 346), - Trans(33, 76, 27, 346), - Trans(33, 83, 27, 346), - Trans(33, 86, 27, 346), - Trans(33, 88, 27, 346), - Trans(33, 111, 27, 346), - Trans(33, 112, 27, 346), - Trans(34, 5, 27, 346), - Trans(34, 6, 27, 346), - Trans(34, 7, 27, 346), - Trans(34, 8, 27, 346), - Trans(34, 9, 27, 346), - Trans(34, 10, 27, 346), - Trans(34, 11, 27, 346), - Trans(34, 18, 27, 346), - Trans(34, 24, 27, 346), - Trans(34, 25, 27, 346), - Trans(34, 26, 27, 346), - Trans(34, 27, 27, 346), - Trans(34, 30, 27, 346), - Trans(34, 36, 27, 346), - Trans(34, 38, 27, 346), - Trans(34, 39, 27, 346), - Trans(34, 41, 27, 346), - Trans(34, 43, 27, 346), - Trans(34, 48, 27, 346), - Trans(34, 49, 27, 346), - Trans(34, 50, 27, 346), - Trans(34, 53, 27, 346), - Trans(34, 59, 27, 346), - Trans(34, 60, 27, 346), - Trans(34, 61, 27, 346), - Trans(34, 64, 27, 346), - Trans(34, 65, 27, 346), - Trans(34, 66, 27, 346), - Trans(34, 70, 27, 346), - Trans(34, 71, 27, 346), - Trans(34, 72, 27, 346), - Trans(34, 73, 27, 346), - Trans(34, 76, 27, 346), - Trans(34, 77, 27, 346), - Trans(34, 78, 27, 346), - Trans(34, 80, 27, 346), - Trans(34, 81, 27, 346), - Trans(34, 83, 27, 346), - Trans(34, 84, 27, 346), - Trans(34, 85, 27, 346), - Trans(34, 86, 27, 346), - Trans(34, 88, 27, 346), - Trans(34, 89, 27, 346), - Trans(34, 91, 27, 346), - Trans(34, 104, 27, 346), - Trans(34, 106, 27, 346), - Trans(34, 109, 27, 346), - Trans(34, 110, 27, 346), - Trans(34, 111, 27, 346), - Trans(34, 112, 27, 346), - Trans(35, 0, 27, 346), - Trans(35, 5, 27, 346), - Trans(35, 6, 27, 346), - Trans(35, 7, 27, 346), - Trans(35, 8, 27, 346), - Trans(35, 9, 27, 346), - Trans(35, 10, 27, 346), - Trans(35, 11, 27, 346), - Trans(35, 18, 27, 346), - Trans(35, 24, 27, 346), - Trans(35, 25, 27, 346), - Trans(35, 26, 27, 346), - Trans(35, 27, 27, 346), - Trans(35, 30, 27, 346), - Trans(35, 36, 27, 346), - Trans(35, 38, 27, 346), - Trans(35, 39, 27, 346), - Trans(35, 41, 27, 346), - Trans(35, 43, 27, 346), - Trans(35, 48, 27, 346), - Trans(35, 49, 27, 346), - Trans(35, 50, 27, 346), - Trans(35, 53, 27, 346), - Trans(35, 57, 27, 346), - Trans(35, 58, 27, 346), - Trans(35, 59, 27, 346), - Trans(35, 60, 27, 346), - Trans(35, 61, 27, 346), - Trans(35, 64, 27, 346), - Trans(35, 65, 27, 346), - Trans(35, 66, 27, 346), - Trans(35, 69, 27, 346), - Trans(35, 70, 27, 346), - Trans(35, 71, 27, 346), - Trans(35, 72, 27, 346), - Trans(35, 73, 27, 346), - Trans(35, 76, 27, 346), - Trans(35, 77, 27, 346), - Trans(35, 78, 27, 346), - Trans(35, 80, 27, 346), - Trans(35, 81, 27, 346), - Trans(35, 83, 27, 346), - Trans(35, 84, 27, 346), - Trans(35, 85, 27, 346), - Trans(35, 86, 27, 346), - Trans(35, 88, 27, 346), - Trans(35, 89, 27, 346), - Trans(35, 91, 27, 346), - Trans(35, 99, 27, 346), - Trans(35, 100, 27, 346), - Trans(35, 104, 27, 346), - Trans(35, 106, 27, 346), - Trans(35, 109, 27, 346), - Trans(35, 110, 27, 346), - Trans(35, 111, 27, 346), - Trans(35, 112, 27, 346), - Trans(36, 5, 27, 346), - Trans(36, 39, 27, 346), - Trans(37, 5, 27, 346), - Trans(37, 39, 27, 346), - Trans(37, 41, 27, 346), - Trans(38, 5, 27, 346), - Trans(38, 30, 27, 346), - Trans(39, 5, 27, 346), - Trans(39, 41, 27, 346), - Trans(40, 5, 27, 346), - Trans(40, 47, 27, 346), - Trans(40, 111, 27, 346), - Trans(40, 112, 27, 346), - Trans(41, 5, 27, 346), - Trans(41, 111, 27, 346), - Trans(41, 112, 27, 346), - Trans(42, 5, 27, 346), - Trans(42, 78, 27, 346), - Trans(42, 85, 27, 346), - Trans(42, 89, 27, 346), - Trans(43, 5, 27, 346), - Trans(43, 46, 27, 346), - Trans(44, 5, 27, 346), - Trans(44, 15, 27, 346), - Trans(44, 16, 27, 346), - Trans(44, 17, 27, 346), - Trans(44, 18, 27, 346), - Trans(44, 19, 27, 346), - Trans(44, 20, 27, 346), - Trans(44, 21, 27, 346), - Trans(44, 22, 27, 346), - Trans(44, 23, 27, 346), - Trans(44, 24, 27, 346), - Trans(44, 25, 27, 346), - Trans(44, 26, 27, 346), - Trans(44, 29, 27, 346), - Trans(44, 30, 27, 346), - Trans(44, 31, 27, 346), - Trans(44, 34, 27, 346), - Trans(44, 35, 27, 346), - Trans(44, 40, 27, 346), - Trans(44, 41, 27, 346), - Trans(44, 47, 27, 346), - Trans(44, 51, 27, 346), - Trans(45, 5, 27, 346), - Trans(45, 15, 27, 346), - Trans(45, 16, 27, 346), - Trans(45, 17, 27, 346), - Trans(45, 18, 27, 346), - Trans(45, 19, 27, 346), - Trans(45, 20, 27, 346), - Trans(45, 21, 27, 346), - Trans(45, 22, 27, 346), - Trans(45, 23, 27, 346), - Trans(45, 24, 27, 346), - Trans(45, 25, 27, 346), - Trans(45, 26, 27, 346), - Trans(45, 28, 27, 346), - Trans(45, 29, 27, 346), - Trans(45, 30, 27, 346), - Trans(45, 31, 27, 346), - Trans(45, 34, 27, 346), - Trans(45, 35, 27, 346), - Trans(45, 40, 27, 346), - Trans(45, 41, 27, 346), - Trans(45, 47, 27, 346), - Trans(45, 51, 27, 346), - Trans(46, 12, 27, 346), - Trans(46, 14, 27, 346), - Trans(46, 16, 27, 346), - Trans(46, 17, 27, 346), - Trans(46, 18, 27, 346), - Trans(46, 19, 27, 346), - Trans(46, 20, 27, 346), - Trans(46, 21, 27, 346), - Trans(46, 22, 27, 346), - Trans(46, 23, 27, 346), - Trans(46, 24, 27, 346), - Trans(46, 25, 27, 346), - Trans(46, 26, 27, 346), - Trans(46, 30, 27, 346), - Trans(46, 31, 27, 346), - Trans(46, 32, 27, 346), - Trans(46, 33, 27, 346), - Trans(46, 36, 27, 346), - Trans(46, 39, 27, 346), - Trans(46, 42, 27, 346), - Trans(46, 43, 27, 346), - Trans(46, 44, 27, 346), - Trans(46, 45, 27, 346), - Trans(46, 46, 27, 346), - Trans(46, 47, 27, 346), - Trans(46, 48, 27, 346), - Trans(46, 49, 27, 346), - Trans(46, 50, 27, 346), - Trans(46, 51, 27, 346), - Trans(46, 58, 27, 346), - Trans(46, 60, 27, 346), - Trans(46, 61, 27, 346), - Trans(46, 64, 27, 346), - Trans(46, 65, 27, 346), - Trans(46, 66, 27, 346), - Trans(46, 70, 27, 346), - Trans(46, 71, 27, 346), - Trans(46, 73, 27, 346), - Trans(46, 77, 27, 346), - Trans(46, 80, 27, 346), - Trans(46, 81, 27, 346), - Trans(46, 84, 27, 346), - Trans(46, 93, 27, 346), - Trans(46, 102, 27, 346), - Trans(46, 104, 27, 346), - Trans(46, 106, 27, 346), - Trans(46, 109, 27, 346), - Trans(46, 110, 27, 346), - Trans(47, 5, 27, 346), - Trans(47, 6, 27, 346), - Trans(47, 7, 27, 346), - Trans(47, 8, 27, 346), - Trans(47, 9, 27, 346), - Trans(47, 10, 27, 346), - Trans(47, 11, 27, 346), - Trans(47, 18, 27, 346), - Trans(47, 24, 27, 346), - Trans(47, 25, 27, 346), - Trans(47, 26, 27, 346), - Trans(47, 27, 27, 346), - Trans(47, 38, 27, 346), - Trans(47, 39, 27, 346), - Trans(47, 41, 27, 346), - Trans(47, 53, 27, 346), - Trans(47, 65, 27, 346), - Trans(47, 69, 27, 346), - Trans(47, 70, 27, 346), - Trans(47, 76, 27, 346), - Trans(47, 80, 27, 346), - Trans(47, 83, 27, 346), - Trans(47, 86, 27, 346), - Trans(47, 88, 27, 346), - Trans(47, 99, 27, 346), - Trans(47, 100, 27, 346), - Trans(47, 111, 27, 346), - Trans(47, 112, 27, 346), - Trans(48, 5, 27, 346), - Trans(48, 6, 27, 346), - Trans(48, 7, 27, 346), - Trans(48, 8, 27, 346), - Trans(48, 9, 27, 346), - Trans(48, 10, 27, 346), - Trans(48, 11, 27, 346), - Trans(48, 18, 27, 346), - Trans(48, 24, 27, 346), - Trans(48, 25, 27, 346), - Trans(48, 26, 27, 346), - Trans(48, 27, 27, 346), - Trans(48, 36, 27, 346), - Trans(48, 38, 27, 346), - Trans(48, 39, 27, 346), - Trans(48, 41, 27, 346), - Trans(48, 43, 27, 346), - Trans(48, 45, 27, 346), - Trans(48, 53, 27, 346), - Trans(48, 57, 27, 346), - Trans(48, 70, 27, 346), - Trans(48, 76, 27, 346), - Trans(48, 81, 27, 346), - Trans(48, 83, 27, 346), - Trans(48, 86, 27, 346), - Trans(48, 88, 27, 346), - Trans(48, 90, 27, 346), - Trans(48, 111, 27, 346), - Trans(48, 112, 27, 346), - Trans(49, 5, 27, 346), - Trans(49, 6, 27, 346), - Trans(49, 7, 27, 346), - Trans(49, 8, 27, 346), - Trans(49, 9, 27, 346), - Trans(49, 10, 27, 346), - Trans(49, 11, 27, 346), - Trans(49, 18, 27, 346), - Trans(49, 24, 27, 346), - Trans(49, 25, 27, 346), - Trans(49, 26, 27, 346), - Trans(49, 27, 27, 346), - Trans(49, 30, 27, 346), - Trans(49, 36, 27, 346), - Trans(49, 38, 27, 346), - Trans(49, 39, 27, 346), - Trans(49, 41, 27, 346), - Trans(49, 43, 27, 346), - Trans(49, 48, 27, 346), - Trans(49, 49, 27, 346), - Trans(49, 50, 27, 346), - Trans(49, 53, 27, 346), - Trans(49, 57, 27, 346), - Trans(49, 60, 27, 346), - Trans(49, 61, 27, 346), - Trans(49, 64, 27, 346), - Trans(49, 65, 27, 346), - Trans(49, 66, 27, 346), - Trans(49, 69, 27, 346), - Trans(49, 70, 27, 346), - Trans(49, 71, 27, 346), - Trans(49, 73, 27, 346), - Trans(49, 76, 27, 346), - Trans(49, 77, 27, 346), - Trans(49, 80, 27, 346), - Trans(49, 81, 27, 346), - Trans(49, 83, 27, 346), - Trans(49, 84, 27, 346), - Trans(49, 86, 27, 346), - Trans(49, 88, 27, 346), - Trans(49, 99, 27, 346), - Trans(49, 100, 27, 346), - Trans(49, 104, 27, 346), - Trans(49, 106, 27, 346), - Trans(49, 109, 27, 346), - Trans(49, 110, 27, 346), - Trans(49, 111, 27, 346), - Trans(49, 112, 27, 346), - Trans(50, 5, 27, 346), - Trans(50, 31, 27, 346), - Trans(50, 35, 27, 346), - Trans(50, 39, 27, 346), - Trans(50, 40, 27, 346), - Trans(50, 43, 27, 346), - Trans(50, 45, 27, 346), - Trans(50, 46, 27, 346), - Trans(50, 79, 27, 346), - Trans(51, 0, 27, 346), - Trans(51, 5, 27, 346), - Trans(51, 12, 27, 346), - Trans(51, 14, 27, 346), - Trans(51, 16, 27, 346), - Trans(51, 17, 27, 346), - Trans(51, 18, 27, 346), - Trans(51, 19, 27, 346), - Trans(51, 20, 27, 346), - Trans(51, 21, 27, 346), - Trans(51, 22, 27, 346), - Trans(51, 23, 27, 346), - Trans(51, 24, 27, 346), - Trans(51, 25, 27, 346), - Trans(51, 26, 27, 346), - Trans(51, 30, 27, 346), - Trans(51, 31, 27, 346), - Trans(51, 32, 27, 346), - Trans(51, 33, 27, 346), - Trans(51, 36, 27, 346), - Trans(51, 39, 27, 346), - Trans(51, 42, 27, 346), - Trans(51, 43, 27, 346), - Trans(51, 44, 27, 346), - Trans(51, 45, 27, 346), - Trans(51, 46, 27, 346), - Trans(51, 47, 27, 346), - Trans(51, 48, 27, 346), - Trans(51, 49, 27, 346), - Trans(51, 50, 27, 346), - Trans(51, 51, 27, 346), - Trans(51, 58, 27, 346), - Trans(51, 59, 27, 346), - Trans(51, 60, 27, 346), - Trans(51, 61, 27, 346), - Trans(51, 64, 27, 346), - Trans(51, 65, 27, 346), - Trans(51, 66, 27, 346), - Trans(51, 70, 27, 346), - Trans(51, 71, 27, 346), - Trans(51, 72, 27, 346), - Trans(51, 73, 27, 346), - Trans(51, 77, 27, 346), - Trans(51, 78, 27, 346), - Trans(51, 80, 27, 346), - Trans(51, 81, 27, 346), - Trans(51, 84, 27, 346), - Trans(51, 85, 27, 346), - Trans(51, 89, 27, 346), - Trans(51, 91, 27, 346), - Trans(51, 93, 27, 346), - Trans(51, 102, 27, 346), - Trans(51, 104, 27, 346), - Trans(51, 106, 27, 346), - Trans(51, 109, 27, 346), - Trans(51, 110, 27, 346), - Trans(52, 5, 27, 346), - Trans(52, 12, 27, 346), - Trans(52, 14, 27, 346), - Trans(52, 15, 27, 346), - Trans(52, 16, 27, 346), - Trans(52, 17, 27, 346), - Trans(52, 18, 27, 346), - Trans(52, 19, 27, 346), - Trans(52, 20, 27, 346), - Trans(52, 21, 27, 346), - Trans(52, 22, 27, 346), - Trans(52, 23, 27, 346), - Trans(52, 24, 27, 346), - Trans(52, 25, 27, 346), - Trans(52, 26, 27, 346), - Trans(52, 30, 27, 346), - Trans(52, 31, 27, 346), - Trans(52, 32, 27, 346), - Trans(52, 33, 27, 346), - Trans(52, 34, 27, 346), - Trans(52, 35, 27, 346), - Trans(52, 36, 27, 346), - Trans(52, 39, 27, 346), - Trans(52, 40, 27, 346), - Trans(52, 41, 27, 346), - Trans(52, 42, 27, 346), - Trans(52, 43, 27, 346), - Trans(52, 44, 27, 346), - Trans(52, 45, 27, 346), - Trans(52, 46, 27, 346), - Trans(52, 47, 27, 346), - Trans(52, 51, 27, 346), - Trans(52, 93, 27, 346), - Trans(52, 102, 27, 346), - Trans(53, 5, 27, 346), - Trans(53, 12, 27, 346), - Trans(53, 13, 27, 346), - Trans(53, 14, 27, 346), - Trans(53, 16, 27, 346), - Trans(53, 17, 27, 346), - Trans(53, 18, 27, 346), - Trans(53, 19, 27, 346), - Trans(53, 20, 27, 346), - Trans(53, 21, 27, 346), - Trans(53, 22, 27, 346), - Trans(53, 23, 27, 346), - Trans(53, 24, 27, 346), - Trans(53, 25, 27, 346), - Trans(53, 26, 27, 346), - Trans(53, 30, 27, 346), - Trans(53, 31, 27, 346), - Trans(53, 32, 27, 346), - Trans(53, 33, 27, 346), - Trans(53, 39, 27, 346), - Trans(53, 41, 27, 346), - Trans(53, 42, 27, 346), - Trans(53, 43, 27, 346), - Trans(53, 44, 27, 346), - Trans(53, 45, 27, 346), - Trans(53, 46, 27, 346), - Trans(53, 47, 27, 346), - Trans(53, 51, 27, 346), - Trans(53, 93, 27, 346), - Trans(53, 102, 27, 346), - Trans(54, 5, 27, 346), - Trans(54, 39, 27, 346), - Trans(54, 70, 27, 346), - Trans(55, 5, 27, 346), - Trans(55, 6, 27, 346), - Trans(55, 7, 27, 346), - Trans(55, 8, 27, 346), - Trans(55, 9, 27, 346), - Trans(55, 10, 27, 346), - Trans(55, 11, 27, 346), - Trans(55, 15, 27, 346), - Trans(55, 18, 27, 346), - Trans(55, 24, 27, 346), - Trans(55, 25, 27, 346), - Trans(55, 26, 27, 346), - Trans(55, 27, 27, 346), - Trans(55, 38, 27, 346), - Trans(55, 39, 27, 346), - Trans(55, 41, 27, 346), - Trans(55, 53, 27, 346), - Trans(55, 70, 27, 346), - Trans(55, 76, 27, 346), - Trans(55, 83, 27, 346), - Trans(55, 86, 27, 346), - Trans(55, 88, 27, 346), - Trans(55, 111, 27, 346), - Trans(55, 112, 27, 346), - Trans(56, 12, 27, 346), - Trans(56, 13, 27, 346), - Trans(56, 14, 27, 346), - Trans(56, 15, 27, 346), - Trans(56, 16, 27, 346), - Trans(56, 17, 27, 346), - Trans(56, 18, 27, 346), - Trans(56, 19, 27, 346), - Trans(56, 20, 27, 346), - Trans(56, 21, 27, 346), - Trans(56, 22, 27, 346), - Trans(56, 23, 27, 346), - Trans(56, 24, 27, 346), - Trans(56, 25, 27, 346), - Trans(56, 26, 27, 346), - Trans(56, 29, 27, 346), - Trans(56, 30, 27, 346), - Trans(56, 31, 27, 346), - Trans(56, 32, 27, 346), - Trans(56, 33, 27, 346), - Trans(56, 34, 27, 346), - Trans(56, 35, 27, 346), - Trans(56, 36, 27, 346), - Trans(56, 37, 27, 346), - Trans(56, 39, 27, 346), - Trans(56, 40, 27, 346), - Trans(56, 41, 27, 346), - Trans(56, 42, 27, 346), - Trans(56, 43, 27, 346), - Trans(56, 44, 27, 346), - Trans(56, 45, 27, 346), - Trans(56, 46, 27, 346), - Trans(56, 47, 27, 346), - Trans(56, 51, 27, 346), - Trans(56, 79, 27, 346), - Trans(56, 93, 27, 346), - Trans(56, 102, 27, 346), - Trans(57, 5, 27, 346), - Trans(57, 52, 27, 346), - Trans(57, 54, 27, 346), - Trans(57, 55, 27, 346), - Trans(57, 56, 27, 346), - Trans(57, 62, 27, 346), - Trans(57, 63, 27, 346), - Trans(57, 67, 27, 346), - Trans(57, 68, 27, 346), - Trans(57, 82, 27, 346), - Trans(57, 94, 27, 346), - Trans(57, 95, 27, 346), - Trans(57, 96, 27, 346), - Trans(57, 97, 27, 346), - Trans(57, 98, 27, 346), - Trans(57, 101, 27, 346), - Trans(57, 103, 27, 346), - Trans(57, 105, 27, 346), - Trans(57, 107, 27, 346), - Trans(57, 108, 27, 346), - Trans(57, 111, 27, 346), - Trans(57, 112, 27, 346), - Trans(58, 5, 27, 346), - Trans(58, 47, 27, 346), - Trans(58, 112, 27, 346), - Trans(59, 5, 27, 346), - Trans(59, 6, 27, 346), - Trans(59, 7, 27, 346), - Trans(59, 8, 27, 346), - Trans(59, 9, 27, 346), - Trans(59, 10, 27, 346), - Trans(59, 11, 27, 346), - Trans(59, 18, 27, 346), - Trans(59, 24, 27, 346), - Trans(59, 25, 27, 346), - Trans(59, 26, 27, 346), - Trans(59, 27, 27, 346), - Trans(59, 36, 27, 346), - Trans(59, 38, 27, 346), - Trans(59, 39, 27, 346), - Trans(59, 41, 27, 346), - Trans(59, 42, 27, 346), - Trans(59, 43, 27, 346), - Trans(59, 45, 27, 346), - Trans(59, 53, 27, 346), - Trans(59, 57, 27, 346), - Trans(59, 70, 27, 346), - Trans(59, 76, 27, 346), - Trans(59, 81, 27, 346), - Trans(59, 83, 27, 346), - Trans(59, 86, 27, 346), - Trans(59, 88, 27, 346), - Trans(59, 90, 27, 346), - Trans(59, 111, 27, 346), - Trans(59, 112, 27, 346), - Trans(60, 5, 27, 346), - Trans(60, 6, 27, 346), - Trans(60, 7, 27, 346), - Trans(60, 8, 27, 346), - Trans(60, 9, 27, 346), - Trans(60, 10, 27, 346), - Trans(60, 11, 27, 346), - Trans(60, 18, 27, 346), - Trans(60, 24, 27, 346), - Trans(60, 25, 27, 346), - Trans(60, 26, 27, 346), - Trans(60, 27, 27, 346), - Trans(60, 36, 27, 346), - Trans(60, 38, 27, 346), - Trans(60, 39, 27, 346), - Trans(60, 41, 27, 346), - Trans(60, 45, 27, 346), - Trans(60, 53, 27, 346), - Trans(60, 70, 27, 346), - Trans(60, 76, 27, 346), - Trans(60, 83, 27, 346), - Trans(60, 86, 27, 346), - Trans(60, 88, 27, 346), - Trans(60, 111, 27, 346), - Trans(60, 112, 27, 346), - Trans(61, 5, 27, 346), - Trans(61, 12, 27, 346), - Trans(61, 13, 27, 346), - Trans(61, 14, 27, 346), - Trans(61, 15, 27, 346), - Trans(61, 16, 27, 346), - Trans(61, 17, 27, 346), - Trans(61, 18, 27, 346), - Trans(61, 19, 27, 346), - Trans(61, 20, 27, 346), - Trans(61, 21, 27, 346), - Trans(61, 22, 27, 346), - Trans(61, 23, 27, 346), - Trans(61, 24, 27, 346), - Trans(61, 25, 27, 346), - Trans(61, 26, 27, 346), - Trans(61, 29, 27, 346), - Trans(61, 30, 27, 346), - Trans(61, 31, 27, 346), - Trans(61, 32, 27, 346), - Trans(61, 33, 27, 346), - Trans(61, 34, 27, 346), - Trans(61, 35, 27, 346), - Trans(61, 36, 27, 346), - Trans(61, 37, 27, 346), - Trans(61, 39, 27, 346), - Trans(61, 40, 27, 346), - Trans(61, 41, 27, 346), - Trans(61, 42, 27, 346), - Trans(61, 43, 27, 346), - Trans(61, 44, 27, 346), - Trans(61, 45, 27, 346), - Trans(61, 46, 27, 346), - Trans(61, 47, 27, 346), - Trans(61, 51, 27, 346), - Trans(61, 79, 27, 346), - Trans(61, 93, 27, 346), - Trans(61, 102, 27, 346), - Trans(62, 5, 27, 346), - Trans(62, 12, 27, 346), - Trans(62, 14, 27, 346), - Trans(62, 16, 27, 346), - Trans(62, 17, 27, 346), - Trans(62, 18, 27, 346), - Trans(62, 19, 27, 346), - Trans(62, 20, 27, 346), - Trans(62, 21, 27, 346), - Trans(62, 22, 27, 346), - Trans(62, 23, 27, 346), - Trans(62, 24, 27, 346), - Trans(62, 25, 27, 346), - Trans(62, 26, 27, 346), - Trans(62, 30, 27, 346), - Trans(62, 31, 27, 346), - Trans(62, 32, 27, 346), - Trans(62, 33, 27, 346), - Trans(62, 36, 27, 346), - Trans(62, 39, 27, 346), - Trans(62, 42, 27, 346), - Trans(62, 43, 27, 346), - Trans(62, 44, 27, 346), - Trans(62, 45, 27, 346), - Trans(62, 46, 27, 346), - Trans(62, 47, 27, 346), - Trans(62, 48, 27, 346), - Trans(62, 49, 27, 346), - Trans(62, 50, 27, 346), - Trans(62, 51, 27, 346), - Trans(62, 58, 27, 346), - Trans(62, 60, 27, 346), - Trans(62, 61, 27, 346), - Trans(62, 64, 27, 346), - Trans(62, 65, 27, 346), - Trans(62, 66, 27, 346), - Trans(62, 70, 27, 346), - Trans(62, 71, 27, 346), - Trans(62, 73, 27, 346), - Trans(62, 77, 27, 346), - Trans(62, 80, 27, 346), - Trans(62, 81, 27, 346), - Trans(62, 84, 27, 346), - Trans(62, 93, 27, 346), - Trans(62, 102, 27, 346), - Trans(62, 104, 27, 346), - Trans(62, 106, 27, 346), - Trans(62, 109, 27, 346), - Trans(62, 110, 27, 346), - Trans(63, 0, 27, 346), - Trans(63, 5, 27, 346), - Trans(63, 6, 27, 346), - Trans(63, 7, 27, 346), - Trans(63, 8, 27, 346), - Trans(63, 9, 27, 346), - Trans(63, 10, 27, 346), - Trans(63, 11, 27, 346), - Trans(63, 18, 27, 346), - Trans(63, 24, 27, 346), - Trans(63, 25, 27, 346), - Trans(63, 26, 27, 346), - Trans(63, 27, 27, 346), - Trans(63, 30, 27, 346), - Trans(63, 36, 27, 346), - Trans(63, 38, 27, 346), - Trans(63, 39, 27, 346), - Trans(63, 41, 27, 346), - Trans(63, 43, 27, 346), - Trans(63, 48, 27, 346), - Trans(63, 49, 27, 346), - Trans(63, 50, 27, 346), - Trans(63, 53, 27, 346), - Trans(63, 57, 27, 346), - Trans(63, 59, 27, 346), - Trans(63, 60, 27, 346), - Trans(63, 61, 27, 346), - Trans(63, 64, 27, 346), - Trans(63, 65, 27, 346), - Trans(63, 66, 27, 346), - Trans(63, 69, 27, 346), - Trans(63, 70, 27, 346), - Trans(63, 71, 27, 346), - Trans(63, 72, 27, 346), - Trans(63, 73, 27, 346), - Trans(63, 76, 27, 346), - Trans(63, 77, 27, 346), - Trans(63, 78, 27, 346), - Trans(63, 80, 27, 346), - Trans(63, 81, 27, 346), - Trans(63, 83, 27, 346), - Trans(63, 84, 27, 346), - Trans(63, 85, 27, 346), - Trans(63, 86, 27, 346), - Trans(63, 88, 27, 346), - Trans(63, 89, 27, 346), - Trans(63, 91, 27, 346), - Trans(63, 99, 27, 346), - Trans(63, 100, 27, 346), - Trans(63, 104, 27, 346), - Trans(63, 106, 27, 346), - Trans(63, 109, 27, 346), - Trans(63, 110, 27, 346), - Trans(63, 111, 27, 346), - Trans(63, 112, 27, 346), - Trans(64, 6, 27, 346), - Trans(64, 7, 27, 346), - Trans(64, 8, 27, 346), - Trans(64, 9, 27, 346), - Trans(64, 10, 27, 346), - Trans(64, 11, 27, 346), - Trans(64, 18, 27, 346), - Trans(64, 24, 27, 346), - Trans(64, 25, 27, 346), - Trans(64, 26, 27, 346), - Trans(64, 27, 27, 346), - Trans(64, 38, 27, 346), - Trans(64, 39, 27, 346), - Trans(64, 41, 27, 346), - Trans(64, 53, 27, 346), - Trans(64, 70, 27, 346), - Trans(64, 76, 27, 346), - Trans(64, 83, 27, 346), - Trans(64, 86, 27, 346), - Trans(64, 88, 27, 346), - Trans(64, 111, 27, 346), - Trans(64, 112, 27, 346), - Trans(65, 5, 27, 346), - Trans(65, 16, 27, 346), - Trans(65, 17, 27, 346), - Trans(65, 18, 27, 346), - Trans(65, 19, 27, 346), - Trans(65, 20, 27, 346), - Trans(65, 21, 27, 346), - Trans(65, 22, 27, 346), - Trans(65, 23, 27, 346), - Trans(65, 24, 27, 346), - Trans(65, 25, 27, 346), - Trans(65, 26, 27, 346), - Trans(65, 44, 27, 346), - Trans(65, 47, 27, 346), - Trans(65, 51, 27, 346), - Trans(66, 5, 27, 346), - Trans(66, 16, 27, 346), - Trans(66, 17, 27, 346), - Trans(66, 18, 27, 346), - Trans(66, 19, 27, 346), - Trans(66, 20, 27, 346), - Trans(66, 21, 27, 346), - Trans(66, 22, 27, 346), - Trans(66, 23, 27, 346), - Trans(66, 24, 27, 346), - Trans(66, 25, 27, 346), - Trans(66, 26, 27, 346), - Trans(66, 29, 27, 346), - Trans(66, 34, 27, 346), - Trans(66, 40, 27, 346), - Trans(66, 41, 27, 346), - Trans(66, 44, 27, 346), - Trans(66, 47, 27, 346), - Trans(66, 51, 27, 346), - Trans(67, 5, 27, 346), - Trans(67, 16, 27, 346), - Trans(67, 17, 27, 346), - Trans(67, 18, 27, 346), - Trans(67, 19, 27, 346), - Trans(67, 20, 27, 346), - Trans(67, 21, 27, 346), - Trans(67, 22, 27, 346), - Trans(67, 23, 27, 346), - Trans(67, 24, 27, 346), - Trans(67, 25, 27, 346), - Trans(67, 26, 27, 346), - Trans(67, 28, 27, 346), - Trans(67, 29, 27, 346), - Trans(67, 34, 27, 346), - Trans(67, 40, 27, 346), - Trans(67, 41, 27, 346), - Trans(67, 44, 27, 346), - Trans(67, 47, 27, 346), - Trans(67, 51, 27, 346), - Trans(68, 5, 27, 346), - Trans(68, 16, 27, 346), - Trans(68, 17, 27, 346), - Trans(68, 18, 27, 346), - Trans(68, 19, 27, 346), - Trans(68, 20, 27, 346), - Trans(68, 21, 27, 346), - Trans(68, 22, 27, 346), - Trans(68, 23, 27, 346), - Trans(68, 24, 27, 346), - Trans(68, 25, 27, 346), - Trans(68, 26, 27, 346), - Trans(68, 46, 27, 346), - Trans(68, 47, 27, 346), - Trans(68, 51, 27, 346), - Trans(69, 5, 27, 346), - Trans(69, 16, 27, 346), - Trans(69, 17, 27, 346), - Trans(69, 18, 27, 346), - Trans(69, 19, 27, 346), - Trans(69, 20, 27, 346), - Trans(69, 21, 27, 346), - Trans(69, 22, 27, 346), - Trans(69, 23, 27, 346), - Trans(69, 24, 27, 346), - Trans(69, 25, 27, 346), - Trans(69, 26, 27, 346), - Trans(69, 29, 27, 346), - Trans(69, 34, 27, 346), - Trans(69, 40, 27, 346), - Trans(69, 41, 27, 346), - Trans(69, 46, 27, 346), - Trans(69, 47, 27, 346), - Trans(69, 51, 27, 346), - Trans(70, 5, 27, 346), - Trans(70, 16, 27, 346), - Trans(70, 17, 27, 346), - Trans(70, 18, 27, 346), - Trans(70, 19, 27, 346), - Trans(70, 20, 27, 346), - Trans(70, 21, 27, 346), - Trans(70, 22, 27, 346), - Trans(70, 23, 27, 346), - Trans(70, 24, 27, 346), - Trans(70, 25, 27, 346), - Trans(70, 26, 27, 346), - Trans(70, 28, 27, 346), - Trans(70, 29, 27, 346), - Trans(70, 34, 27, 346), - Trans(70, 40, 27, 346), - Trans(70, 41, 27, 346), - Trans(70, 46, 27, 346), - Trans(70, 47, 27, 346), - Trans(70, 51, 27, 346), - Trans(71, 5, 27, 346), - Trans(71, 12, 27, 346), - Trans(71, 14, 27, 346), - Trans(71, 16, 27, 346), - Trans(71, 17, 27, 346), - Trans(71, 18, 27, 346), - Trans(71, 19, 27, 346), - Trans(71, 20, 27, 346), - Trans(71, 21, 27, 346), - Trans(71, 22, 27, 346), - Trans(71, 23, 27, 346), - Trans(71, 24, 27, 346), - Trans(71, 25, 27, 346), - Trans(71, 26, 27, 346), - Trans(71, 30, 27, 346), - Trans(71, 31, 27, 346), - Trans(71, 32, 27, 346), - Trans(71, 33, 27, 346), - Trans(71, 39, 27, 346), - Trans(71, 42, 27, 346), - Trans(71, 43, 27, 346), - Trans(71, 44, 27, 346), - Trans(71, 45, 27, 346), - Trans(71, 46, 27, 346), - Trans(71, 47, 27, 346), - Trans(71, 51, 27, 346), - Trans(71, 93, 27, 346), - Trans(71, 102, 27, 346), - Trans(72, 5, 27, 346), - Trans(72, 12, 27, 346), - Trans(72, 14, 27, 346), - Trans(72, 16, 27, 346), - Trans(72, 17, 27, 346), - Trans(72, 18, 27, 346), - Trans(72, 19, 27, 346), - Trans(72, 20, 27, 346), - Trans(72, 21, 27, 346), - Trans(72, 22, 27, 346), - Trans(72, 23, 27, 346), - Trans(72, 24, 27, 346), - Trans(72, 25, 27, 346), - Trans(72, 26, 27, 346), - Trans(72, 29, 27, 346), - Trans(72, 30, 27, 346), - Trans(72, 31, 27, 346), - Trans(72, 32, 27, 346), - Trans(72, 33, 27, 346), - Trans(72, 34, 27, 346), - Trans(72, 39, 27, 346), - Trans(72, 40, 27, 346), - Trans(72, 41, 27, 346), - Trans(72, 42, 27, 346), - Trans(72, 43, 27, 346), - Trans(72, 44, 27, 346), - Trans(72, 45, 27, 346), - Trans(72, 46, 27, 346), - Trans(72, 47, 27, 346), - Trans(72, 51, 27, 346), - Trans(72, 93, 27, 346), - Trans(72, 102, 27, 346), - Trans(73, 5, 27, 346), - Trans(73, 12, 27, 346), - Trans(73, 14, 27, 346), - Trans(73, 16, 27, 346), - Trans(73, 17, 27, 346), - Trans(73, 18, 27, 346), - Trans(73, 19, 27, 346), - Trans(73, 20, 27, 346), - Trans(73, 21, 27, 346), - Trans(73, 22, 27, 346), - Trans(73, 23, 27, 346), - Trans(73, 24, 27, 346), - Trans(73, 25, 27, 346), - Trans(73, 26, 27, 346), - Trans(73, 28, 27, 346), - Trans(73, 29, 27, 346), - Trans(73, 30, 27, 346), - Trans(73, 31, 27, 346), - Trans(73, 32, 27, 346), - Trans(73, 33, 27, 346), - Trans(73, 34, 27, 346), - Trans(73, 39, 27, 346), - Trans(73, 40, 27, 346), - Trans(73, 41, 27, 346), - Trans(73, 42, 27, 346), - Trans(73, 43, 27, 346), - Trans(73, 44, 27, 346), - Trans(73, 45, 27, 346), - Trans(73, 46, 27, 346), - Trans(73, 47, 27, 346), - Trans(73, 51, 27, 346), - Trans(73, 93, 27, 346), - Trans(73, 102, 27, 346), - Trans(74, 6, 27, 346), - Trans(74, 7, 27, 346), - Trans(74, 8, 27, 346), - Trans(74, 9, 27, 346), - Trans(74, 10, 27, 346), - Trans(74, 11, 27, 346), - Trans(74, 18, 27, 346), - Trans(74, 24, 27, 346), - Trans(74, 25, 27, 346), - Trans(74, 26, 27, 346), - Trans(74, 27, 27, 346), - Trans(74, 38, 27, 346), - Trans(74, 39, 27, 346), - Trans(74, 41, 27, 346), - Trans(74, 53, 27, 346), - Trans(74, 65, 27, 346), - Trans(74, 69, 27, 346), - Trans(74, 70, 27, 346), - Trans(74, 76, 27, 346), - Trans(74, 80, 27, 346), - Trans(74, 83, 27, 346), - Trans(74, 86, 27, 346), - Trans(74, 88, 27, 346), - Trans(74, 99, 27, 346), - Trans(74, 100, 27, 346), - Trans(74, 111, 27, 346), - Trans(74, 112, 27, 346), - Trans(75, 5, 27, 346), - Trans(75, 16, 27, 346), - Trans(75, 17, 27, 346), - Trans(75, 18, 27, 346), - Trans(75, 19, 27, 346), - Trans(75, 20, 27, 346), - Trans(75, 21, 27, 346), - Trans(75, 22, 27, 346), - Trans(75, 23, 27, 346), - Trans(75, 24, 27, 346), - Trans(75, 25, 27, 346), - Trans(75, 26, 27, 346), - Trans(75, 31, 27, 346), - Trans(75, 44, 27, 346), - Trans(75, 47, 27, 346), - Trans(75, 51, 27, 346), - Trans(76, 5, 27, 346), - Trans(76, 6, 27, 346), - Trans(76, 7, 27, 346), - Trans(76, 8, 27, 346), - Trans(76, 9, 27, 346), - Trans(76, 10, 27, 346), - Trans(76, 11, 27, 346), - Trans(76, 18, 27, 346), - Trans(76, 24, 27, 346), - Trans(76, 25, 27, 346), - Trans(76, 26, 27, 346), - Trans(76, 27, 27, 346), - Trans(76, 38, 27, 346), - Trans(76, 39, 27, 346), - Trans(76, 41, 27, 346), - Trans(76, 43, 27, 346), - Trans(76, 53, 27, 346), - Trans(76, 65, 27, 346), - Trans(76, 69, 27, 346), - Trans(76, 70, 27, 346), - Trans(76, 76, 27, 346), - Trans(76, 80, 27, 346), - Trans(76, 83, 27, 346), - Trans(76, 86, 27, 346), - Trans(76, 88, 27, 346), - Trans(76, 99, 27, 346), - Trans(76, 100, 27, 346), - Trans(76, 111, 27, 346), - Trans(76, 112, 27, 346), - Trans(77, 5, 27, 346), - Trans(77, 15, 27, 346), - Trans(77, 16, 27, 346), - Trans(77, 17, 27, 346), - Trans(77, 18, 27, 346), - Trans(77, 19, 27, 346), - Trans(77, 20, 27, 346), - Trans(77, 21, 27, 346), - Trans(77, 22, 27, 346), - Trans(77, 23, 27, 346), - Trans(77, 24, 27, 346), - Trans(77, 25, 27, 346), - Trans(77, 26, 27, 346), - Trans(77, 29, 27, 346), - Trans(77, 31, 27, 346), - Trans(77, 34, 27, 346), - Trans(77, 35, 27, 346), - Trans(77, 40, 27, 346), - Trans(77, 41, 27, 346), - Trans(77, 44, 27, 346), - Trans(77, 47, 27, 346), - Trans(77, 51, 27, 346), - Trans(78, 5, 27, 346), - Trans(78, 15, 27, 346), - Trans(78, 16, 27, 346), - Trans(78, 17, 27, 346), - Trans(78, 18, 27, 346), - Trans(78, 19, 27, 346), - Trans(78, 20, 27, 346), - Trans(78, 21, 27, 346), - Trans(78, 22, 27, 346), - Trans(78, 23, 27, 346), - Trans(78, 24, 27, 346), - Trans(78, 25, 27, 346), - Trans(78, 26, 27, 346), - Trans(78, 28, 27, 346), - Trans(78, 29, 27, 346), - Trans(78, 31, 27, 346), - Trans(78, 34, 27, 346), - Trans(78, 35, 27, 346), - Trans(78, 39, 27, 346), - Trans(78, 40, 27, 346), - Trans(78, 41, 27, 346), - Trans(78, 44, 27, 346), - Trans(78, 47, 27, 346), - Trans(78, 51, 27, 346), - Trans(79, 6, 27, 346), - Trans(79, 7, 27, 346), - Trans(79, 8, 27, 346), - Trans(79, 9, 27, 346), - Trans(79, 10, 27, 346), - Trans(79, 11, 27, 346), - Trans(79, 18, 27, 346), - Trans(79, 24, 27, 346), - Trans(79, 25, 27, 346), - Trans(79, 26, 27, 346), - Trans(79, 27, 27, 346), - Trans(79, 36, 27, 346), - Trans(79, 38, 27, 346), - Trans(79, 39, 27, 346), - Trans(79, 41, 27, 346), - Trans(79, 42, 27, 346), - Trans(79, 43, 27, 346), - Trans(79, 45, 27, 346), - Trans(79, 53, 27, 346), - Trans(79, 57, 27, 346), - Trans(79, 70, 27, 346), - Trans(79, 76, 27, 346), - Trans(79, 81, 27, 346), - Trans(79, 83, 27, 346), - Trans(79, 86, 27, 346), - Trans(79, 88, 27, 346), - Trans(79, 90, 27, 346), - Trans(79, 111, 27, 346), - Trans(79, 112, 27, 346), - Trans(80, 5, 27, 346), - Trans(80, 16, 27, 346), - Trans(80, 17, 27, 346), - Trans(80, 18, 27, 346), - Trans(80, 19, 27, 346), - Trans(80, 20, 27, 346), - Trans(80, 21, 27, 346), - Trans(80, 22, 27, 346), - Trans(80, 23, 27, 346), - Trans(80, 24, 27, 346), - Trans(80, 25, 27, 346), - Trans(80, 26, 27, 346), - Trans(80, 30, 27, 346), - Trans(80, 31, 27, 346), - Trans(80, 32, 27, 346), - Trans(80, 33, 27, 346), - Trans(80, 42, 27, 346), - Trans(80, 43, 27, 346), - Trans(80, 44, 27, 346), - Trans(80, 45, 27, 346), - Trans(80, 47, 27, 346), - Trans(80, 51, 27, 346), - Trans(80, 93, 27, 346), - Trans(81, 5, 27, 346), - Trans(81, 6, 27, 346), - Trans(81, 7, 27, 346), - Trans(81, 8, 27, 346), - Trans(81, 9, 27, 346), - Trans(81, 10, 27, 346), - Trans(81, 11, 27, 346), - Trans(81, 18, 27, 346), - Trans(81, 24, 27, 346), - Trans(81, 25, 27, 346), - Trans(81, 26, 27, 346), - Trans(81, 27, 27, 346), - Trans(81, 36, 27, 346), - Trans(81, 38, 27, 346), - Trans(81, 39, 27, 346), - Trans(81, 41, 27, 346), - Trans(81, 53, 27, 346), - Trans(81, 70, 27, 346), - Trans(81, 76, 27, 346), - Trans(81, 81, 27, 346), - Trans(81, 83, 27, 346), - Trans(81, 86, 27, 346), - Trans(81, 88, 27, 346), - Trans(81, 90, 27, 346), - Trans(81, 111, 27, 346), - Trans(81, 112, 27, 346), - Trans(82, 5, 27, 346), - Trans(82, 12, 27, 346), - Trans(82, 14, 27, 346), - Trans(82, 16, 27, 346), - Trans(82, 17, 27, 346), - Trans(82, 18, 27, 346), - Trans(82, 19, 27, 346), - Trans(82, 20, 27, 346), - Trans(82, 21, 27, 346), - Trans(82, 22, 27, 346), - Trans(82, 23, 27, 346), - Trans(82, 24, 27, 346), - Trans(82, 25, 27, 346), - Trans(82, 26, 27, 346), - Trans(82, 30, 27, 346), - Trans(82, 31, 27, 346), - Trans(82, 32, 27, 346), - Trans(82, 33, 27, 346), - Trans(82, 36, 27, 346), - Trans(82, 39, 27, 346), - Trans(82, 42, 27, 346), - Trans(82, 43, 27, 346), - Trans(82, 44, 27, 346), - Trans(82, 45, 27, 346), - Trans(82, 46, 27, 346), - Trans(82, 47, 27, 346), - Trans(82, 48, 27, 346), - Trans(82, 49, 27, 346), - Trans(82, 50, 27, 346), - Trans(82, 51, 27, 346), - Trans(82, 60, 27, 346), - Trans(82, 61, 27, 346), - Trans(82, 64, 27, 346), - Trans(82, 65, 27, 346), - Trans(82, 66, 27, 346), - Trans(82, 70, 27, 346), - Trans(82, 71, 27, 346), - Trans(82, 73, 27, 346), - Trans(82, 77, 27, 346), - Trans(82, 80, 27, 346), - Trans(82, 81, 27, 346), - Trans(82, 84, 27, 346), - Trans(82, 93, 27, 346), - Trans(82, 102, 27, 346), - Trans(82, 104, 27, 346), - Trans(82, 106, 27, 346), - Trans(82, 109, 27, 346), - Trans(82, 110, 27, 346), - Trans(83, 5, 27, 346), - Trans(83, 16, 27, 346), - Trans(83, 17, 27, 346), - Trans(83, 18, 27, 346), - Trans(83, 19, 27, 346), - Trans(83, 20, 27, 346), - Trans(83, 21, 27, 346), - Trans(83, 22, 27, 346), - Trans(83, 23, 27, 346), - Trans(83, 24, 27, 346), - Trans(83, 25, 27, 346), - Trans(83, 26, 27, 346), - Trans(83, 29, 27, 346), - Trans(83, 30, 27, 346), - Trans(83, 31, 27, 346), - Trans(83, 32, 27, 346), - Trans(83, 33, 27, 346), - Trans(83, 34, 27, 346), - Trans(83, 40, 27, 346), - Trans(83, 41, 27, 346), - Trans(83, 42, 27, 346), - Trans(83, 43, 27, 346), - Trans(83, 44, 27, 346), - Trans(83, 45, 27, 346), - Trans(83, 47, 27, 346), - Trans(83, 51, 27, 346), - Trans(83, 93, 27, 346), - Trans(84, 5, 27, 346), - Trans(84, 16, 27, 346), - Trans(84, 17, 27, 346), - Trans(84, 18, 27, 346), - Trans(84, 19, 27, 346), - Trans(84, 20, 27, 346), - Trans(84, 21, 27, 346), - Trans(84, 22, 27, 346), - Trans(84, 23, 27, 346), - Trans(84, 24, 27, 346), - Trans(84, 25, 27, 346), - Trans(84, 26, 27, 346), - Trans(84, 28, 27, 346), - Trans(84, 29, 27, 346), - Trans(84, 30, 27, 346), - Trans(84, 31, 27, 346), - Trans(84, 32, 27, 346), - Trans(84, 33, 27, 346), - Trans(84, 34, 27, 346), - Trans(84, 35, 27, 346), - Trans(84, 40, 27, 346), - Trans(84, 41, 27, 346), - Trans(84, 42, 27, 346), - Trans(84, 43, 27, 346), - Trans(84, 44, 27, 346), - Trans(84, 45, 27, 346), - Trans(84, 47, 27, 346), - Trans(84, 51, 27, 346), - Trans(84, 93, 27, 346), - Trans(85, 5, 27, 346), - Trans(85, 16, 27, 346), - Trans(85, 17, 27, 346), - Trans(85, 18, 27, 346), - Trans(85, 19, 27, 346), - Trans(85, 20, 27, 346), - Trans(85, 21, 27, 346), - Trans(85, 22, 27, 346), - Trans(85, 23, 27, 346), - Trans(85, 24, 27, 346), - Trans(85, 25, 27, 346), - Trans(85, 26, 27, 346), - Trans(85, 30, 27, 346), - Trans(85, 31, 27, 346), - Trans(85, 39, 27, 346), - Trans(85, 43, 27, 346), - Trans(85, 47, 27, 346), - Trans(85, 51, 27, 346), - Trans(85, 102, 27, 346), - Trans(86, 5, 27, 346), - Trans(86, 16, 27, 346), - Trans(86, 17, 27, 346), - Trans(86, 18, 27, 346), - Trans(86, 19, 27, 346), - Trans(86, 20, 27, 346), - Trans(86, 21, 27, 346), - Trans(86, 22, 27, 346), - Trans(86, 23, 27, 346), - Trans(86, 24, 27, 346), - Trans(86, 25, 27, 346), - Trans(86, 26, 27, 346), - Trans(86, 29, 27, 346), - Trans(86, 30, 27, 346), - Trans(86, 31, 27, 346), - Trans(86, 34, 27, 346), - Trans(86, 39, 27, 346), - Trans(86, 40, 27, 346), - Trans(86, 41, 27, 346), - Trans(86, 43, 27, 346), - Trans(86, 47, 27, 346), - Trans(86, 51, 27, 346), - Trans(86, 102, 27, 346), - Trans(87, 5, 27, 346), - Trans(87, 16, 27, 346), - Trans(87, 17, 27, 346), - Trans(87, 18, 27, 346), - Trans(87, 19, 27, 346), - Trans(87, 20, 27, 346), - Trans(87, 21, 27, 346), - Trans(87, 22, 27, 346), - Trans(87, 23, 27, 346), - Trans(87, 24, 27, 346), - Trans(87, 25, 27, 346), - Trans(87, 26, 27, 346), - Trans(87, 28, 27, 346), - Trans(87, 29, 27, 346), - Trans(87, 30, 27, 346), - Trans(87, 31, 27, 346), - Trans(87, 34, 27, 346), - Trans(87, 39, 27, 346), - Trans(87, 40, 27, 346), - Trans(87, 41, 27, 346), - Trans(87, 43, 27, 346), - Trans(87, 47, 27, 346), - Trans(87, 51, 27, 346), - Trans(87, 102, 27, 346), - Trans(88, 5, 27, 346), - Trans(88, 16, 27, 346), - Trans(88, 17, 27, 346), - Trans(88, 18, 27, 346), - Trans(88, 19, 27, 346), - Trans(88, 20, 27, 346), - Trans(88, 21, 27, 346), - Trans(88, 22, 27, 346), - Trans(88, 23, 27, 346), - Trans(88, 24, 27, 346), - Trans(88, 25, 27, 346), - Trans(88, 26, 27, 346), - Trans(88, 31, 27, 346), - Trans(88, 43, 27, 346), - Trans(88, 45, 27, 346), - Trans(88, 46, 27, 346), - Trans(88, 47, 27, 346), - Trans(88, 51, 27, 346), - Trans(89, 5, 27, 346), - Trans(89, 16, 27, 346), - Trans(89, 17, 27, 346), - Trans(89, 18, 27, 346), - Trans(89, 19, 27, 346), - Trans(89, 20, 27, 346), - Trans(89, 21, 27, 346), - Trans(89, 22, 27, 346), - Trans(89, 23, 27, 346), - Trans(89, 24, 27, 346), - Trans(89, 25, 27, 346), - Trans(89, 26, 27, 346), - Trans(89, 29, 27, 346), - Trans(89, 31, 27, 346), - Trans(89, 34, 27, 346), - Trans(89, 40, 27, 346), - Trans(89, 41, 27, 346), - Trans(89, 43, 27, 346), - Trans(89, 45, 27, 346), - Trans(89, 46, 27, 346), - Trans(89, 47, 27, 346), - Trans(89, 51, 27, 346), - Trans(90, 5, 27, 346), - Trans(90, 16, 27, 346), - Trans(90, 17, 27, 346), - Trans(90, 18, 27, 346), - Trans(90, 19, 27, 346), - Trans(90, 20, 27, 346), - Trans(90, 21, 27, 346), - Trans(90, 22, 27, 346), - Trans(90, 23, 27, 346), - Trans(90, 24, 27, 346), - Trans(90, 25, 27, 346), - Trans(90, 26, 27, 346), - Trans(90, 28, 27, 346), - Trans(90, 29, 27, 346), - Trans(90, 31, 27, 346), - Trans(90, 34, 27, 346), - Trans(90, 40, 27, 346), - Trans(90, 41, 27, 346), - Trans(90, 43, 27, 346), - Trans(90, 45, 27, 346), - Trans(90, 46, 27, 346), - Trans(90, 47, 27, 346), - Trans(90, 51, 27, 346), - Trans(91, 5, 27, 346), - Trans(91, 16, 27, 346), - Trans(91, 17, 27, 346), - Trans(91, 18, 27, 346), - Trans(91, 19, 27, 346), - Trans(91, 20, 27, 346), - Trans(91, 21, 27, 346), - Trans(91, 22, 27, 346), - Trans(91, 23, 27, 346), - Trans(91, 24, 27, 346), - Trans(91, 25, 27, 346), - Trans(91, 26, 27, 346), - Trans(91, 31, 27, 346), - Trans(91, 42, 27, 346), - Trans(91, 47, 27, 346), - Trans(91, 51, 27, 346), - Trans(92, 5, 27, 346), - Trans(92, 16, 27, 346), - Trans(92, 17, 27, 346), - Trans(92, 18, 27, 346), - Trans(92, 19, 27, 346), - Trans(92, 20, 27, 346), - Trans(92, 21, 27, 346), - Trans(92, 22, 27, 346), - Trans(92, 23, 27, 346), - Trans(92, 24, 27, 346), - Trans(92, 25, 27, 346), - Trans(92, 26, 27, 346), - Trans(92, 29, 27, 346), - Trans(92, 31, 27, 346), - Trans(92, 34, 27, 346), - Trans(92, 40, 27, 346), - Trans(92, 41, 27, 346), - Trans(92, 42, 27, 346), - Trans(92, 47, 27, 346), - Trans(92, 51, 27, 346), - Trans(93, 5, 27, 346), - Trans(93, 16, 27, 346), - Trans(93, 17, 27, 346), - Trans(93, 18, 27, 346), - Trans(93, 19, 27, 346), - Trans(93, 20, 27, 346), - Trans(93, 21, 27, 346), - Trans(93, 22, 27, 346), - Trans(93, 23, 27, 346), - Trans(93, 24, 27, 346), - Trans(93, 25, 27, 346), - Trans(93, 26, 27, 346), - Trans(93, 28, 27, 346), - Trans(93, 29, 27, 346), - Trans(93, 31, 27, 346), - Trans(93, 34, 27, 346), - Trans(93, 40, 27, 346), - Trans(93, 41, 27, 346), - Trans(93, 42, 27, 346), - Trans(93, 47, 27, 346), - Trans(93, 51, 27, 346), - Trans(94, 6, 27, 346), - Trans(94, 7, 27, 346), - Trans(94, 8, 27, 346), - Trans(94, 9, 27, 346), - Trans(94, 10, 27, 346), - Trans(94, 11, 27, 346), - Trans(94, 18, 27, 346), - Trans(94, 24, 27, 346), - Trans(94, 25, 27, 346), - Trans(94, 26, 27, 346), - Trans(94, 27, 27, 346), - Trans(94, 30, 27, 346), - Trans(94, 36, 27, 346), - Trans(94, 38, 27, 346), - Trans(94, 39, 27, 346), - Trans(94, 41, 27, 346), - Trans(94, 43, 27, 346), - Trans(94, 48, 27, 346), - Trans(94, 49, 27, 346), - Trans(94, 50, 27, 346), - Trans(94, 53, 27, 346), - Trans(94, 57, 27, 346), - Trans(94, 60, 27, 346), - Trans(94, 64, 27, 346), - Trans(94, 65, 27, 346), - Trans(94, 66, 27, 346), - Trans(94, 69, 27, 346), - Trans(94, 70, 27, 346), - Trans(94, 71, 27, 346), - Trans(94, 73, 27, 346), - Trans(94, 76, 27, 346), - Trans(94, 77, 27, 346), - Trans(94, 80, 27, 346), - Trans(94, 81, 27, 346), - Trans(94, 83, 27, 346), - Trans(94, 84, 27, 346), - Trans(94, 86, 27, 346), - Trans(94, 88, 27, 346), - Trans(94, 99, 27, 346), - Trans(94, 100, 27, 346), - Trans(94, 104, 27, 346), - Trans(94, 106, 27, 346), - Trans(94, 109, 27, 346), - Trans(94, 110, 27, 346), - Trans(94, 111, 27, 346), - Trans(94, 112, 27, 346), - Trans(95, 5, 27, 346), - Trans(95, 16, 27, 346), - Trans(95, 17, 27, 346), - Trans(95, 18, 27, 346), - Trans(95, 19, 27, 346), - Trans(95, 20, 27, 346), - Trans(95, 21, 27, 346), - Trans(95, 22, 27, 346), - Trans(95, 23, 27, 346), - Trans(95, 24, 27, 346), - Trans(95, 25, 27, 346), - Trans(95, 26, 27, 346), - Trans(95, 30, 27, 346), - Trans(95, 31, 27, 346), - Trans(95, 32, 27, 346), - Trans(95, 33, 27, 346), - Trans(95, 43, 27, 346), - Trans(95, 47, 27, 346), - Trans(95, 51, 27, 346), - Trans(96, 5, 27, 346), - Trans(96, 6, 27, 346), - Trans(96, 7, 27, 346), - Trans(96, 8, 27, 346), - Trans(96, 9, 27, 346), - Trans(96, 10, 27, 346), - Trans(96, 11, 27, 346), - Trans(96, 18, 27, 346), - Trans(96, 24, 27, 346), - Trans(96, 25, 27, 346), - Trans(96, 26, 27, 346), - Trans(96, 27, 27, 346), - Trans(96, 30, 27, 346), - Trans(96, 36, 27, 346), - Trans(96, 38, 27, 346), - Trans(96, 39, 27, 346), - Trans(96, 41, 27, 346), - Trans(96, 43, 27, 346), - Trans(96, 48, 27, 346), - Trans(96, 49, 27, 346), - Trans(96, 50, 27, 346), - Trans(96, 53, 27, 346), - Trans(96, 60, 27, 346), - Trans(96, 64, 27, 346), - Trans(96, 65, 27, 346), - Trans(96, 66, 27, 346), - Trans(96, 70, 27, 346), - Trans(96, 71, 27, 346), - Trans(96, 73, 27, 346), - Trans(96, 76, 27, 346), - Trans(96, 77, 27, 346), - Trans(96, 80, 27, 346), - Trans(96, 81, 27, 346), - Trans(96, 83, 27, 346), - Trans(96, 84, 27, 346), - Trans(96, 86, 27, 346), - Trans(96, 88, 27, 346), - Trans(96, 104, 27, 346), - Trans(96, 106, 27, 346), - Trans(96, 109, 27, 346), - Trans(96, 110, 27, 346), - Trans(96, 111, 27, 346), - Trans(96, 112, 27, 346), - Trans(97, 5, 27, 346), - Trans(97, 6, 27, 346), - Trans(97, 7, 27, 346), - Trans(97, 8, 27, 346), - Trans(97, 9, 27, 346), - Trans(97, 10, 27, 346), - Trans(97, 11, 27, 346), - Trans(97, 18, 27, 346), - Trans(97, 24, 27, 346), - Trans(97, 25, 27, 346), - Trans(97, 26, 27, 346), - Trans(97, 27, 27, 346), - Trans(97, 30, 27, 346), - Trans(97, 36, 27, 346), - Trans(97, 38, 27, 346), - Trans(97, 39, 27, 346), - Trans(97, 41, 27, 346), - Trans(97, 43, 27, 346), - Trans(97, 48, 27, 346), - Trans(97, 49, 27, 346), - Trans(97, 50, 27, 346), - Trans(97, 53, 27, 346), - Trans(97, 57, 27, 346), - Trans(97, 58, 27, 346), - Trans(97, 60, 27, 346), - Trans(97, 61, 27, 346), - Trans(97, 64, 27, 346), - Trans(97, 65, 27, 346), - Trans(97, 66, 27, 346), - Trans(97, 69, 27, 346), - Trans(97, 70, 27, 346), - Trans(97, 71, 27, 346), - Trans(97, 73, 27, 346), - Trans(97, 76, 27, 346), - Trans(97, 77, 27, 346), - Trans(97, 80, 27, 346), - Trans(97, 81, 27, 346), - Trans(97, 83, 27, 346), - Trans(97, 84, 27, 346), - Trans(97, 86, 27, 346), - Trans(97, 88, 27, 346), - Trans(97, 99, 27, 346), - Trans(97, 100, 27, 346), - Trans(97, 104, 27, 346), - Trans(97, 106, 27, 346), - Trans(97, 109, 27, 346), - Trans(97, 110, 27, 346), - Trans(97, 111, 27, 346), - Trans(97, 112, 27, 346), - Trans(98, 5, 27, 346), - Trans(98, 15, 27, 346), - Trans(98, 16, 27, 346), - Trans(98, 17, 27, 346), - Trans(98, 18, 27, 346), - Trans(98, 19, 27, 346), - Trans(98, 20, 27, 346), - Trans(98, 21, 27, 346), - Trans(98, 22, 27, 346), - Trans(98, 23, 27, 346), - Trans(98, 24, 27, 346), - Trans(98, 25, 27, 346), - Trans(98, 26, 27, 346), - Trans(98, 29, 27, 346), - Trans(98, 30, 27, 346), - Trans(98, 31, 27, 346), - Trans(98, 32, 27, 346), - Trans(98, 33, 27, 346), - Trans(98, 34, 27, 346), - Trans(98, 35, 27, 346), - Trans(98, 40, 27, 346), - Trans(98, 41, 27, 346), - Trans(98, 43, 27, 346), - Trans(98, 47, 27, 346), - Trans(98, 51, 27, 346), - Trans(99, 5, 27, 346), - Trans(99, 15, 27, 346), - Trans(99, 16, 27, 346), - Trans(99, 17, 27, 346), - Trans(99, 18, 27, 346), - Trans(99, 19, 27, 346), - Trans(99, 20, 27, 346), - Trans(99, 21, 27, 346), - Trans(99, 22, 27, 346), - Trans(99, 23, 27, 346), - Trans(99, 24, 27, 346), - Trans(99, 25, 27, 346), - Trans(99, 26, 27, 346), - Trans(99, 28, 27, 346), - Trans(99, 29, 27, 346), - Trans(99, 30, 27, 346), - Trans(99, 31, 27, 346), - Trans(99, 32, 27, 346), - Trans(99, 33, 27, 346), - Trans(99, 34, 27, 346), - Trans(99, 35, 27, 346), - Trans(99, 40, 27, 346), - Trans(99, 41, 27, 346), - Trans(99, 43, 27, 346), - Trans(99, 47, 27, 346), - Trans(99, 51, 27, 346), - Trans(100, 5, 27, 346), - Trans(100, 12, 27, 346), - Trans(100, 14, 27, 346), - Trans(100, 16, 27, 346), - Trans(100, 17, 27, 346), - Trans(100, 18, 27, 346), - Trans(100, 19, 27, 346), - Trans(100, 20, 27, 346), - Trans(100, 21, 27, 346), - Trans(100, 22, 27, 346), - Trans(100, 23, 27, 346), - Trans(100, 24, 27, 346), - Trans(100, 25, 27, 346), - Trans(100, 26, 27, 346), - Trans(100, 30, 27, 346), - Trans(100, 31, 27, 346), - Trans(100, 44, 27, 346), - Trans(100, 47, 27, 346), - Trans(100, 51, 27, 346), - Trans(100, 102, 27, 346), - Trans(101, 5, 27, 346), - Trans(101, 12, 27, 346), - Trans(101, 14, 27, 346), - Trans(101, 16, 27, 346), - Trans(101, 17, 27, 346), - Trans(101, 18, 27, 346), - Trans(101, 19, 27, 346), - Trans(101, 20, 27, 346), - Trans(101, 21, 27, 346), - Trans(101, 22, 27, 346), - Trans(101, 23, 27, 346), - Trans(101, 24, 27, 346), - Trans(101, 25, 27, 346), - Trans(101, 26, 27, 346), - Trans(101, 29, 27, 346), - Trans(101, 30, 27, 346), - Trans(101, 31, 27, 346), - Trans(101, 34, 27, 346), - Trans(101, 40, 27, 346), - Trans(101, 41, 27, 346), - Trans(101, 44, 27, 346), - Trans(101, 47, 27, 346), - Trans(101, 51, 27, 346), - Trans(101, 102, 27, 346), - Trans(102, 5, 27, 346), - Trans(102, 12, 27, 346), - Trans(102, 14, 27, 346), - Trans(102, 16, 27, 346), - Trans(102, 17, 27, 346), - Trans(102, 18, 27, 346), - Trans(102, 19, 27, 346), - Trans(102, 20, 27, 346), - Trans(102, 21, 27, 346), - Trans(102, 22, 27, 346), - Trans(102, 23, 27, 346), - Trans(102, 24, 27, 346), - Trans(102, 25, 27, 346), - Trans(102, 26, 27, 346), - Trans(102, 28, 27, 346), - Trans(102, 29, 27, 346), - Trans(102, 30, 27, 346), - Trans(102, 31, 27, 346), - Trans(102, 34, 27, 346), - Trans(102, 40, 27, 346), - Trans(102, 41, 27, 346), - Trans(102, 44, 27, 346), - Trans(102, 47, 27, 346), - Trans(102, 51, 27, 346), - Trans(102, 102, 27, 346), - Trans(103, 6, 27, 346), - Trans(103, 7, 27, 346), - Trans(103, 8, 27, 346), - Trans(103, 9, 27, 346), - Trans(103, 10, 27, 346), - Trans(103, 11, 27, 346), - Trans(103, 18, 27, 346), - Trans(103, 24, 27, 346), - Trans(103, 25, 27, 346), - Trans(103, 26, 27, 346), - Trans(103, 27, 27, 346), - Trans(103, 36, 27, 346), - Trans(103, 38, 27, 346), - Trans(103, 39, 27, 346), - Trans(103, 41, 27, 346), - Trans(103, 45, 27, 346), - Trans(103, 53, 27, 346), - Trans(103, 70, 27, 346), - Trans(103, 76, 27, 346), - Trans(103, 83, 27, 346), - Trans(103, 86, 27, 346), - Trans(103, 88, 27, 346), - Trans(103, 111, 27, 346), - Trans(103, 112, 27, 346), - Trans(104, 5, 27, 346), - Trans(104, 16, 27, 346), - Trans(104, 17, 27, 346), - Trans(104, 18, 27, 346), - Trans(104, 19, 27, 346), - Trans(104, 20, 27, 346), - Trans(104, 21, 27, 346), - Trans(104, 22, 27, 346), - Trans(104, 23, 27, 346), - Trans(104, 24, 27, 346), - Trans(104, 25, 27, 346), - Trans(104, 26, 27, 346), - Trans(104, 31, 27, 346), - Trans(104, 45, 27, 346), - Trans(104, 47, 27, 346), - Trans(104, 51, 27, 346), - Trans(105, 5, 27, 346), - Trans(105, 6, 27, 346), - Trans(105, 7, 27, 346), - Trans(105, 8, 27, 346), - Trans(105, 9, 27, 346), - Trans(105, 10, 27, 346), - Trans(105, 11, 27, 346), - Trans(105, 18, 27, 346), - Trans(105, 24, 27, 346), - Trans(105, 25, 27, 346), - Trans(105, 26, 27, 346), - Trans(105, 27, 27, 346), - Trans(105, 36, 27, 346), - Trans(105, 38, 27, 346), - Trans(105, 39, 27, 346), - Trans(105, 41, 27, 346), - Trans(105, 53, 27, 346), - Trans(105, 70, 27, 346), - Trans(105, 76, 27, 346), - Trans(105, 83, 27, 346), - Trans(105, 86, 27, 346), - Trans(105, 88, 27, 346), - Trans(105, 111, 27, 346), - Trans(105, 112, 27, 346), - Trans(106, 5, 27, 346), - Trans(106, 16, 27, 346), - Trans(106, 17, 27, 346), - Trans(106, 18, 27, 346), - Trans(106, 19, 27, 346), - Trans(106, 20, 27, 346), - Trans(106, 21, 27, 346), - Trans(106, 22, 27, 346), - Trans(106, 23, 27, 346), - Trans(106, 24, 27, 346), - Trans(106, 25, 27, 346), - Trans(106, 26, 27, 346), - Trans(106, 29, 27, 346), - Trans(106, 31, 27, 346), - Trans(106, 34, 27, 346), - Trans(106, 40, 27, 346), - Trans(106, 41, 27, 346), - Trans(106, 45, 27, 346), - Trans(106, 47, 27, 346), - Trans(106, 51, 27, 346), - Trans(107, 5, 27, 346), - Trans(107, 16, 27, 346), - Trans(107, 17, 27, 346), - Trans(107, 18, 27, 346), - Trans(107, 19, 27, 346), - Trans(107, 20, 27, 346), - Trans(107, 21, 27, 346), - Trans(107, 22, 27, 346), - Trans(107, 23, 27, 346), - Trans(107, 24, 27, 346), - Trans(107, 25, 27, 346), - Trans(107, 26, 27, 346), - Trans(107, 28, 27, 346), - Trans(107, 29, 27, 346), - Trans(107, 30, 27, 346), - Trans(107, 31, 27, 346), - Trans(107, 34, 27, 346), - Trans(107, 40, 27, 346), - Trans(107, 41, 27, 346), - Trans(107, 45, 27, 346), - Trans(107, 47, 27, 346), - Trans(107, 51, 27, 346), - Trans(108, 5, 27, 346), - Trans(108, 16, 27, 346), - Trans(108, 17, 27, 346), - Trans(108, 18, 27, 346), - Trans(108, 19, 27, 346), - Trans(108, 20, 27, 346), - Trans(108, 21, 27, 346), - Trans(108, 22, 27, 346), - Trans(108, 23, 27, 346), - Trans(108, 24, 27, 346), - Trans(108, 25, 27, 346), - Trans(108, 26, 27, 346), - Trans(108, 32, 27, 346), - Trans(108, 33, 27, 346), - Trans(108, 39, 27, 346), - Trans(108, 47, 27, 346), - Trans(108, 51, 27, 346), - Trans(108, 102, 27, 346), - Trans(109, 5, 27, 346), - Trans(109, 16, 27, 346), - Trans(109, 17, 27, 346), - Trans(109, 18, 27, 346), - Trans(109, 19, 27, 346), - Trans(109, 20, 27, 346), - Trans(109, 21, 27, 346), - Trans(109, 22, 27, 346), - Trans(109, 23, 27, 346), - Trans(109, 24, 27, 346), - Trans(109, 25, 27, 346), - Trans(109, 26, 27, 346), - Trans(109, 29, 27, 346), - Trans(109, 32, 27, 346), - Trans(109, 33, 27, 346), - Trans(109, 34, 27, 346), - Trans(109, 39, 27, 346), - Trans(109, 40, 27, 346), - Trans(109, 41, 27, 346), - Trans(109, 47, 27, 346), - Trans(109, 51, 27, 346), - Trans(109, 102, 27, 346), - Trans(110, 5, 27, 346), - Trans(110, 16, 27, 346), - Trans(110, 17, 27, 346), - Trans(110, 18, 27, 346), - Trans(110, 19, 27, 346), - Trans(110, 20, 27, 346), - Trans(110, 21, 27, 346), - Trans(110, 22, 27, 346), - Trans(110, 23, 27, 346), - Trans(110, 24, 27, 346), - Trans(110, 25, 27, 346), - Trans(110, 26, 27, 346), - Trans(110, 28, 27, 346), - Trans(110, 29, 27, 346), - Trans(110, 32, 27, 346), - Trans(110, 33, 27, 346), - Trans(110, 34, 27, 346), - Trans(110, 39, 27, 346), - Trans(110, 40, 27, 346), - Trans(110, 41, 27, 346), - Trans(110, 47, 27, 346), - Trans(110, 51, 27, 346), - Trans(110, 102, 27, 346), - Trans(111, 5, 27, 346), - Trans(111, 16, 27, 346), - Trans(111, 17, 27, 346), - Trans(111, 18, 27, 346), - Trans(111, 19, 27, 346), - Trans(111, 20, 27, 346), - Trans(111, 21, 27, 346), - Trans(111, 22, 27, 346), - Trans(111, 23, 27, 346), - Trans(111, 24, 27, 346), - Trans(111, 25, 27, 346), - Trans(111, 26, 27, 346), - Trans(111, 31, 27, 346), - Trans(111, 43, 27, 346), - Trans(111, 47, 27, 346), - Trans(111, 51, 27, 346), - Trans(112, 5, 27, 346), - Trans(112, 16, 27, 346), - Trans(112, 17, 27, 346), - Trans(112, 18, 27, 346), - Trans(112, 19, 27, 346), - Trans(112, 20, 27, 346), - Trans(112, 21, 27, 346), - Trans(112, 22, 27, 346), - Trans(112, 23, 27, 346), - Trans(112, 24, 27, 346), - Trans(112, 25, 27, 346), - Trans(112, 26, 27, 346), - Trans(112, 29, 27, 346), - Trans(112, 31, 27, 346), - Trans(112, 34, 27, 346), - Trans(112, 40, 27, 346), - Trans(112, 41, 27, 346), - Trans(112, 43, 27, 346), - Trans(112, 47, 27, 346), - Trans(112, 51, 27, 346), - Trans(113, 5, 27, 346), - Trans(113, 16, 27, 346), - Trans(113, 17, 27, 346), - Trans(113, 18, 27, 346), - Trans(113, 19, 27, 346), - Trans(113, 20, 27, 346), - Trans(113, 21, 27, 346), - Trans(113, 22, 27, 346), - Trans(113, 23, 27, 346), - Trans(113, 24, 27, 346), - Trans(113, 25, 27, 346), - Trans(113, 26, 27, 346), - Trans(113, 28, 27, 346), - Trans(113, 29, 27, 346), - Trans(113, 31, 27, 346), - Trans(113, 34, 27, 346), - Trans(113, 40, 27, 346), - Trans(113, 41, 27, 346), - Trans(113, 43, 27, 346), - Trans(113, 47, 27, 346), - Trans(113, 51, 27, 346), - Trans(114, 6, 27, 346), - Trans(114, 7, 27, 346), - Trans(114, 8, 27, 346), - Trans(114, 9, 27, 346), - Trans(114, 10, 27, 346), - Trans(114, 11, 27, 346), - Trans(114, 15, 27, 346), - Trans(114, 18, 27, 346), - Trans(114, 24, 27, 346), - Trans(114, 25, 27, 346), - Trans(114, 26, 27, 346), - Trans(114, 27, 27, 346), - Trans(114, 38, 27, 346), - Trans(114, 39, 27, 346), - Trans(114, 41, 27, 346), - Trans(114, 53, 27, 346), - Trans(114, 70, 27, 346), - Trans(114, 76, 27, 346), - Trans(114, 83, 27, 346), - Trans(114, 86, 27, 346), - Trans(114, 88, 27, 346), - Trans(114, 111, 27, 346), - Trans(114, 112, 27, 346), - Trans(115, 12, 27, 346), - Trans(115, 14, 27, 346), - Trans(115, 15, 27, 346), - Trans(115, 16, 27, 346), - Trans(115, 17, 27, 346), - Trans(115, 18, 27, 346), - Trans(115, 19, 27, 346), - Trans(115, 20, 27, 346), - Trans(115, 21, 27, 346), - Trans(115, 22, 27, 346), - Trans(115, 23, 27, 346), - Trans(115, 24, 27, 346), - Trans(115, 25, 27, 346), - Trans(115, 26, 27, 346), - Trans(115, 30, 27, 346), - Trans(115, 31, 27, 346), - Trans(115, 32, 27, 346), - Trans(115, 33, 27, 346), - Trans(115, 34, 27, 346), - Trans(115, 35, 27, 346), - Trans(115, 36, 27, 346), - Trans(115, 39, 27, 346), - Trans(115, 40, 27, 346), - Trans(115, 41, 27, 346), - Trans(115, 42, 27, 346), - Trans(115, 43, 27, 346), - Trans(115, 44, 27, 346), - Trans(115, 45, 27, 346), - Trans(115, 46, 27, 346), - Trans(115, 47, 27, 346), - Trans(115, 51, 27, 346), - Trans(115, 93, 27, 346), - Trans(115, 102, 27, 346), - Trans(116, 5, 27, 346), - Trans(116, 6, 27, 346), - Trans(116, 7, 27, 346), - Trans(116, 8, 27, 346), - Trans(116, 9, 27, 346), - Trans(116, 10, 27, 346), - Trans(116, 11, 27, 346), - Trans(116, 18, 27, 346), - Trans(116, 24, 27, 346), - Trans(116, 25, 27, 346), - Trans(116, 26, 27, 346), - Trans(116, 27, 27, 346), - Trans(116, 30, 27, 346), - Trans(116, 36, 27, 346), - Trans(116, 38, 27, 346), - Trans(116, 39, 27, 346), - Trans(116, 41, 27, 346), - Trans(116, 43, 27, 346), - Trans(116, 48, 27, 346), - Trans(116, 49, 27, 346), - Trans(116, 50, 27, 346), - Trans(116, 53, 27, 346), - Trans(116, 57, 27, 346), - Trans(116, 60, 27, 346), - Trans(116, 64, 27, 346), - Trans(116, 65, 27, 346), - Trans(116, 66, 27, 346), - Trans(116, 69, 27, 346), - Trans(116, 70, 27, 346), - Trans(116, 71, 27, 346), - Trans(116, 73, 27, 346), - Trans(116, 76, 27, 346), - Trans(116, 77, 27, 346), - Trans(116, 80, 27, 346), - Trans(116, 81, 27, 346), - Trans(116, 83, 27, 346), - Trans(116, 84, 27, 346), - Trans(116, 86, 27, 346), - Trans(116, 88, 27, 346), - Trans(116, 99, 27, 346), - Trans(116, 100, 27, 346), - Trans(116, 104, 27, 346), - Trans(116, 106, 27, 346), - Trans(116, 109, 27, 346), - Trans(116, 110, 27, 346), - Trans(116, 111, 27, 346), - Trans(116, 112, 27, 346), - Trans(117, 12, 27, 346), - Trans(117, 13, 27, 346), - Trans(117, 14, 27, 346), - Trans(117, 16, 27, 346), - Trans(117, 17, 27, 346), - Trans(117, 18, 27, 346), - Trans(117, 19, 27, 346), - Trans(117, 20, 27, 346), - Trans(117, 21, 27, 346), - Trans(117, 22, 27, 346), - Trans(117, 23, 27, 346), - Trans(117, 24, 27, 346), - Trans(117, 25, 27, 346), - Trans(117, 26, 27, 346), - Trans(117, 30, 27, 346), - Trans(117, 31, 27, 346), - Trans(117, 32, 27, 346), - Trans(117, 33, 27, 346), - Trans(117, 39, 27, 346), - Trans(117, 41, 27, 346), - Trans(117, 42, 27, 346), - Trans(117, 43, 27, 346), - Trans(117, 44, 27, 346), - Trans(117, 45, 27, 346), - Trans(117, 46, 27, 346), - Trans(117, 47, 27, 346), - Trans(117, 51, 27, 346), - Trans(117, 93, 27, 346), - Trans(117, 102, 27, 346), - Trans(118, 5, 27, 346), - Trans(118, 36, 27, 346), - Trans(118, 39, 27, 346), - Trans(118, 45, 27, 346), - Trans(118, 112, 27, 346), - Trans(119, 5, 27, 346), - Trans(119, 12, 27, 346), - Trans(119, 14, 27, 346), - Trans(119, 16, 27, 346), - Trans(119, 17, 27, 346), - Trans(119, 18, 27, 346), - Trans(119, 19, 27, 346), - Trans(119, 20, 27, 346), - Trans(119, 21, 27, 346), - Trans(119, 22, 27, 346), - Trans(119, 23, 27, 346), - Trans(119, 24, 27, 346), - Trans(119, 25, 27, 346), - Trans(119, 26, 27, 346), - Trans(119, 30, 27, 346), - Trans(119, 31, 27, 346), - Trans(119, 32, 27, 346), - Trans(119, 33, 27, 346), - Trans(119, 39, 27, 346), - Trans(119, 41, 27, 346), - Trans(119, 42, 27, 346), - Trans(119, 43, 27, 346), - Trans(119, 44, 27, 346), - Trans(119, 45, 27, 346), - Trans(119, 46, 27, 346), - Trans(119, 47, 27, 346), - Trans(119, 51, 27, 346), - Trans(119, 93, 27, 346), - Trans(119, 102, 27, 346), - Trans(120, 41, 27, 346), - Trans(121, 111, 27, 346), - Trans(121, 112, 27, 346), - Trans(122, 5, 27, 346), - Trans(122, 12, 27, 346), - Trans(122, 14, 27, 346), - Trans(122, 16, 27, 346), - Trans(122, 17, 27, 346), - Trans(122, 18, 27, 346), - Trans(122, 19, 27, 346), - Trans(122, 20, 27, 346), - Trans(122, 21, 27, 346), - Trans(122, 22, 27, 346), - Trans(122, 23, 27, 346), - Trans(122, 24, 27, 346), - Trans(122, 25, 27, 346), - Trans(122, 26, 27, 346), - Trans(122, 29, 27, 346), - Trans(122, 30, 27, 346), - Trans(122, 31, 27, 346), - Trans(122, 32, 27, 346), - Trans(122, 33, 27, 346), - Trans(122, 39, 27, 346), - Trans(122, 42, 27, 346), - Trans(122, 43, 27, 346), - Trans(122, 44, 27, 346), - Trans(122, 45, 27, 346), - Trans(122, 46, 27, 346), - Trans(122, 47, 27, 346), - Trans(122, 51, 27, 346), - Trans(122, 93, 27, 346), - Trans(122, 102, 27, 346), - Trans(123, 5, 27, 346), - Trans(123, 12, 27, 346), - Trans(123, 14, 27, 346), - Trans(123, 16, 27, 346), - Trans(123, 17, 27, 346), - Trans(123, 18, 27, 346), - Trans(123, 19, 27, 346), - Trans(123, 20, 27, 346), - Trans(123, 21, 27, 346), - Trans(123, 22, 27, 346), - Trans(123, 23, 27, 346), - Trans(123, 24, 27, 346), - Trans(123, 25, 27, 346), - Trans(123, 26, 27, 346), - Trans(123, 28, 27, 346), - Trans(123, 29, 27, 346), - Trans(123, 30, 27, 346), - Trans(123, 31, 27, 346), - Trans(123, 32, 27, 346), - Trans(123, 33, 27, 346), - Trans(123, 39, 27, 346), - Trans(123, 42, 27, 346), - Trans(123, 43, 27, 346), - Trans(123, 44, 27, 346), - Trans(123, 45, 27, 346), - Trans(123, 46, 27, 346), - Trans(123, 47, 27, 346), - Trans(123, 51, 27, 346), - Trans(123, 93, 27, 346), - Trans(123, 102, 27, 346), - Trans(124, 112, 27, 346), - Trans(125, 5, 27, 346), - Trans(125, 12, 27, 346), - Trans(125, 14, 27, 346), - Trans(125, 15, 27, 346), - Trans(125, 16, 27, 346), - Trans(125, 17, 27, 346), - Trans(125, 18, 27, 346), - Trans(125, 19, 27, 346), - Trans(125, 20, 27, 346), - Trans(125, 21, 27, 346), - Trans(125, 22, 27, 346), - Trans(125, 23, 27, 346), - Trans(125, 24, 27, 346), - Trans(125, 25, 27, 346), - Trans(125, 26, 27, 346), - Trans(125, 30, 27, 346), - Trans(125, 31, 27, 346), - Trans(125, 32, 27, 346), - Trans(125, 33, 27, 346), - Trans(125, 34, 27, 346), - Trans(125, 35, 27, 346), - Trans(125, 39, 27, 346), - Trans(125, 40, 27, 346), - Trans(125, 41, 27, 346), - Trans(125, 42, 27, 346), - Trans(125, 43, 27, 346), - Trans(125, 44, 27, 346), - Trans(125, 45, 27, 346), - Trans(125, 46, 27, 346), - Trans(125, 47, 27, 346), - Trans(125, 51, 27, 346), - Trans(125, 93, 27, 346), - Trans(125, 102, 27, 346), + Trans(26, 105, 36, -1), + Trans(26, 112, 66, -1), + Trans(26, 113, 67, -1), + Trans(28, 0, 27, 349), + Trans(28, 6, 27, 349), + Trans(28, 7, 27, 349), + Trans(28, 8, 27, 349), + Trans(28, 9, 27, 349), + Trans(28, 10, 27, 349), + Trans(28, 11, 27, 349), + Trans(28, 18, 27, 349), + Trans(28, 24, 27, 349), + Trans(28, 25, 27, 349), + Trans(28, 26, 27, 349), + Trans(28, 27, 27, 349), + Trans(28, 30, 27, 349), + Trans(28, 36, 27, 349), + Trans(28, 38, 27, 349), + Trans(28, 39, 27, 349), + Trans(28, 41, 27, 349), + Trans(28, 43, 27, 349), + Trans(28, 48, 27, 349), + Trans(28, 49, 27, 349), + Trans(28, 50, 27, 349), + Trans(28, 53, 27, 349), + Trans(28, 57, 27, 349), + Trans(28, 59, 27, 349), + Trans(28, 60, 27, 349), + Trans(28, 61, 27, 349), + Trans(28, 64, 27, 349), + Trans(28, 65, 27, 349), + Trans(28, 66, 27, 349), + Trans(28, 69, 27, 349), + Trans(28, 70, 27, 349), + Trans(28, 71, 27, 349), + Trans(28, 72, 27, 349), + Trans(28, 73, 27, 349), + Trans(28, 76, 27, 349), + Trans(28, 77, 27, 349), + Trans(28, 78, 27, 349), + Trans(28, 80, 27, 349), + Trans(28, 81, 27, 349), + Trans(28, 83, 27, 349), + Trans(28, 84, 27, 349), + Trans(28, 85, 27, 349), + Trans(28, 86, 27, 349), + Trans(28, 88, 27, 349), + Trans(28, 89, 27, 349), + Trans(28, 91, 27, 349), + Trans(28, 99, 27, 349), + Trans(28, 100, 27, 349), + Trans(28, 104, 27, 349), + Trans(28, 105, 27, 349), + Trans(28, 107, 27, 349), + Trans(28, 110, 27, 349), + Trans(28, 111, 27, 349), + Trans(28, 112, 27, 349), + Trans(28, 113, 27, 349), + Trans(29, 5, 27, 349), + Trans(29, 16, 27, 349), + Trans(29, 17, 27, 349), + Trans(29, 18, 27, 349), + Trans(29, 19, 27, 349), + Trans(29, 20, 27, 349), + Trans(29, 21, 27, 349), + Trans(29, 22, 27, 349), + Trans(29, 23, 27, 349), + Trans(29, 24, 27, 349), + Trans(29, 25, 27, 349), + Trans(29, 26, 27, 349), + Trans(29, 30, 27, 349), + Trans(29, 31, 27, 349), + Trans(29, 32, 27, 349), + Trans(29, 33, 27, 349), + Trans(29, 47, 27, 349), + Trans(29, 51, 27, 349), + Trans(30, 5, 27, 349), + Trans(30, 6, 27, 349), + Trans(30, 7, 27, 349), + Trans(30, 8, 27, 349), + Trans(30, 9, 27, 349), + Trans(30, 10, 27, 349), + Trans(30, 11, 27, 349), + Trans(30, 18, 27, 349), + Trans(30, 24, 27, 349), + Trans(30, 25, 27, 349), + Trans(30, 26, 27, 349), + Trans(30, 27, 27, 349), + Trans(30, 38, 27, 349), + Trans(30, 39, 27, 349), + Trans(30, 41, 27, 349), + Trans(30, 53, 27, 349), + Trans(30, 70, 27, 349), + Trans(30, 76, 27, 349), + Trans(30, 83, 27, 349), + Trans(30, 86, 27, 349), + Trans(30, 88, 27, 349), + Trans(30, 105, 27, 349), + Trans(30, 112, 27, 349), + Trans(30, 113, 27, 349), + Trans(31, 5, 27, 349), + Trans(31, 113, 27, 349), + Trans(32, 5, 27, 349), + Trans(32, 40, 27, 349), + Trans(33, 5, 27, 349), + Trans(33, 6, 27, 349), + Trans(33, 7, 27, 349), + Trans(33, 8, 27, 349), + Trans(33, 9, 27, 349), + Trans(33, 10, 27, 349), + Trans(33, 11, 27, 349), + Trans(33, 18, 27, 349), + Trans(33, 24, 27, 349), + Trans(33, 25, 27, 349), + Trans(33, 26, 27, 349), + Trans(33, 27, 27, 349), + Trans(33, 38, 27, 349), + Trans(33, 39, 27, 349), + Trans(33, 41, 27, 349), + Trans(33, 53, 27, 349), + Trans(33, 57, 27, 349), + Trans(33, 70, 27, 349), + Trans(33, 76, 27, 349), + Trans(33, 83, 27, 349), + Trans(33, 86, 27, 349), + Trans(33, 88, 27, 349), + Trans(33, 105, 27, 349), + Trans(33, 112, 27, 349), + Trans(33, 113, 27, 349), + Trans(34, 5, 27, 349), + Trans(34, 6, 27, 349), + Trans(34, 7, 27, 349), + Trans(34, 8, 27, 349), + Trans(34, 9, 27, 349), + Trans(34, 10, 27, 349), + Trans(34, 11, 27, 349), + Trans(34, 18, 27, 349), + Trans(34, 24, 27, 349), + Trans(34, 25, 27, 349), + Trans(34, 26, 27, 349), + Trans(34, 27, 27, 349), + Trans(34, 30, 27, 349), + Trans(34, 36, 27, 349), + Trans(34, 38, 27, 349), + Trans(34, 39, 27, 349), + Trans(34, 41, 27, 349), + Trans(34, 43, 27, 349), + Trans(34, 48, 27, 349), + Trans(34, 49, 27, 349), + Trans(34, 50, 27, 349), + Trans(34, 53, 27, 349), + Trans(34, 59, 27, 349), + Trans(34, 60, 27, 349), + Trans(34, 61, 27, 349), + Trans(34, 64, 27, 349), + Trans(34, 65, 27, 349), + Trans(34, 66, 27, 349), + Trans(34, 70, 27, 349), + Trans(34, 71, 27, 349), + Trans(34, 72, 27, 349), + Trans(34, 73, 27, 349), + Trans(34, 76, 27, 349), + Trans(34, 77, 27, 349), + Trans(34, 78, 27, 349), + Trans(34, 80, 27, 349), + Trans(34, 81, 27, 349), + Trans(34, 83, 27, 349), + Trans(34, 84, 27, 349), + Trans(34, 85, 27, 349), + Trans(34, 86, 27, 349), + Trans(34, 88, 27, 349), + Trans(34, 89, 27, 349), + Trans(34, 91, 27, 349), + Trans(34, 104, 27, 349), + Trans(34, 105, 27, 349), + Trans(34, 107, 27, 349), + Trans(34, 110, 27, 349), + Trans(34, 111, 27, 349), + Trans(34, 112, 27, 349), + Trans(34, 113, 27, 349), + Trans(35, 0, 27, 349), + Trans(35, 5, 27, 349), + Trans(35, 6, 27, 349), + Trans(35, 7, 27, 349), + Trans(35, 8, 27, 349), + Trans(35, 9, 27, 349), + Trans(35, 10, 27, 349), + Trans(35, 11, 27, 349), + Trans(35, 18, 27, 349), + Trans(35, 24, 27, 349), + Trans(35, 25, 27, 349), + Trans(35, 26, 27, 349), + Trans(35, 27, 27, 349), + Trans(35, 30, 27, 349), + Trans(35, 36, 27, 349), + Trans(35, 38, 27, 349), + Trans(35, 39, 27, 349), + Trans(35, 41, 27, 349), + Trans(35, 43, 27, 349), + Trans(35, 48, 27, 349), + Trans(35, 49, 27, 349), + Trans(35, 50, 27, 349), + Trans(35, 53, 27, 349), + Trans(35, 57, 27, 349), + Trans(35, 58, 27, 349), + Trans(35, 59, 27, 349), + Trans(35, 60, 27, 349), + Trans(35, 61, 27, 349), + Trans(35, 64, 27, 349), + Trans(35, 65, 27, 349), + Trans(35, 66, 27, 349), + Trans(35, 69, 27, 349), + Trans(35, 70, 27, 349), + Trans(35, 71, 27, 349), + Trans(35, 72, 27, 349), + Trans(35, 73, 27, 349), + Trans(35, 76, 27, 349), + Trans(35, 77, 27, 349), + Trans(35, 78, 27, 349), + Trans(35, 80, 27, 349), + Trans(35, 81, 27, 349), + Trans(35, 83, 27, 349), + Trans(35, 84, 27, 349), + Trans(35, 85, 27, 349), + Trans(35, 86, 27, 349), + Trans(35, 88, 27, 349), + Trans(35, 89, 27, 349), + Trans(35, 91, 27, 349), + Trans(35, 99, 27, 349), + Trans(35, 100, 27, 349), + Trans(35, 104, 27, 349), + Trans(35, 105, 27, 349), + Trans(35, 107, 27, 349), + Trans(35, 110, 27, 349), + Trans(35, 111, 27, 349), + Trans(35, 112, 27, 349), + Trans(35, 113, 27, 349), + Trans(36, 5, 27, 349), + Trans(36, 39, 27, 349), + Trans(37, 5, 27, 349), + Trans(37, 39, 27, 349), + Trans(37, 41, 27, 349), + Trans(38, 5, 27, 349), + Trans(38, 30, 27, 349), + Trans(39, 5, 27, 349), + Trans(39, 41, 27, 349), + Trans(40, 5, 27, 349), + Trans(40, 47, 27, 349), + Trans(40, 112, 27, 349), + Trans(40, 113, 27, 349), + Trans(41, 5, 27, 349), + Trans(41, 112, 27, 349), + Trans(41, 113, 27, 349), + Trans(42, 5, 27, 349), + Trans(42, 78, 27, 349), + Trans(42, 85, 27, 349), + Trans(42, 89, 27, 349), + Trans(43, 5, 27, 349), + Trans(43, 46, 27, 349), + Trans(44, 5, 27, 349), + Trans(44, 15, 27, 349), + Trans(44, 16, 27, 349), + Trans(44, 17, 27, 349), + Trans(44, 18, 27, 349), + Trans(44, 19, 27, 349), + Trans(44, 20, 27, 349), + Trans(44, 21, 27, 349), + Trans(44, 22, 27, 349), + Trans(44, 23, 27, 349), + Trans(44, 24, 27, 349), + Trans(44, 25, 27, 349), + Trans(44, 26, 27, 349), + Trans(44, 29, 27, 349), + Trans(44, 30, 27, 349), + Trans(44, 31, 27, 349), + Trans(44, 32, 27, 349), + Trans(44, 33, 27, 349), + Trans(44, 34, 27, 349), + Trans(44, 35, 27, 349), + Trans(44, 40, 27, 349), + Trans(44, 41, 27, 349), + Trans(44, 47, 27, 349), + Trans(44, 51, 27, 349), + Trans(45, 5, 27, 349), + Trans(45, 15, 27, 349), + Trans(45, 16, 27, 349), + Trans(45, 17, 27, 349), + Trans(45, 18, 27, 349), + Trans(45, 19, 27, 349), + Trans(45, 20, 27, 349), + Trans(45, 21, 27, 349), + Trans(45, 22, 27, 349), + Trans(45, 23, 27, 349), + Trans(45, 24, 27, 349), + Trans(45, 25, 27, 349), + Trans(45, 26, 27, 349), + Trans(45, 28, 27, 349), + Trans(45, 29, 27, 349), + Trans(45, 30, 27, 349), + Trans(45, 31, 27, 349), + Trans(45, 32, 27, 349), + Trans(45, 33, 27, 349), + Trans(45, 34, 27, 349), + Trans(45, 35, 27, 349), + Trans(45, 40, 27, 349), + Trans(45, 41, 27, 349), + Trans(45, 47, 27, 349), + Trans(45, 51, 27, 349), + Trans(46, 12, 27, 349), + Trans(46, 14, 27, 349), + Trans(46, 16, 27, 349), + Trans(46, 17, 27, 349), + Trans(46, 18, 27, 349), + Trans(46, 19, 27, 349), + Trans(46, 20, 27, 349), + Trans(46, 21, 27, 349), + Trans(46, 22, 27, 349), + Trans(46, 23, 27, 349), + Trans(46, 24, 27, 349), + Trans(46, 25, 27, 349), + Trans(46, 26, 27, 349), + Trans(46, 30, 27, 349), + Trans(46, 31, 27, 349), + Trans(46, 32, 27, 349), + Trans(46, 33, 27, 349), + Trans(46, 36, 27, 349), + Trans(46, 39, 27, 349), + Trans(46, 42, 27, 349), + Trans(46, 43, 27, 349), + Trans(46, 44, 27, 349), + Trans(46, 45, 27, 349), + Trans(46, 46, 27, 349), + Trans(46, 47, 27, 349), + Trans(46, 48, 27, 349), + Trans(46, 49, 27, 349), + Trans(46, 50, 27, 349), + Trans(46, 51, 27, 349), + Trans(46, 58, 27, 349), + Trans(46, 60, 27, 349), + Trans(46, 61, 27, 349), + Trans(46, 64, 27, 349), + Trans(46, 65, 27, 349), + Trans(46, 66, 27, 349), + Trans(46, 70, 27, 349), + Trans(46, 71, 27, 349), + Trans(46, 73, 27, 349), + Trans(46, 77, 27, 349), + Trans(46, 80, 27, 349), + Trans(46, 81, 27, 349), + Trans(46, 84, 27, 349), + Trans(46, 93, 27, 349), + Trans(46, 102, 27, 349), + Trans(46, 104, 27, 349), + Trans(46, 107, 27, 349), + Trans(46, 110, 27, 349), + Trans(46, 111, 27, 349), + Trans(47, 5, 27, 349), + Trans(47, 6, 27, 349), + Trans(47, 7, 27, 349), + Trans(47, 8, 27, 349), + Trans(47, 9, 27, 349), + Trans(47, 10, 27, 349), + Trans(47, 11, 27, 349), + Trans(47, 18, 27, 349), + Trans(47, 24, 27, 349), + Trans(47, 25, 27, 349), + Trans(47, 26, 27, 349), + Trans(47, 27, 27, 349), + Trans(47, 38, 27, 349), + Trans(47, 39, 27, 349), + Trans(47, 41, 27, 349), + Trans(47, 53, 27, 349), + Trans(47, 65, 27, 349), + Trans(47, 69, 27, 349), + Trans(47, 70, 27, 349), + Trans(47, 76, 27, 349), + Trans(47, 80, 27, 349), + Trans(47, 83, 27, 349), + Trans(47, 86, 27, 349), + Trans(47, 88, 27, 349), + Trans(47, 99, 27, 349), + Trans(47, 100, 27, 349), + Trans(47, 105, 27, 349), + Trans(47, 112, 27, 349), + Trans(47, 113, 27, 349), + Trans(48, 5, 27, 349), + Trans(48, 6, 27, 349), + Trans(48, 7, 27, 349), + Trans(48, 8, 27, 349), + Trans(48, 9, 27, 349), + Trans(48, 10, 27, 349), + Trans(48, 11, 27, 349), + Trans(48, 18, 27, 349), + Trans(48, 24, 27, 349), + Trans(48, 25, 27, 349), + Trans(48, 26, 27, 349), + Trans(48, 27, 27, 349), + Trans(48, 36, 27, 349), + Trans(48, 38, 27, 349), + Trans(48, 39, 27, 349), + Trans(48, 41, 27, 349), + Trans(48, 43, 27, 349), + Trans(48, 45, 27, 349), + Trans(48, 53, 27, 349), + Trans(48, 57, 27, 349), + Trans(48, 70, 27, 349), + Trans(48, 76, 27, 349), + Trans(48, 81, 27, 349), + Trans(48, 83, 27, 349), + Trans(48, 86, 27, 349), + Trans(48, 88, 27, 349), + Trans(48, 90, 27, 349), + Trans(48, 105, 27, 349), + Trans(48, 112, 27, 349), + Trans(48, 113, 27, 349), + Trans(49, 5, 27, 349), + Trans(49, 6, 27, 349), + Trans(49, 7, 27, 349), + Trans(49, 8, 27, 349), + Trans(49, 9, 27, 349), + Trans(49, 10, 27, 349), + Trans(49, 11, 27, 349), + Trans(49, 18, 27, 349), + Trans(49, 24, 27, 349), + Trans(49, 25, 27, 349), + Trans(49, 26, 27, 349), + Trans(49, 27, 27, 349), + Trans(49, 30, 27, 349), + Trans(49, 36, 27, 349), + Trans(49, 38, 27, 349), + Trans(49, 39, 27, 349), + Trans(49, 41, 27, 349), + Trans(49, 43, 27, 349), + Trans(49, 48, 27, 349), + Trans(49, 49, 27, 349), + Trans(49, 50, 27, 349), + Trans(49, 53, 27, 349), + Trans(49, 57, 27, 349), + Trans(49, 60, 27, 349), + Trans(49, 61, 27, 349), + Trans(49, 64, 27, 349), + Trans(49, 65, 27, 349), + Trans(49, 66, 27, 349), + Trans(49, 69, 27, 349), + Trans(49, 70, 27, 349), + Trans(49, 71, 27, 349), + Trans(49, 73, 27, 349), + Trans(49, 76, 27, 349), + Trans(49, 77, 27, 349), + Trans(49, 80, 27, 349), + Trans(49, 81, 27, 349), + Trans(49, 83, 27, 349), + Trans(49, 84, 27, 349), + Trans(49, 86, 27, 349), + Trans(49, 88, 27, 349), + Trans(49, 99, 27, 349), + Trans(49, 100, 27, 349), + Trans(49, 104, 27, 349), + Trans(49, 105, 27, 349), + Trans(49, 107, 27, 349), + Trans(49, 110, 27, 349), + Trans(49, 111, 27, 349), + Trans(49, 112, 27, 349), + Trans(49, 113, 27, 349), + Trans(50, 5, 27, 349), + Trans(50, 31, 27, 349), + Trans(50, 35, 27, 349), + Trans(50, 39, 27, 349), + Trans(50, 40, 27, 349), + Trans(50, 43, 27, 349), + Trans(50, 45, 27, 349), + Trans(50, 46, 27, 349), + Trans(50, 79, 27, 349), + Trans(51, 0, 27, 349), + Trans(51, 5, 27, 349), + Trans(51, 12, 27, 349), + Trans(51, 14, 27, 349), + Trans(51, 16, 27, 349), + Trans(51, 17, 27, 349), + Trans(51, 18, 27, 349), + Trans(51, 19, 27, 349), + Trans(51, 20, 27, 349), + Trans(51, 21, 27, 349), + Trans(51, 22, 27, 349), + Trans(51, 23, 27, 349), + Trans(51, 24, 27, 349), + Trans(51, 25, 27, 349), + Trans(51, 26, 27, 349), + Trans(51, 30, 27, 349), + Trans(51, 31, 27, 349), + Trans(51, 32, 27, 349), + Trans(51, 33, 27, 349), + Trans(51, 36, 27, 349), + Trans(51, 39, 27, 349), + Trans(51, 42, 27, 349), + Trans(51, 43, 27, 349), + Trans(51, 44, 27, 349), + Trans(51, 45, 27, 349), + Trans(51, 46, 27, 349), + Trans(51, 47, 27, 349), + Trans(51, 48, 27, 349), + Trans(51, 49, 27, 349), + Trans(51, 50, 27, 349), + Trans(51, 51, 27, 349), + Trans(51, 58, 27, 349), + Trans(51, 59, 27, 349), + Trans(51, 60, 27, 349), + Trans(51, 61, 27, 349), + Trans(51, 64, 27, 349), + Trans(51, 65, 27, 349), + Trans(51, 66, 27, 349), + Trans(51, 70, 27, 349), + Trans(51, 71, 27, 349), + Trans(51, 72, 27, 349), + Trans(51, 73, 27, 349), + Trans(51, 77, 27, 349), + Trans(51, 78, 27, 349), + Trans(51, 80, 27, 349), + Trans(51, 81, 27, 349), + Trans(51, 84, 27, 349), + Trans(51, 85, 27, 349), + Trans(51, 89, 27, 349), + Trans(51, 91, 27, 349), + Trans(51, 93, 27, 349), + Trans(51, 102, 27, 349), + Trans(51, 104, 27, 349), + Trans(51, 107, 27, 349), + Trans(51, 110, 27, 349), + Trans(51, 111, 27, 349), + Trans(52, 5, 27, 349), + Trans(52, 12, 27, 349), + Trans(52, 14, 27, 349), + Trans(52, 15, 27, 349), + Trans(52, 16, 27, 349), + Trans(52, 17, 27, 349), + Trans(52, 18, 27, 349), + Trans(52, 19, 27, 349), + Trans(52, 20, 27, 349), + Trans(52, 21, 27, 349), + Trans(52, 22, 27, 349), + Trans(52, 23, 27, 349), + Trans(52, 24, 27, 349), + Trans(52, 25, 27, 349), + Trans(52, 26, 27, 349), + Trans(52, 30, 27, 349), + Trans(52, 31, 27, 349), + Trans(52, 32, 27, 349), + Trans(52, 33, 27, 349), + Trans(52, 34, 27, 349), + Trans(52, 35, 27, 349), + Trans(52, 36, 27, 349), + Trans(52, 39, 27, 349), + Trans(52, 40, 27, 349), + Trans(52, 41, 27, 349), + Trans(52, 42, 27, 349), + Trans(52, 43, 27, 349), + Trans(52, 44, 27, 349), + Trans(52, 45, 27, 349), + Trans(52, 46, 27, 349), + Trans(52, 47, 27, 349), + Trans(52, 51, 27, 349), + Trans(52, 93, 27, 349), + Trans(52, 102, 27, 349), + Trans(53, 5, 27, 349), + Trans(53, 12, 27, 349), + Trans(53, 13, 27, 349), + Trans(53, 14, 27, 349), + Trans(53, 16, 27, 349), + Trans(53, 17, 27, 349), + Trans(53, 18, 27, 349), + Trans(53, 19, 27, 349), + Trans(53, 20, 27, 349), + Trans(53, 21, 27, 349), + Trans(53, 22, 27, 349), + Trans(53, 23, 27, 349), + Trans(53, 24, 27, 349), + Trans(53, 25, 27, 349), + Trans(53, 26, 27, 349), + Trans(53, 30, 27, 349), + Trans(53, 31, 27, 349), + Trans(53, 32, 27, 349), + Trans(53, 33, 27, 349), + Trans(53, 39, 27, 349), + Trans(53, 41, 27, 349), + Trans(53, 42, 27, 349), + Trans(53, 43, 27, 349), + Trans(53, 44, 27, 349), + Trans(53, 45, 27, 349), + Trans(53, 46, 27, 349), + Trans(53, 47, 27, 349), + Trans(53, 51, 27, 349), + Trans(53, 93, 27, 349), + Trans(53, 102, 27, 349), + Trans(54, 5, 27, 349), + Trans(54, 39, 27, 349), + Trans(54, 70, 27, 349), + Trans(55, 5, 27, 349), + Trans(55, 6, 27, 349), + Trans(55, 7, 27, 349), + Trans(55, 8, 27, 349), + Trans(55, 9, 27, 349), + Trans(55, 10, 27, 349), + Trans(55, 11, 27, 349), + Trans(55, 15, 27, 349), + Trans(55, 18, 27, 349), + Trans(55, 24, 27, 349), + Trans(55, 25, 27, 349), + Trans(55, 26, 27, 349), + Trans(55, 27, 27, 349), + Trans(55, 38, 27, 349), + Trans(55, 39, 27, 349), + Trans(55, 41, 27, 349), + Trans(55, 53, 27, 349), + Trans(55, 70, 27, 349), + Trans(55, 76, 27, 349), + Trans(55, 83, 27, 349), + Trans(55, 86, 27, 349), + Trans(55, 88, 27, 349), + Trans(55, 105, 27, 349), + Trans(55, 112, 27, 349), + Trans(55, 113, 27, 349), + Trans(56, 12, 27, 349), + Trans(56, 13, 27, 349), + Trans(56, 14, 27, 349), + Trans(56, 15, 27, 349), + Trans(56, 16, 27, 349), + Trans(56, 17, 27, 349), + Trans(56, 18, 27, 349), + Trans(56, 19, 27, 349), + Trans(56, 20, 27, 349), + Trans(56, 21, 27, 349), + Trans(56, 22, 27, 349), + Trans(56, 23, 27, 349), + Trans(56, 24, 27, 349), + Trans(56, 25, 27, 349), + Trans(56, 26, 27, 349), + Trans(56, 29, 27, 349), + Trans(56, 30, 27, 349), + Trans(56, 31, 27, 349), + Trans(56, 32, 27, 349), + Trans(56, 33, 27, 349), + Trans(56, 34, 27, 349), + Trans(56, 35, 27, 349), + Trans(56, 36, 27, 349), + Trans(56, 37, 27, 349), + Trans(56, 39, 27, 349), + Trans(56, 40, 27, 349), + Trans(56, 41, 27, 349), + Trans(56, 42, 27, 349), + Trans(56, 43, 27, 349), + Trans(56, 44, 27, 349), + Trans(56, 45, 27, 349), + Trans(56, 46, 27, 349), + Trans(56, 47, 27, 349), + Trans(56, 51, 27, 349), + Trans(56, 79, 27, 349), + Trans(56, 93, 27, 349), + Trans(56, 102, 27, 349), + Trans(57, 5, 27, 349), + Trans(57, 52, 27, 349), + Trans(57, 54, 27, 349), + Trans(57, 55, 27, 349), + Trans(57, 56, 27, 349), + Trans(57, 62, 27, 349), + Trans(57, 63, 27, 349), + Trans(57, 67, 27, 349), + Trans(57, 68, 27, 349), + Trans(57, 82, 27, 349), + Trans(57, 94, 27, 349), + Trans(57, 95, 27, 349), + Trans(57, 96, 27, 349), + Trans(57, 97, 27, 349), + Trans(57, 98, 27, 349), + Trans(57, 101, 27, 349), + Trans(57, 103, 27, 349), + Trans(57, 106, 27, 349), + Trans(57, 108, 27, 349), + Trans(57, 109, 27, 349), + Trans(57, 112, 27, 349), + Trans(57, 113, 27, 349), + Trans(58, 5, 27, 349), + Trans(58, 47, 27, 349), + Trans(58, 113, 27, 349), + Trans(59, 5, 27, 349), + Trans(59, 6, 27, 349), + Trans(59, 7, 27, 349), + Trans(59, 8, 27, 349), + Trans(59, 9, 27, 349), + Trans(59, 10, 27, 349), + Trans(59, 11, 27, 349), + Trans(59, 18, 27, 349), + Trans(59, 24, 27, 349), + Trans(59, 25, 27, 349), + Trans(59, 26, 27, 349), + Trans(59, 27, 27, 349), + Trans(59, 36, 27, 349), + Trans(59, 38, 27, 349), + Trans(59, 39, 27, 349), + Trans(59, 41, 27, 349), + Trans(59, 42, 27, 349), + Trans(59, 43, 27, 349), + Trans(59, 45, 27, 349), + Trans(59, 53, 27, 349), + Trans(59, 57, 27, 349), + Trans(59, 70, 27, 349), + Trans(59, 76, 27, 349), + Trans(59, 81, 27, 349), + Trans(59, 83, 27, 349), + Trans(59, 86, 27, 349), + Trans(59, 88, 27, 349), + Trans(59, 90, 27, 349), + Trans(59, 105, 27, 349), + Trans(59, 112, 27, 349), + Trans(59, 113, 27, 349), + Trans(60, 5, 27, 349), + Trans(60, 6, 27, 349), + Trans(60, 7, 27, 349), + Trans(60, 8, 27, 349), + Trans(60, 9, 27, 349), + Trans(60, 10, 27, 349), + Trans(60, 11, 27, 349), + Trans(60, 18, 27, 349), + Trans(60, 24, 27, 349), + Trans(60, 25, 27, 349), + Trans(60, 26, 27, 349), + Trans(60, 27, 27, 349), + Trans(60, 36, 27, 349), + Trans(60, 38, 27, 349), + Trans(60, 39, 27, 349), + Trans(60, 41, 27, 349), + Trans(60, 45, 27, 349), + Trans(60, 53, 27, 349), + Trans(60, 70, 27, 349), + Trans(60, 76, 27, 349), + Trans(60, 83, 27, 349), + Trans(60, 86, 27, 349), + Trans(60, 88, 27, 349), + Trans(60, 105, 27, 349), + Trans(60, 112, 27, 349), + Trans(60, 113, 27, 349), + Trans(61, 5, 27, 349), + Trans(61, 12, 27, 349), + Trans(61, 13, 27, 349), + Trans(61, 14, 27, 349), + Trans(61, 15, 27, 349), + Trans(61, 16, 27, 349), + Trans(61, 17, 27, 349), + Trans(61, 18, 27, 349), + Trans(61, 19, 27, 349), + Trans(61, 20, 27, 349), + Trans(61, 21, 27, 349), + Trans(61, 22, 27, 349), + Trans(61, 23, 27, 349), + Trans(61, 24, 27, 349), + Trans(61, 25, 27, 349), + Trans(61, 26, 27, 349), + Trans(61, 29, 27, 349), + Trans(61, 30, 27, 349), + Trans(61, 31, 27, 349), + Trans(61, 32, 27, 349), + Trans(61, 33, 27, 349), + Trans(61, 34, 27, 349), + Trans(61, 35, 27, 349), + Trans(61, 36, 27, 349), + Trans(61, 37, 27, 349), + Trans(61, 39, 27, 349), + Trans(61, 40, 27, 349), + Trans(61, 41, 27, 349), + Trans(61, 42, 27, 349), + Trans(61, 43, 27, 349), + Trans(61, 44, 27, 349), + Trans(61, 45, 27, 349), + Trans(61, 46, 27, 349), + Trans(61, 47, 27, 349), + Trans(61, 51, 27, 349), + Trans(61, 79, 27, 349), + Trans(61, 93, 27, 349), + Trans(61, 102, 27, 349), + Trans(62, 5, 27, 349), + Trans(62, 12, 27, 349), + Trans(62, 14, 27, 349), + Trans(62, 16, 27, 349), + Trans(62, 17, 27, 349), + Trans(62, 18, 27, 349), + Trans(62, 19, 27, 349), + Trans(62, 20, 27, 349), + Trans(62, 21, 27, 349), + Trans(62, 22, 27, 349), + Trans(62, 23, 27, 349), + Trans(62, 24, 27, 349), + Trans(62, 25, 27, 349), + Trans(62, 26, 27, 349), + Trans(62, 30, 27, 349), + Trans(62, 31, 27, 349), + Trans(62, 32, 27, 349), + Trans(62, 33, 27, 349), + Trans(62, 36, 27, 349), + Trans(62, 39, 27, 349), + Trans(62, 42, 27, 349), + Trans(62, 43, 27, 349), + Trans(62, 44, 27, 349), + Trans(62, 45, 27, 349), + Trans(62, 46, 27, 349), + Trans(62, 47, 27, 349), + Trans(62, 48, 27, 349), + Trans(62, 49, 27, 349), + Trans(62, 50, 27, 349), + Trans(62, 51, 27, 349), + Trans(62, 58, 27, 349), + Trans(62, 60, 27, 349), + Trans(62, 61, 27, 349), + Trans(62, 64, 27, 349), + Trans(62, 65, 27, 349), + Trans(62, 66, 27, 349), + Trans(62, 70, 27, 349), + Trans(62, 71, 27, 349), + Trans(62, 73, 27, 349), + Trans(62, 77, 27, 349), + Trans(62, 80, 27, 349), + Trans(62, 81, 27, 349), + Trans(62, 84, 27, 349), + Trans(62, 93, 27, 349), + Trans(62, 102, 27, 349), + Trans(62, 104, 27, 349), + Trans(62, 107, 27, 349), + Trans(62, 110, 27, 349), + Trans(62, 111, 27, 349), + Trans(63, 0, 27, 349), + Trans(63, 5, 27, 349), + Trans(63, 6, 27, 349), + Trans(63, 7, 27, 349), + Trans(63, 8, 27, 349), + Trans(63, 9, 27, 349), + Trans(63, 10, 27, 349), + Trans(63, 11, 27, 349), + Trans(63, 18, 27, 349), + Trans(63, 24, 27, 349), + Trans(63, 25, 27, 349), + Trans(63, 26, 27, 349), + Trans(63, 27, 27, 349), + Trans(63, 30, 27, 349), + Trans(63, 36, 27, 349), + Trans(63, 38, 27, 349), + Trans(63, 39, 27, 349), + Trans(63, 41, 27, 349), + Trans(63, 43, 27, 349), + Trans(63, 48, 27, 349), + Trans(63, 49, 27, 349), + Trans(63, 50, 27, 349), + Trans(63, 53, 27, 349), + Trans(63, 57, 27, 349), + Trans(63, 59, 27, 349), + Trans(63, 60, 27, 349), + Trans(63, 61, 27, 349), + Trans(63, 64, 27, 349), + Trans(63, 65, 27, 349), + Trans(63, 66, 27, 349), + Trans(63, 69, 27, 349), + Trans(63, 70, 27, 349), + Trans(63, 71, 27, 349), + Trans(63, 72, 27, 349), + Trans(63, 73, 27, 349), + Trans(63, 76, 27, 349), + Trans(63, 77, 27, 349), + Trans(63, 78, 27, 349), + Trans(63, 80, 27, 349), + Trans(63, 81, 27, 349), + Trans(63, 83, 27, 349), + Trans(63, 84, 27, 349), + Trans(63, 85, 27, 349), + Trans(63, 86, 27, 349), + Trans(63, 88, 27, 349), + Trans(63, 89, 27, 349), + Trans(63, 91, 27, 349), + Trans(63, 99, 27, 349), + Trans(63, 100, 27, 349), + Trans(63, 104, 27, 349), + Trans(63, 105, 27, 349), + Trans(63, 107, 27, 349), + Trans(63, 110, 27, 349), + Trans(63, 111, 27, 349), + Trans(63, 112, 27, 349), + Trans(63, 113, 27, 349), + Trans(64, 6, 27, 349), + Trans(64, 7, 27, 349), + Trans(64, 8, 27, 349), + Trans(64, 9, 27, 349), + Trans(64, 10, 27, 349), + Trans(64, 11, 27, 349), + Trans(64, 18, 27, 349), + Trans(64, 24, 27, 349), + Trans(64, 25, 27, 349), + Trans(64, 26, 27, 349), + Trans(64, 27, 27, 349), + Trans(64, 38, 27, 349), + Trans(64, 39, 27, 349), + Trans(64, 41, 27, 349), + Trans(64, 53, 27, 349), + Trans(64, 70, 27, 349), + Trans(64, 76, 27, 349), + Trans(64, 83, 27, 349), + Trans(64, 86, 27, 349), + Trans(64, 88, 27, 349), + Trans(64, 105, 27, 349), + Trans(64, 112, 27, 349), + Trans(64, 113, 27, 349), + Trans(65, 5, 27, 349), + Trans(65, 16, 27, 349), + Trans(65, 17, 27, 349), + Trans(65, 18, 27, 349), + Trans(65, 19, 27, 349), + Trans(65, 20, 27, 349), + Trans(65, 21, 27, 349), + Trans(65, 22, 27, 349), + Trans(65, 23, 27, 349), + Trans(65, 24, 27, 349), + Trans(65, 25, 27, 349), + Trans(65, 26, 27, 349), + Trans(65, 44, 27, 349), + Trans(65, 47, 27, 349), + Trans(65, 51, 27, 349), + Trans(66, 5, 27, 349), + Trans(66, 16, 27, 349), + Trans(66, 17, 27, 349), + Trans(66, 18, 27, 349), + Trans(66, 19, 27, 349), + Trans(66, 20, 27, 349), + Trans(66, 21, 27, 349), + Trans(66, 22, 27, 349), + Trans(66, 23, 27, 349), + Trans(66, 24, 27, 349), + Trans(66, 25, 27, 349), + Trans(66, 26, 27, 349), + Trans(66, 29, 27, 349), + Trans(66, 34, 27, 349), + Trans(66, 40, 27, 349), + Trans(66, 41, 27, 349), + Trans(66, 44, 27, 349), + Trans(66, 47, 27, 349), + Trans(66, 51, 27, 349), + Trans(67, 5, 27, 349), + Trans(67, 16, 27, 349), + Trans(67, 17, 27, 349), + Trans(67, 18, 27, 349), + Trans(67, 19, 27, 349), + Trans(67, 20, 27, 349), + Trans(67, 21, 27, 349), + Trans(67, 22, 27, 349), + Trans(67, 23, 27, 349), + Trans(67, 24, 27, 349), + Trans(67, 25, 27, 349), + Trans(67, 26, 27, 349), + Trans(67, 28, 27, 349), + Trans(67, 29, 27, 349), + Trans(67, 34, 27, 349), + Trans(67, 40, 27, 349), + Trans(67, 41, 27, 349), + Trans(67, 44, 27, 349), + Trans(67, 47, 27, 349), + Trans(67, 51, 27, 349), + Trans(68, 5, 27, 349), + Trans(68, 16, 27, 349), + Trans(68, 17, 27, 349), + Trans(68, 18, 27, 349), + Trans(68, 19, 27, 349), + Trans(68, 20, 27, 349), + Trans(68, 21, 27, 349), + Trans(68, 22, 27, 349), + Trans(68, 23, 27, 349), + Trans(68, 24, 27, 349), + Trans(68, 25, 27, 349), + Trans(68, 26, 27, 349), + Trans(68, 46, 27, 349), + Trans(68, 47, 27, 349), + Trans(68, 51, 27, 349), + Trans(69, 5, 27, 349), + Trans(69, 16, 27, 349), + Trans(69, 17, 27, 349), + Trans(69, 18, 27, 349), + Trans(69, 19, 27, 349), + Trans(69, 20, 27, 349), + Trans(69, 21, 27, 349), + Trans(69, 22, 27, 349), + Trans(69, 23, 27, 349), + Trans(69, 24, 27, 349), + Trans(69, 25, 27, 349), + Trans(69, 26, 27, 349), + Trans(69, 29, 27, 349), + Trans(69, 34, 27, 349), + Trans(69, 40, 27, 349), + Trans(69, 41, 27, 349), + Trans(69, 46, 27, 349), + Trans(69, 47, 27, 349), + Trans(69, 51, 27, 349), + Trans(70, 5, 27, 349), + Trans(70, 16, 27, 349), + Trans(70, 17, 27, 349), + Trans(70, 18, 27, 349), + Trans(70, 19, 27, 349), + Trans(70, 20, 27, 349), + Trans(70, 21, 27, 349), + Trans(70, 22, 27, 349), + Trans(70, 23, 27, 349), + Trans(70, 24, 27, 349), + Trans(70, 25, 27, 349), + Trans(70, 26, 27, 349), + Trans(70, 28, 27, 349), + Trans(70, 29, 27, 349), + Trans(70, 34, 27, 349), + Trans(70, 40, 27, 349), + Trans(70, 41, 27, 349), + Trans(70, 46, 27, 349), + Trans(70, 47, 27, 349), + Trans(70, 51, 27, 349), + Trans(71, 5, 27, 349), + Trans(71, 12, 27, 349), + Trans(71, 14, 27, 349), + Trans(71, 16, 27, 349), + Trans(71, 17, 27, 349), + Trans(71, 18, 27, 349), + Trans(71, 19, 27, 349), + Trans(71, 20, 27, 349), + Trans(71, 21, 27, 349), + Trans(71, 22, 27, 349), + Trans(71, 23, 27, 349), + Trans(71, 24, 27, 349), + Trans(71, 25, 27, 349), + Trans(71, 26, 27, 349), + Trans(71, 30, 27, 349), + Trans(71, 31, 27, 349), + Trans(71, 32, 27, 349), + Trans(71, 33, 27, 349), + Trans(71, 39, 27, 349), + Trans(71, 42, 27, 349), + Trans(71, 43, 27, 349), + Trans(71, 44, 27, 349), + Trans(71, 45, 27, 349), + Trans(71, 46, 27, 349), + Trans(71, 47, 27, 349), + Trans(71, 51, 27, 349), + Trans(71, 93, 27, 349), + Trans(71, 102, 27, 349), + Trans(72, 5, 27, 349), + Trans(72, 12, 27, 349), + Trans(72, 14, 27, 349), + Trans(72, 16, 27, 349), + Trans(72, 17, 27, 349), + Trans(72, 18, 27, 349), + Trans(72, 19, 27, 349), + Trans(72, 20, 27, 349), + Trans(72, 21, 27, 349), + Trans(72, 22, 27, 349), + Trans(72, 23, 27, 349), + Trans(72, 24, 27, 349), + Trans(72, 25, 27, 349), + Trans(72, 26, 27, 349), + Trans(72, 29, 27, 349), + Trans(72, 30, 27, 349), + Trans(72, 31, 27, 349), + Trans(72, 32, 27, 349), + Trans(72, 33, 27, 349), + Trans(72, 34, 27, 349), + Trans(72, 39, 27, 349), + Trans(72, 40, 27, 349), + Trans(72, 41, 27, 349), + Trans(72, 42, 27, 349), + Trans(72, 43, 27, 349), + Trans(72, 44, 27, 349), + Trans(72, 45, 27, 349), + Trans(72, 46, 27, 349), + Trans(72, 47, 27, 349), + Trans(72, 51, 27, 349), + Trans(72, 93, 27, 349), + Trans(72, 102, 27, 349), + Trans(73, 5, 27, 349), + Trans(73, 12, 27, 349), + Trans(73, 14, 27, 349), + Trans(73, 16, 27, 349), + Trans(73, 17, 27, 349), + Trans(73, 18, 27, 349), + Trans(73, 19, 27, 349), + Trans(73, 20, 27, 349), + Trans(73, 21, 27, 349), + Trans(73, 22, 27, 349), + Trans(73, 23, 27, 349), + Trans(73, 24, 27, 349), + Trans(73, 25, 27, 349), + Trans(73, 26, 27, 349), + Trans(73, 28, 27, 349), + Trans(73, 29, 27, 349), + Trans(73, 30, 27, 349), + Trans(73, 31, 27, 349), + Trans(73, 32, 27, 349), + Trans(73, 33, 27, 349), + Trans(73, 34, 27, 349), + Trans(73, 39, 27, 349), + Trans(73, 40, 27, 349), + Trans(73, 41, 27, 349), + Trans(73, 42, 27, 349), + Trans(73, 43, 27, 349), + Trans(73, 44, 27, 349), + Trans(73, 45, 27, 349), + Trans(73, 46, 27, 349), + Trans(73, 47, 27, 349), + Trans(73, 51, 27, 349), + Trans(73, 93, 27, 349), + Trans(73, 102, 27, 349), + Trans(74, 6, 27, 349), + Trans(74, 7, 27, 349), + Trans(74, 8, 27, 349), + Trans(74, 9, 27, 349), + Trans(74, 10, 27, 349), + Trans(74, 11, 27, 349), + Trans(74, 18, 27, 349), + Trans(74, 24, 27, 349), + Trans(74, 25, 27, 349), + Trans(74, 26, 27, 349), + Trans(74, 27, 27, 349), + Trans(74, 38, 27, 349), + Trans(74, 39, 27, 349), + Trans(74, 41, 27, 349), + Trans(74, 53, 27, 349), + Trans(74, 65, 27, 349), + Trans(74, 69, 27, 349), + Trans(74, 70, 27, 349), + Trans(74, 76, 27, 349), + Trans(74, 80, 27, 349), + Trans(74, 83, 27, 349), + Trans(74, 86, 27, 349), + Trans(74, 88, 27, 349), + Trans(74, 99, 27, 349), + Trans(74, 100, 27, 349), + Trans(74, 105, 27, 349), + Trans(74, 112, 27, 349), + Trans(74, 113, 27, 349), + Trans(75, 5, 27, 349), + Trans(75, 16, 27, 349), + Trans(75, 17, 27, 349), + Trans(75, 18, 27, 349), + Trans(75, 19, 27, 349), + Trans(75, 20, 27, 349), + Trans(75, 21, 27, 349), + Trans(75, 22, 27, 349), + Trans(75, 23, 27, 349), + Trans(75, 24, 27, 349), + Trans(75, 25, 27, 349), + Trans(75, 26, 27, 349), + Trans(75, 31, 27, 349), + Trans(75, 44, 27, 349), + Trans(75, 47, 27, 349), + Trans(75, 51, 27, 349), + Trans(76, 5, 27, 349), + Trans(76, 6, 27, 349), + Trans(76, 7, 27, 349), + Trans(76, 8, 27, 349), + Trans(76, 9, 27, 349), + Trans(76, 10, 27, 349), + Trans(76, 11, 27, 349), + Trans(76, 18, 27, 349), + Trans(76, 24, 27, 349), + Trans(76, 25, 27, 349), + Trans(76, 26, 27, 349), + Trans(76, 27, 27, 349), + Trans(76, 38, 27, 349), + Trans(76, 39, 27, 349), + Trans(76, 41, 27, 349), + Trans(76, 43, 27, 349), + Trans(76, 53, 27, 349), + Trans(76, 65, 27, 349), + Trans(76, 69, 27, 349), + Trans(76, 70, 27, 349), + Trans(76, 76, 27, 349), + Trans(76, 80, 27, 349), + Trans(76, 83, 27, 349), + Trans(76, 86, 27, 349), + Trans(76, 88, 27, 349), + Trans(76, 99, 27, 349), + Trans(76, 100, 27, 349), + Trans(76, 105, 27, 349), + Trans(76, 112, 27, 349), + Trans(76, 113, 27, 349), + Trans(77, 5, 27, 349), + Trans(77, 15, 27, 349), + Trans(77, 16, 27, 349), + Trans(77, 17, 27, 349), + Trans(77, 18, 27, 349), + Trans(77, 19, 27, 349), + Trans(77, 20, 27, 349), + Trans(77, 21, 27, 349), + Trans(77, 22, 27, 349), + Trans(77, 23, 27, 349), + Trans(77, 24, 27, 349), + Trans(77, 25, 27, 349), + Trans(77, 26, 27, 349), + Trans(77, 29, 27, 349), + Trans(77, 31, 27, 349), + Trans(77, 34, 27, 349), + Trans(77, 35, 27, 349), + Trans(77, 40, 27, 349), + Trans(77, 41, 27, 349), + Trans(77, 44, 27, 349), + Trans(77, 47, 27, 349), + Trans(77, 51, 27, 349), + Trans(78, 5, 27, 349), + Trans(78, 15, 27, 349), + Trans(78, 16, 27, 349), + Trans(78, 17, 27, 349), + Trans(78, 18, 27, 349), + Trans(78, 19, 27, 349), + Trans(78, 20, 27, 349), + Trans(78, 21, 27, 349), + Trans(78, 22, 27, 349), + Trans(78, 23, 27, 349), + Trans(78, 24, 27, 349), + Trans(78, 25, 27, 349), + Trans(78, 26, 27, 349), + Trans(78, 28, 27, 349), + Trans(78, 29, 27, 349), + Trans(78, 31, 27, 349), + Trans(78, 34, 27, 349), + Trans(78, 35, 27, 349), + Trans(78, 39, 27, 349), + Trans(78, 40, 27, 349), + Trans(78, 41, 27, 349), + Trans(78, 44, 27, 349), + Trans(78, 47, 27, 349), + Trans(78, 51, 27, 349), + Trans(79, 6, 27, 349), + Trans(79, 7, 27, 349), + Trans(79, 8, 27, 349), + Trans(79, 9, 27, 349), + Trans(79, 10, 27, 349), + Trans(79, 11, 27, 349), + Trans(79, 18, 27, 349), + Trans(79, 24, 27, 349), + Trans(79, 25, 27, 349), + Trans(79, 26, 27, 349), + Trans(79, 27, 27, 349), + Trans(79, 36, 27, 349), + Trans(79, 38, 27, 349), + Trans(79, 39, 27, 349), + Trans(79, 41, 27, 349), + Trans(79, 42, 27, 349), + Trans(79, 43, 27, 349), + Trans(79, 45, 27, 349), + Trans(79, 53, 27, 349), + Trans(79, 57, 27, 349), + Trans(79, 70, 27, 349), + Trans(79, 76, 27, 349), + Trans(79, 81, 27, 349), + Trans(79, 83, 27, 349), + Trans(79, 86, 27, 349), + Trans(79, 88, 27, 349), + Trans(79, 90, 27, 349), + Trans(79, 105, 27, 349), + Trans(79, 112, 27, 349), + Trans(79, 113, 27, 349), + Trans(80, 5, 27, 349), + Trans(80, 16, 27, 349), + Trans(80, 17, 27, 349), + Trans(80, 18, 27, 349), + Trans(80, 19, 27, 349), + Trans(80, 20, 27, 349), + Trans(80, 21, 27, 349), + Trans(80, 22, 27, 349), + Trans(80, 23, 27, 349), + Trans(80, 24, 27, 349), + Trans(80, 25, 27, 349), + Trans(80, 26, 27, 349), + Trans(80, 30, 27, 349), + Trans(80, 31, 27, 349), + Trans(80, 32, 27, 349), + Trans(80, 33, 27, 349), + Trans(80, 42, 27, 349), + Trans(80, 43, 27, 349), + Trans(80, 44, 27, 349), + Trans(80, 45, 27, 349), + Trans(80, 47, 27, 349), + Trans(80, 51, 27, 349), + Trans(80, 93, 27, 349), + Trans(81, 5, 27, 349), + Trans(81, 6, 27, 349), + Trans(81, 7, 27, 349), + Trans(81, 8, 27, 349), + Trans(81, 9, 27, 349), + Trans(81, 10, 27, 349), + Trans(81, 11, 27, 349), + Trans(81, 18, 27, 349), + Trans(81, 24, 27, 349), + Trans(81, 25, 27, 349), + Trans(81, 26, 27, 349), + Trans(81, 27, 27, 349), + Trans(81, 36, 27, 349), + Trans(81, 38, 27, 349), + Trans(81, 39, 27, 349), + Trans(81, 41, 27, 349), + Trans(81, 53, 27, 349), + Trans(81, 70, 27, 349), + Trans(81, 76, 27, 349), + Trans(81, 81, 27, 349), + Trans(81, 83, 27, 349), + Trans(81, 86, 27, 349), + Trans(81, 88, 27, 349), + Trans(81, 90, 27, 349), + Trans(81, 105, 27, 349), + Trans(81, 112, 27, 349), + Trans(81, 113, 27, 349), + Trans(82, 5, 27, 349), + Trans(82, 12, 27, 349), + Trans(82, 14, 27, 349), + Trans(82, 16, 27, 349), + Trans(82, 17, 27, 349), + Trans(82, 18, 27, 349), + Trans(82, 19, 27, 349), + Trans(82, 20, 27, 349), + Trans(82, 21, 27, 349), + Trans(82, 22, 27, 349), + Trans(82, 23, 27, 349), + Trans(82, 24, 27, 349), + Trans(82, 25, 27, 349), + Trans(82, 26, 27, 349), + Trans(82, 30, 27, 349), + Trans(82, 31, 27, 349), + Trans(82, 32, 27, 349), + Trans(82, 33, 27, 349), + Trans(82, 36, 27, 349), + Trans(82, 39, 27, 349), + Trans(82, 42, 27, 349), + Trans(82, 43, 27, 349), + Trans(82, 44, 27, 349), + Trans(82, 45, 27, 349), + Trans(82, 46, 27, 349), + Trans(82, 47, 27, 349), + Trans(82, 48, 27, 349), + Trans(82, 49, 27, 349), + Trans(82, 50, 27, 349), + Trans(82, 51, 27, 349), + Trans(82, 60, 27, 349), + Trans(82, 61, 27, 349), + Trans(82, 64, 27, 349), + Trans(82, 65, 27, 349), + Trans(82, 66, 27, 349), + Trans(82, 70, 27, 349), + Trans(82, 71, 27, 349), + Trans(82, 73, 27, 349), + Trans(82, 77, 27, 349), + Trans(82, 80, 27, 349), + Trans(82, 81, 27, 349), + Trans(82, 84, 27, 349), + Trans(82, 93, 27, 349), + Trans(82, 102, 27, 349), + Trans(82, 104, 27, 349), + Trans(82, 107, 27, 349), + Trans(82, 110, 27, 349), + Trans(82, 111, 27, 349), + Trans(83, 5, 27, 349), + Trans(83, 16, 27, 349), + Trans(83, 17, 27, 349), + Trans(83, 18, 27, 349), + Trans(83, 19, 27, 349), + Trans(83, 20, 27, 349), + Trans(83, 21, 27, 349), + Trans(83, 22, 27, 349), + Trans(83, 23, 27, 349), + Trans(83, 24, 27, 349), + Trans(83, 25, 27, 349), + Trans(83, 26, 27, 349), + Trans(83, 29, 27, 349), + Trans(83, 30, 27, 349), + Trans(83, 31, 27, 349), + Trans(83, 32, 27, 349), + Trans(83, 33, 27, 349), + Trans(83, 34, 27, 349), + Trans(83, 40, 27, 349), + Trans(83, 41, 27, 349), + Trans(83, 42, 27, 349), + Trans(83, 43, 27, 349), + Trans(83, 44, 27, 349), + Trans(83, 45, 27, 349), + Trans(83, 47, 27, 349), + Trans(83, 51, 27, 349), + Trans(83, 93, 27, 349), + Trans(84, 5, 27, 349), + Trans(84, 16, 27, 349), + Trans(84, 17, 27, 349), + Trans(84, 18, 27, 349), + Trans(84, 19, 27, 349), + Trans(84, 20, 27, 349), + Trans(84, 21, 27, 349), + Trans(84, 22, 27, 349), + Trans(84, 23, 27, 349), + Trans(84, 24, 27, 349), + Trans(84, 25, 27, 349), + Trans(84, 26, 27, 349), + Trans(84, 28, 27, 349), + Trans(84, 29, 27, 349), + Trans(84, 30, 27, 349), + Trans(84, 31, 27, 349), + Trans(84, 32, 27, 349), + Trans(84, 33, 27, 349), + Trans(84, 34, 27, 349), + Trans(84, 35, 27, 349), + Trans(84, 40, 27, 349), + Trans(84, 41, 27, 349), + Trans(84, 42, 27, 349), + Trans(84, 43, 27, 349), + Trans(84, 44, 27, 349), + Trans(84, 45, 27, 349), + Trans(84, 47, 27, 349), + Trans(84, 51, 27, 349), + Trans(84, 93, 27, 349), + Trans(85, 5, 27, 349), + Trans(85, 16, 27, 349), + Trans(85, 17, 27, 349), + Trans(85, 18, 27, 349), + Trans(85, 19, 27, 349), + Trans(85, 20, 27, 349), + Trans(85, 21, 27, 349), + Trans(85, 22, 27, 349), + Trans(85, 23, 27, 349), + Trans(85, 24, 27, 349), + Trans(85, 25, 27, 349), + Trans(85, 26, 27, 349), + Trans(85, 30, 27, 349), + Trans(85, 31, 27, 349), + Trans(85, 39, 27, 349), + Trans(85, 43, 27, 349), + Trans(85, 47, 27, 349), + Trans(85, 51, 27, 349), + Trans(85, 102, 27, 349), + Trans(86, 5, 27, 349), + Trans(86, 16, 27, 349), + Trans(86, 17, 27, 349), + Trans(86, 18, 27, 349), + Trans(86, 19, 27, 349), + Trans(86, 20, 27, 349), + Trans(86, 21, 27, 349), + Trans(86, 22, 27, 349), + Trans(86, 23, 27, 349), + Trans(86, 24, 27, 349), + Trans(86, 25, 27, 349), + Trans(86, 26, 27, 349), + Trans(86, 29, 27, 349), + Trans(86, 30, 27, 349), + Trans(86, 31, 27, 349), + Trans(86, 34, 27, 349), + Trans(86, 39, 27, 349), + Trans(86, 40, 27, 349), + Trans(86, 41, 27, 349), + Trans(86, 43, 27, 349), + Trans(86, 47, 27, 349), + Trans(86, 51, 27, 349), + Trans(86, 102, 27, 349), + Trans(87, 5, 27, 349), + Trans(87, 16, 27, 349), + Trans(87, 17, 27, 349), + Trans(87, 18, 27, 349), + Trans(87, 19, 27, 349), + Trans(87, 20, 27, 349), + Trans(87, 21, 27, 349), + Trans(87, 22, 27, 349), + Trans(87, 23, 27, 349), + Trans(87, 24, 27, 349), + Trans(87, 25, 27, 349), + Trans(87, 26, 27, 349), + Trans(87, 28, 27, 349), + Trans(87, 29, 27, 349), + Trans(87, 30, 27, 349), + Trans(87, 31, 27, 349), + Trans(87, 34, 27, 349), + Trans(87, 39, 27, 349), + Trans(87, 40, 27, 349), + Trans(87, 41, 27, 349), + Trans(87, 43, 27, 349), + Trans(87, 47, 27, 349), + Trans(87, 51, 27, 349), + Trans(87, 102, 27, 349), + Trans(88, 5, 27, 349), + Trans(88, 16, 27, 349), + Trans(88, 17, 27, 349), + Trans(88, 18, 27, 349), + Trans(88, 19, 27, 349), + Trans(88, 20, 27, 349), + Trans(88, 21, 27, 349), + Trans(88, 22, 27, 349), + Trans(88, 23, 27, 349), + Trans(88, 24, 27, 349), + Trans(88, 25, 27, 349), + Trans(88, 26, 27, 349), + Trans(88, 31, 27, 349), + Trans(88, 43, 27, 349), + Trans(88, 45, 27, 349), + Trans(88, 46, 27, 349), + Trans(88, 47, 27, 349), + Trans(88, 51, 27, 349), + Trans(89, 5, 27, 349), + Trans(89, 16, 27, 349), + Trans(89, 17, 27, 349), + Trans(89, 18, 27, 349), + Trans(89, 19, 27, 349), + Trans(89, 20, 27, 349), + Trans(89, 21, 27, 349), + Trans(89, 22, 27, 349), + Trans(89, 23, 27, 349), + Trans(89, 24, 27, 349), + Trans(89, 25, 27, 349), + Trans(89, 26, 27, 349), + Trans(89, 29, 27, 349), + Trans(89, 31, 27, 349), + Trans(89, 34, 27, 349), + Trans(89, 40, 27, 349), + Trans(89, 41, 27, 349), + Trans(89, 43, 27, 349), + Trans(89, 45, 27, 349), + Trans(89, 46, 27, 349), + Trans(89, 47, 27, 349), + Trans(89, 51, 27, 349), + Trans(90, 5, 27, 349), + Trans(90, 16, 27, 349), + Trans(90, 17, 27, 349), + Trans(90, 18, 27, 349), + Trans(90, 19, 27, 349), + Trans(90, 20, 27, 349), + Trans(90, 21, 27, 349), + Trans(90, 22, 27, 349), + Trans(90, 23, 27, 349), + Trans(90, 24, 27, 349), + Trans(90, 25, 27, 349), + Trans(90, 26, 27, 349), + Trans(90, 28, 27, 349), + Trans(90, 29, 27, 349), + Trans(90, 31, 27, 349), + Trans(90, 34, 27, 349), + Trans(90, 40, 27, 349), + Trans(90, 41, 27, 349), + Trans(90, 43, 27, 349), + Trans(90, 45, 27, 349), + Trans(90, 46, 27, 349), + Trans(90, 47, 27, 349), + Trans(90, 51, 27, 349), + Trans(91, 5, 27, 349), + Trans(91, 16, 27, 349), + Trans(91, 17, 27, 349), + Trans(91, 18, 27, 349), + Trans(91, 19, 27, 349), + Trans(91, 20, 27, 349), + Trans(91, 21, 27, 349), + Trans(91, 22, 27, 349), + Trans(91, 23, 27, 349), + Trans(91, 24, 27, 349), + Trans(91, 25, 27, 349), + Trans(91, 26, 27, 349), + Trans(91, 31, 27, 349), + Trans(91, 42, 27, 349), + Trans(91, 47, 27, 349), + Trans(91, 51, 27, 349), + Trans(92, 5, 27, 349), + Trans(92, 16, 27, 349), + Trans(92, 17, 27, 349), + Trans(92, 18, 27, 349), + Trans(92, 19, 27, 349), + Trans(92, 20, 27, 349), + Trans(92, 21, 27, 349), + Trans(92, 22, 27, 349), + Trans(92, 23, 27, 349), + Trans(92, 24, 27, 349), + Trans(92, 25, 27, 349), + Trans(92, 26, 27, 349), + Trans(92, 29, 27, 349), + Trans(92, 31, 27, 349), + Trans(92, 34, 27, 349), + Trans(92, 40, 27, 349), + Trans(92, 41, 27, 349), + Trans(92, 42, 27, 349), + Trans(92, 47, 27, 349), + Trans(92, 51, 27, 349), + Trans(93, 5, 27, 349), + Trans(93, 16, 27, 349), + Trans(93, 17, 27, 349), + Trans(93, 18, 27, 349), + Trans(93, 19, 27, 349), + Trans(93, 20, 27, 349), + Trans(93, 21, 27, 349), + Trans(93, 22, 27, 349), + Trans(93, 23, 27, 349), + Trans(93, 24, 27, 349), + Trans(93, 25, 27, 349), + Trans(93, 26, 27, 349), + Trans(93, 28, 27, 349), + Trans(93, 29, 27, 349), + Trans(93, 31, 27, 349), + Trans(93, 34, 27, 349), + Trans(93, 40, 27, 349), + Trans(93, 41, 27, 349), + Trans(93, 42, 27, 349), + Trans(93, 47, 27, 349), + Trans(93, 51, 27, 349), + Trans(94, 6, 27, 349), + Trans(94, 7, 27, 349), + Trans(94, 8, 27, 349), + Trans(94, 9, 27, 349), + Trans(94, 10, 27, 349), + Trans(94, 11, 27, 349), + Trans(94, 18, 27, 349), + Trans(94, 24, 27, 349), + Trans(94, 25, 27, 349), + Trans(94, 26, 27, 349), + Trans(94, 27, 27, 349), + Trans(94, 30, 27, 349), + Trans(94, 36, 27, 349), + Trans(94, 38, 27, 349), + Trans(94, 39, 27, 349), + Trans(94, 41, 27, 349), + Trans(94, 43, 27, 349), + Trans(94, 48, 27, 349), + Trans(94, 49, 27, 349), + Trans(94, 50, 27, 349), + Trans(94, 53, 27, 349), + Trans(94, 57, 27, 349), + Trans(94, 60, 27, 349), + Trans(94, 64, 27, 349), + Trans(94, 65, 27, 349), + Trans(94, 66, 27, 349), + Trans(94, 69, 27, 349), + Trans(94, 70, 27, 349), + Trans(94, 71, 27, 349), + Trans(94, 73, 27, 349), + Trans(94, 76, 27, 349), + Trans(94, 77, 27, 349), + Trans(94, 80, 27, 349), + Trans(94, 81, 27, 349), + Trans(94, 83, 27, 349), + Trans(94, 84, 27, 349), + Trans(94, 86, 27, 349), + Trans(94, 88, 27, 349), + Trans(94, 99, 27, 349), + Trans(94, 100, 27, 349), + Trans(94, 104, 27, 349), + Trans(94, 105, 27, 349), + Trans(94, 107, 27, 349), + Trans(94, 110, 27, 349), + Trans(94, 111, 27, 349), + Trans(94, 112, 27, 349), + Trans(94, 113, 27, 349), + Trans(95, 5, 27, 349), + Trans(95, 16, 27, 349), + Trans(95, 17, 27, 349), + Trans(95, 18, 27, 349), + Trans(95, 19, 27, 349), + Trans(95, 20, 27, 349), + Trans(95, 21, 27, 349), + Trans(95, 22, 27, 349), + Trans(95, 23, 27, 349), + Trans(95, 24, 27, 349), + Trans(95, 25, 27, 349), + Trans(95, 26, 27, 349), + Trans(95, 30, 27, 349), + Trans(95, 31, 27, 349), + Trans(95, 32, 27, 349), + Trans(95, 33, 27, 349), + Trans(95, 43, 27, 349), + Trans(95, 47, 27, 349), + Trans(95, 51, 27, 349), + Trans(96, 5, 27, 349), + Trans(96, 6, 27, 349), + Trans(96, 7, 27, 349), + Trans(96, 8, 27, 349), + Trans(96, 9, 27, 349), + Trans(96, 10, 27, 349), + Trans(96, 11, 27, 349), + Trans(96, 18, 27, 349), + Trans(96, 24, 27, 349), + Trans(96, 25, 27, 349), + Trans(96, 26, 27, 349), + Trans(96, 27, 27, 349), + Trans(96, 30, 27, 349), + Trans(96, 36, 27, 349), + Trans(96, 38, 27, 349), + Trans(96, 39, 27, 349), + Trans(96, 41, 27, 349), + Trans(96, 43, 27, 349), + Trans(96, 48, 27, 349), + Trans(96, 49, 27, 349), + Trans(96, 50, 27, 349), + Trans(96, 53, 27, 349), + Trans(96, 60, 27, 349), + Trans(96, 64, 27, 349), + Trans(96, 65, 27, 349), + Trans(96, 66, 27, 349), + Trans(96, 70, 27, 349), + Trans(96, 71, 27, 349), + Trans(96, 73, 27, 349), + Trans(96, 76, 27, 349), + Trans(96, 77, 27, 349), + Trans(96, 80, 27, 349), + Trans(96, 81, 27, 349), + Trans(96, 83, 27, 349), + Trans(96, 84, 27, 349), + Trans(96, 86, 27, 349), + Trans(96, 88, 27, 349), + Trans(96, 104, 27, 349), + Trans(96, 105, 27, 349), + Trans(96, 107, 27, 349), + Trans(96, 110, 27, 349), + Trans(96, 111, 27, 349), + Trans(96, 112, 27, 349), + Trans(96, 113, 27, 349), + Trans(97, 5, 27, 349), + Trans(97, 6, 27, 349), + Trans(97, 7, 27, 349), + Trans(97, 8, 27, 349), + Trans(97, 9, 27, 349), + Trans(97, 10, 27, 349), + Trans(97, 11, 27, 349), + Trans(97, 18, 27, 349), + Trans(97, 24, 27, 349), + Trans(97, 25, 27, 349), + Trans(97, 26, 27, 349), + Trans(97, 27, 27, 349), + Trans(97, 30, 27, 349), + Trans(97, 36, 27, 349), + Trans(97, 38, 27, 349), + Trans(97, 39, 27, 349), + Trans(97, 41, 27, 349), + Trans(97, 43, 27, 349), + Trans(97, 48, 27, 349), + Trans(97, 49, 27, 349), + Trans(97, 50, 27, 349), + Trans(97, 53, 27, 349), + Trans(97, 57, 27, 349), + Trans(97, 58, 27, 349), + Trans(97, 60, 27, 349), + Trans(97, 61, 27, 349), + Trans(97, 64, 27, 349), + Trans(97, 65, 27, 349), + Trans(97, 66, 27, 349), + Trans(97, 69, 27, 349), + Trans(97, 70, 27, 349), + Trans(97, 71, 27, 349), + Trans(97, 73, 27, 349), + Trans(97, 76, 27, 349), + Trans(97, 77, 27, 349), + Trans(97, 80, 27, 349), + Trans(97, 81, 27, 349), + Trans(97, 83, 27, 349), + Trans(97, 84, 27, 349), + Trans(97, 86, 27, 349), + Trans(97, 88, 27, 349), + Trans(97, 99, 27, 349), + Trans(97, 100, 27, 349), + Trans(97, 104, 27, 349), + Trans(97, 105, 27, 349), + Trans(97, 107, 27, 349), + Trans(97, 110, 27, 349), + Trans(97, 111, 27, 349), + Trans(97, 112, 27, 349), + Trans(97, 113, 27, 349), + Trans(98, 5, 27, 349), + Trans(98, 15, 27, 349), + Trans(98, 16, 27, 349), + Trans(98, 17, 27, 349), + Trans(98, 18, 27, 349), + Trans(98, 19, 27, 349), + Trans(98, 20, 27, 349), + Trans(98, 21, 27, 349), + Trans(98, 22, 27, 349), + Trans(98, 23, 27, 349), + Trans(98, 24, 27, 349), + Trans(98, 25, 27, 349), + Trans(98, 26, 27, 349), + Trans(98, 29, 27, 349), + Trans(98, 30, 27, 349), + Trans(98, 31, 27, 349), + Trans(98, 32, 27, 349), + Trans(98, 33, 27, 349), + Trans(98, 34, 27, 349), + Trans(98, 35, 27, 349), + Trans(98, 40, 27, 349), + Trans(98, 41, 27, 349), + Trans(98, 43, 27, 349), + Trans(98, 47, 27, 349), + Trans(98, 51, 27, 349), + Trans(99, 5, 27, 349), + Trans(99, 15, 27, 349), + Trans(99, 16, 27, 349), + Trans(99, 17, 27, 349), + Trans(99, 18, 27, 349), + Trans(99, 19, 27, 349), + Trans(99, 20, 27, 349), + Trans(99, 21, 27, 349), + Trans(99, 22, 27, 349), + Trans(99, 23, 27, 349), + Trans(99, 24, 27, 349), + Trans(99, 25, 27, 349), + Trans(99, 26, 27, 349), + Trans(99, 28, 27, 349), + Trans(99, 29, 27, 349), + Trans(99, 30, 27, 349), + Trans(99, 31, 27, 349), + Trans(99, 32, 27, 349), + Trans(99, 33, 27, 349), + Trans(99, 34, 27, 349), + Trans(99, 35, 27, 349), + Trans(99, 40, 27, 349), + Trans(99, 41, 27, 349), + Trans(99, 43, 27, 349), + Trans(99, 47, 27, 349), + Trans(99, 51, 27, 349), + Trans(100, 5, 27, 349), + Trans(100, 12, 27, 349), + Trans(100, 14, 27, 349), + Trans(100, 16, 27, 349), + Trans(100, 17, 27, 349), + Trans(100, 18, 27, 349), + Trans(100, 19, 27, 349), + Trans(100, 20, 27, 349), + Trans(100, 21, 27, 349), + Trans(100, 22, 27, 349), + Trans(100, 23, 27, 349), + Trans(100, 24, 27, 349), + Trans(100, 25, 27, 349), + Trans(100, 26, 27, 349), + Trans(100, 30, 27, 349), + Trans(100, 31, 27, 349), + Trans(100, 44, 27, 349), + Trans(100, 47, 27, 349), + Trans(100, 51, 27, 349), + Trans(100, 102, 27, 349), + Trans(101, 5, 27, 349), + Trans(101, 12, 27, 349), + Trans(101, 14, 27, 349), + Trans(101, 16, 27, 349), + Trans(101, 17, 27, 349), + Trans(101, 18, 27, 349), + Trans(101, 19, 27, 349), + Trans(101, 20, 27, 349), + Trans(101, 21, 27, 349), + Trans(101, 22, 27, 349), + Trans(101, 23, 27, 349), + Trans(101, 24, 27, 349), + Trans(101, 25, 27, 349), + Trans(101, 26, 27, 349), + Trans(101, 29, 27, 349), + Trans(101, 30, 27, 349), + Trans(101, 31, 27, 349), + Trans(101, 34, 27, 349), + Trans(101, 40, 27, 349), + Trans(101, 41, 27, 349), + Trans(101, 44, 27, 349), + Trans(101, 47, 27, 349), + Trans(101, 51, 27, 349), + Trans(101, 102, 27, 349), + Trans(102, 5, 27, 349), + Trans(102, 12, 27, 349), + Trans(102, 14, 27, 349), + Trans(102, 16, 27, 349), + Trans(102, 17, 27, 349), + Trans(102, 18, 27, 349), + Trans(102, 19, 27, 349), + Trans(102, 20, 27, 349), + Trans(102, 21, 27, 349), + Trans(102, 22, 27, 349), + Trans(102, 23, 27, 349), + Trans(102, 24, 27, 349), + Trans(102, 25, 27, 349), + Trans(102, 26, 27, 349), + Trans(102, 28, 27, 349), + Trans(102, 29, 27, 349), + Trans(102, 30, 27, 349), + Trans(102, 31, 27, 349), + Trans(102, 34, 27, 349), + Trans(102, 40, 27, 349), + Trans(102, 41, 27, 349), + Trans(102, 44, 27, 349), + Trans(102, 47, 27, 349), + Trans(102, 51, 27, 349), + Trans(102, 102, 27, 349), + Trans(103, 6, 27, 349), + Trans(103, 7, 27, 349), + Trans(103, 8, 27, 349), + Trans(103, 9, 27, 349), + Trans(103, 10, 27, 349), + Trans(103, 11, 27, 349), + Trans(103, 18, 27, 349), + Trans(103, 24, 27, 349), + Trans(103, 25, 27, 349), + Trans(103, 26, 27, 349), + Trans(103, 27, 27, 349), + Trans(103, 36, 27, 349), + Trans(103, 38, 27, 349), + Trans(103, 39, 27, 349), + Trans(103, 41, 27, 349), + Trans(103, 45, 27, 349), + Trans(103, 53, 27, 349), + Trans(103, 70, 27, 349), + Trans(103, 76, 27, 349), + Trans(103, 83, 27, 349), + Trans(103, 86, 27, 349), + Trans(103, 88, 27, 349), + Trans(103, 105, 27, 349), + Trans(103, 112, 27, 349), + Trans(103, 113, 27, 349), + Trans(104, 5, 27, 349), + Trans(104, 16, 27, 349), + Trans(104, 17, 27, 349), + Trans(104, 18, 27, 349), + Trans(104, 19, 27, 349), + Trans(104, 20, 27, 349), + Trans(104, 21, 27, 349), + Trans(104, 22, 27, 349), + Trans(104, 23, 27, 349), + Trans(104, 24, 27, 349), + Trans(104, 25, 27, 349), + Trans(104, 26, 27, 349), + Trans(104, 31, 27, 349), + Trans(104, 45, 27, 349), + Trans(104, 47, 27, 349), + Trans(104, 51, 27, 349), + Trans(105, 5, 27, 349), + Trans(105, 6, 27, 349), + Trans(105, 7, 27, 349), + Trans(105, 8, 27, 349), + Trans(105, 9, 27, 349), + Trans(105, 10, 27, 349), + Trans(105, 11, 27, 349), + Trans(105, 18, 27, 349), + Trans(105, 24, 27, 349), + Trans(105, 25, 27, 349), + Trans(105, 26, 27, 349), + Trans(105, 27, 27, 349), + Trans(105, 36, 27, 349), + Trans(105, 38, 27, 349), + Trans(105, 39, 27, 349), + Trans(105, 41, 27, 349), + Trans(105, 53, 27, 349), + Trans(105, 70, 27, 349), + Trans(105, 76, 27, 349), + Trans(105, 83, 27, 349), + Trans(105, 86, 27, 349), + Trans(105, 88, 27, 349), + Trans(105, 105, 27, 349), + Trans(105, 112, 27, 349), + Trans(105, 113, 27, 349), + Trans(106, 5, 27, 349), + Trans(106, 16, 27, 349), + Trans(106, 17, 27, 349), + Trans(106, 18, 27, 349), + Trans(106, 19, 27, 349), + Trans(106, 20, 27, 349), + Trans(106, 21, 27, 349), + Trans(106, 22, 27, 349), + Trans(106, 23, 27, 349), + Trans(106, 24, 27, 349), + Trans(106, 25, 27, 349), + Trans(106, 26, 27, 349), + Trans(106, 29, 27, 349), + Trans(106, 31, 27, 349), + Trans(106, 34, 27, 349), + Trans(106, 40, 27, 349), + Trans(106, 41, 27, 349), + Trans(106, 45, 27, 349), + Trans(106, 47, 27, 349), + Trans(106, 51, 27, 349), + Trans(107, 5, 27, 349), + Trans(107, 16, 27, 349), + Trans(107, 17, 27, 349), + Trans(107, 18, 27, 349), + Trans(107, 19, 27, 349), + Trans(107, 20, 27, 349), + Trans(107, 21, 27, 349), + Trans(107, 22, 27, 349), + Trans(107, 23, 27, 349), + Trans(107, 24, 27, 349), + Trans(107, 25, 27, 349), + Trans(107, 26, 27, 349), + Trans(107, 28, 27, 349), + Trans(107, 29, 27, 349), + Trans(107, 30, 27, 349), + Trans(107, 31, 27, 349), + Trans(107, 34, 27, 349), + Trans(107, 40, 27, 349), + Trans(107, 41, 27, 349), + Trans(107, 45, 27, 349), + Trans(107, 47, 27, 349), + Trans(107, 51, 27, 349), + Trans(108, 5, 27, 349), + Trans(108, 16, 27, 349), + Trans(108, 17, 27, 349), + Trans(108, 18, 27, 349), + Trans(108, 19, 27, 349), + Trans(108, 20, 27, 349), + Trans(108, 21, 27, 349), + Trans(108, 22, 27, 349), + Trans(108, 23, 27, 349), + Trans(108, 24, 27, 349), + Trans(108, 25, 27, 349), + Trans(108, 26, 27, 349), + Trans(108, 32, 27, 349), + Trans(108, 33, 27, 349), + Trans(108, 39, 27, 349), + Trans(108, 47, 27, 349), + Trans(108, 51, 27, 349), + Trans(108, 102, 27, 349), + Trans(109, 5, 27, 349), + Trans(109, 16, 27, 349), + Trans(109, 17, 27, 349), + Trans(109, 18, 27, 349), + Trans(109, 19, 27, 349), + Trans(109, 20, 27, 349), + Trans(109, 21, 27, 349), + Trans(109, 22, 27, 349), + Trans(109, 23, 27, 349), + Trans(109, 24, 27, 349), + Trans(109, 25, 27, 349), + Trans(109, 26, 27, 349), + Trans(109, 29, 27, 349), + Trans(109, 32, 27, 349), + Trans(109, 33, 27, 349), + Trans(109, 34, 27, 349), + Trans(109, 39, 27, 349), + Trans(109, 40, 27, 349), + Trans(109, 41, 27, 349), + Trans(109, 47, 27, 349), + Trans(109, 51, 27, 349), + Trans(109, 102, 27, 349), + Trans(110, 5, 27, 349), + Trans(110, 16, 27, 349), + Trans(110, 17, 27, 349), + Trans(110, 18, 27, 349), + Trans(110, 19, 27, 349), + Trans(110, 20, 27, 349), + Trans(110, 21, 27, 349), + Trans(110, 22, 27, 349), + Trans(110, 23, 27, 349), + Trans(110, 24, 27, 349), + Trans(110, 25, 27, 349), + Trans(110, 26, 27, 349), + Trans(110, 28, 27, 349), + Trans(110, 29, 27, 349), + Trans(110, 32, 27, 349), + Trans(110, 33, 27, 349), + Trans(110, 34, 27, 349), + Trans(110, 39, 27, 349), + Trans(110, 40, 27, 349), + Trans(110, 41, 27, 349), + Trans(110, 47, 27, 349), + Trans(110, 51, 27, 349), + Trans(110, 102, 27, 349), + Trans(111, 5, 27, 349), + Trans(111, 16, 27, 349), + Trans(111, 17, 27, 349), + Trans(111, 18, 27, 349), + Trans(111, 19, 27, 349), + Trans(111, 20, 27, 349), + Trans(111, 21, 27, 349), + Trans(111, 22, 27, 349), + Trans(111, 23, 27, 349), + Trans(111, 24, 27, 349), + Trans(111, 25, 27, 349), + Trans(111, 26, 27, 349), + Trans(111, 31, 27, 349), + Trans(111, 43, 27, 349), + Trans(111, 47, 27, 349), + Trans(111, 51, 27, 349), + Trans(112, 5, 27, 349), + Trans(112, 16, 27, 349), + Trans(112, 17, 27, 349), + Trans(112, 18, 27, 349), + Trans(112, 19, 27, 349), + Trans(112, 20, 27, 349), + Trans(112, 21, 27, 349), + Trans(112, 22, 27, 349), + Trans(112, 23, 27, 349), + Trans(112, 24, 27, 349), + Trans(112, 25, 27, 349), + Trans(112, 26, 27, 349), + Trans(112, 29, 27, 349), + Trans(112, 31, 27, 349), + Trans(112, 34, 27, 349), + Trans(112, 40, 27, 349), + Trans(112, 41, 27, 349), + Trans(112, 43, 27, 349), + Trans(112, 47, 27, 349), + Trans(112, 51, 27, 349), + Trans(113, 5, 27, 349), + Trans(113, 16, 27, 349), + Trans(113, 17, 27, 349), + Trans(113, 18, 27, 349), + Trans(113, 19, 27, 349), + Trans(113, 20, 27, 349), + Trans(113, 21, 27, 349), + Trans(113, 22, 27, 349), + Trans(113, 23, 27, 349), + Trans(113, 24, 27, 349), + Trans(113, 25, 27, 349), + Trans(113, 26, 27, 349), + Trans(113, 28, 27, 349), + Trans(113, 29, 27, 349), + Trans(113, 31, 27, 349), + Trans(113, 34, 27, 349), + Trans(113, 40, 27, 349), + Trans(113, 41, 27, 349), + Trans(113, 43, 27, 349), + Trans(113, 47, 27, 349), + Trans(113, 51, 27, 349), + Trans(114, 6, 27, 349), + Trans(114, 7, 27, 349), + Trans(114, 8, 27, 349), + Trans(114, 9, 27, 349), + Trans(114, 10, 27, 349), + Trans(114, 11, 27, 349), + Trans(114, 15, 27, 349), + Trans(114, 18, 27, 349), + Trans(114, 24, 27, 349), + Trans(114, 25, 27, 349), + Trans(114, 26, 27, 349), + Trans(114, 27, 27, 349), + Trans(114, 38, 27, 349), + Trans(114, 39, 27, 349), + Trans(114, 41, 27, 349), + Trans(114, 53, 27, 349), + Trans(114, 70, 27, 349), + Trans(114, 76, 27, 349), + Trans(114, 83, 27, 349), + Trans(114, 86, 27, 349), + Trans(114, 88, 27, 349), + Trans(114, 105, 27, 349), + Trans(114, 112, 27, 349), + Trans(114, 113, 27, 349), + Trans(115, 12, 27, 349), + Trans(115, 14, 27, 349), + Trans(115, 15, 27, 349), + Trans(115, 16, 27, 349), + Trans(115, 17, 27, 349), + Trans(115, 18, 27, 349), + Trans(115, 19, 27, 349), + Trans(115, 20, 27, 349), + Trans(115, 21, 27, 349), + Trans(115, 22, 27, 349), + Trans(115, 23, 27, 349), + Trans(115, 24, 27, 349), + Trans(115, 25, 27, 349), + Trans(115, 26, 27, 349), + Trans(115, 30, 27, 349), + Trans(115, 31, 27, 349), + Trans(115, 32, 27, 349), + Trans(115, 33, 27, 349), + Trans(115, 34, 27, 349), + Trans(115, 35, 27, 349), + Trans(115, 36, 27, 349), + Trans(115, 39, 27, 349), + Trans(115, 40, 27, 349), + Trans(115, 41, 27, 349), + Trans(115, 42, 27, 349), + Trans(115, 43, 27, 349), + Trans(115, 44, 27, 349), + Trans(115, 45, 27, 349), + Trans(115, 46, 27, 349), + Trans(115, 47, 27, 349), + Trans(115, 51, 27, 349), + Trans(115, 93, 27, 349), + Trans(115, 102, 27, 349), + Trans(116, 5, 27, 349), + Trans(116, 6, 27, 349), + Trans(116, 7, 27, 349), + Trans(116, 8, 27, 349), + Trans(116, 9, 27, 349), + Trans(116, 10, 27, 349), + Trans(116, 11, 27, 349), + Trans(116, 18, 27, 349), + Trans(116, 24, 27, 349), + Trans(116, 25, 27, 349), + Trans(116, 26, 27, 349), + Trans(116, 27, 27, 349), + Trans(116, 30, 27, 349), + Trans(116, 36, 27, 349), + Trans(116, 38, 27, 349), + Trans(116, 39, 27, 349), + Trans(116, 41, 27, 349), + Trans(116, 43, 27, 349), + Trans(116, 48, 27, 349), + Trans(116, 49, 27, 349), + Trans(116, 50, 27, 349), + Trans(116, 53, 27, 349), + Trans(116, 57, 27, 349), + Trans(116, 60, 27, 349), + Trans(116, 64, 27, 349), + Trans(116, 65, 27, 349), + Trans(116, 66, 27, 349), + Trans(116, 69, 27, 349), + Trans(116, 70, 27, 349), + Trans(116, 71, 27, 349), + Trans(116, 73, 27, 349), + Trans(116, 76, 27, 349), + Trans(116, 77, 27, 349), + Trans(116, 80, 27, 349), + Trans(116, 81, 27, 349), + Trans(116, 83, 27, 349), + Trans(116, 84, 27, 349), + Trans(116, 86, 27, 349), + Trans(116, 88, 27, 349), + Trans(116, 99, 27, 349), + Trans(116, 100, 27, 349), + Trans(116, 104, 27, 349), + Trans(116, 105, 27, 349), + Trans(116, 107, 27, 349), + Trans(116, 110, 27, 349), + Trans(116, 111, 27, 349), + Trans(116, 112, 27, 349), + Trans(116, 113, 27, 349), + Trans(117, 12, 27, 349), + Trans(117, 13, 27, 349), + Trans(117, 14, 27, 349), + Trans(117, 16, 27, 349), + Trans(117, 17, 27, 349), + Trans(117, 18, 27, 349), + Trans(117, 19, 27, 349), + Trans(117, 20, 27, 349), + Trans(117, 21, 27, 349), + Trans(117, 22, 27, 349), + Trans(117, 23, 27, 349), + Trans(117, 24, 27, 349), + Trans(117, 25, 27, 349), + Trans(117, 26, 27, 349), + Trans(117, 30, 27, 349), + Trans(117, 31, 27, 349), + Trans(117, 32, 27, 349), + Trans(117, 33, 27, 349), + Trans(117, 39, 27, 349), + Trans(117, 41, 27, 349), + Trans(117, 42, 27, 349), + Trans(117, 43, 27, 349), + Trans(117, 44, 27, 349), + Trans(117, 45, 27, 349), + Trans(117, 46, 27, 349), + Trans(117, 47, 27, 349), + Trans(117, 51, 27, 349), + Trans(117, 93, 27, 349), + Trans(117, 102, 27, 349), + Trans(118, 5, 27, 349), + Trans(118, 36, 27, 349), + Trans(118, 39, 27, 349), + Trans(118, 45, 27, 349), + Trans(118, 113, 27, 349), + Trans(119, 5, 27, 349), + Trans(119, 12, 27, 349), + Trans(119, 14, 27, 349), + Trans(119, 16, 27, 349), + Trans(119, 17, 27, 349), + Trans(119, 18, 27, 349), + Trans(119, 19, 27, 349), + Trans(119, 20, 27, 349), + Trans(119, 21, 27, 349), + Trans(119, 22, 27, 349), + Trans(119, 23, 27, 349), + Trans(119, 24, 27, 349), + Trans(119, 25, 27, 349), + Trans(119, 26, 27, 349), + Trans(119, 30, 27, 349), + Trans(119, 31, 27, 349), + Trans(119, 32, 27, 349), + Trans(119, 33, 27, 349), + Trans(119, 39, 27, 349), + Trans(119, 41, 27, 349), + Trans(119, 42, 27, 349), + Trans(119, 43, 27, 349), + Trans(119, 44, 27, 349), + Trans(119, 45, 27, 349), + Trans(119, 46, 27, 349), + Trans(119, 47, 27, 349), + Trans(119, 51, 27, 349), + Trans(119, 93, 27, 349), + Trans(119, 102, 27, 349), + Trans(120, 41, 27, 349), + Trans(121, 112, 27, 349), + Trans(121, 113, 27, 349), + Trans(122, 5, 27, 349), + Trans(122, 12, 27, 349), + Trans(122, 14, 27, 349), + Trans(122, 16, 27, 349), + Trans(122, 17, 27, 349), + Trans(122, 18, 27, 349), + Trans(122, 19, 27, 349), + Trans(122, 20, 27, 349), + Trans(122, 21, 27, 349), + Trans(122, 22, 27, 349), + Trans(122, 23, 27, 349), + Trans(122, 24, 27, 349), + Trans(122, 25, 27, 349), + Trans(122, 26, 27, 349), + Trans(122, 29, 27, 349), + Trans(122, 30, 27, 349), + Trans(122, 31, 27, 349), + Trans(122, 32, 27, 349), + Trans(122, 33, 27, 349), + Trans(122, 39, 27, 349), + Trans(122, 42, 27, 349), + Trans(122, 43, 27, 349), + Trans(122, 44, 27, 349), + Trans(122, 45, 27, 349), + Trans(122, 46, 27, 349), + Trans(122, 47, 27, 349), + Trans(122, 51, 27, 349), + Trans(122, 93, 27, 349), + Trans(122, 102, 27, 349), + Trans(123, 5, 27, 349), + Trans(123, 12, 27, 349), + Trans(123, 14, 27, 349), + Trans(123, 16, 27, 349), + Trans(123, 17, 27, 349), + Trans(123, 18, 27, 349), + Trans(123, 19, 27, 349), + Trans(123, 20, 27, 349), + Trans(123, 21, 27, 349), + Trans(123, 22, 27, 349), + Trans(123, 23, 27, 349), + Trans(123, 24, 27, 349), + Trans(123, 25, 27, 349), + Trans(123, 26, 27, 349), + Trans(123, 28, 27, 349), + Trans(123, 29, 27, 349), + Trans(123, 30, 27, 349), + Trans(123, 31, 27, 349), + Trans(123, 32, 27, 349), + Trans(123, 33, 27, 349), + Trans(123, 39, 27, 349), + Trans(123, 42, 27, 349), + Trans(123, 43, 27, 349), + Trans(123, 44, 27, 349), + Trans(123, 45, 27, 349), + Trans(123, 46, 27, 349), + Trans(123, 47, 27, 349), + Trans(123, 51, 27, 349), + Trans(123, 93, 27, 349), + Trans(123, 102, 27, 349), + Trans(124, 113, 27, 349), + Trans(125, 5, 27, 349), + Trans(125, 12, 27, 349), + Trans(125, 14, 27, 349), + Trans(125, 15, 27, 349), + Trans(125, 16, 27, 349), + Trans(125, 17, 27, 349), + Trans(125, 18, 27, 349), + Trans(125, 19, 27, 349), + Trans(125, 20, 27, 349), + Trans(125, 21, 27, 349), + Trans(125, 22, 27, 349), + Trans(125, 23, 27, 349), + Trans(125, 24, 27, 349), + Trans(125, 25, 27, 349), + Trans(125, 26, 27, 349), + Trans(125, 30, 27, 349), + Trans(125, 31, 27, 349), + Trans(125, 32, 27, 349), + Trans(125, 33, 27, 349), + Trans(125, 34, 27, 349), + Trans(125, 35, 27, 349), + Trans(125, 39, 27, 349), + Trans(125, 40, 27, 349), + Trans(125, 41, 27, 349), + Trans(125, 42, 27, 349), + Trans(125, 43, 27, 349), + Trans(125, 44, 27, 349), + Trans(125, 45, 27, 349), + Trans(125, 46, 27, 349), + Trans(125, 47, 27, 349), + Trans(125, 51, 27, 349), + Trans(125, 93, 27, 349), + Trans(125, 102, 27, 349), ], k: 3, }, - /* 546 - "ScopedIdentifierOpt" */ + /* 545 - "ScopedIdentifierOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 350), - Trans(0, 14, 2, 350), - Trans(0, 15, 2, 350), - Trans(0, 16, 2, 350), - Trans(0, 17, 2, 350), - Trans(0, 18, 2, 350), - Trans(0, 19, 2, 350), - Trans(0, 20, 2, 350), - Trans(0, 21, 2, 350), - Trans(0, 22, 2, 350), - Trans(0, 23, 2, 350), - Trans(0, 24, 2, 350), - Trans(0, 25, 2, 350), - Trans(0, 26, 2, 350), - Trans(0, 28, 1, 349), - Trans(0, 29, 2, 350), - Trans(0, 30, 2, 350), - Trans(0, 31, 2, 350), - Trans(0, 32, 2, 350), - Trans(0, 33, 2, 350), - Trans(0, 34, 2, 350), - Trans(0, 35, 2, 350), - Trans(0, 36, 2, 350), - Trans(0, 37, 2, 350), - Trans(0, 39, 2, 350), - Trans(0, 40, 2, 350), - Trans(0, 41, 2, 350), - Trans(0, 42, 2, 350), - Trans(0, 43, 2, 350), - Trans(0, 44, 2, 350), - Trans(0, 45, 2, 350), - Trans(0, 46, 2, 350), - Trans(0, 47, 2, 350), - Trans(0, 51, 2, 350), - Trans(0, 79, 2, 350), - Trans(0, 93, 2, 350), - Trans(0, 102, 2, 350), + Trans(0, 12, 2, 353), + Trans(0, 14, 2, 353), + Trans(0, 15, 2, 353), + Trans(0, 16, 2, 353), + Trans(0, 17, 2, 353), + Trans(0, 18, 2, 353), + Trans(0, 19, 2, 353), + Trans(0, 20, 2, 353), + Trans(0, 21, 2, 353), + Trans(0, 22, 2, 353), + Trans(0, 23, 2, 353), + Trans(0, 24, 2, 353), + Trans(0, 25, 2, 353), + Trans(0, 26, 2, 353), + Trans(0, 28, 1, 352), + Trans(0, 29, 2, 353), + Trans(0, 30, 2, 353), + Trans(0, 31, 2, 353), + Trans(0, 32, 2, 353), + Trans(0, 33, 2, 353), + Trans(0, 34, 2, 353), + Trans(0, 35, 2, 353), + Trans(0, 36, 2, 353), + Trans(0, 37, 2, 353), + Trans(0, 39, 2, 353), + Trans(0, 40, 2, 353), + Trans(0, 41, 2, 353), + Trans(0, 42, 2, 353), + Trans(0, 43, 2, 353), + Trans(0, 44, 2, 353), + Trans(0, 45, 2, 353), + Trans(0, 46, 2, 353), + Trans(0, 47, 2, 353), + Trans(0, 51, 2, 353), + Trans(0, 79, 2, 353), + Trans(0, 93, 2, 353), + Trans(0, 102, 2, 353), ], k: 1, }, - /* 547 - "ScopedIdentifierOpt0" */ + /* 546 - "ScopedIdentifierOpt0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 2, 348), - Trans(0, 14, 2, 348), - Trans(0, 15, 2, 348), - Trans(0, 16, 2, 348), - Trans(0, 17, 2, 348), - Trans(0, 18, 2, 348), - Trans(0, 19, 2, 348), - Trans(0, 20, 2, 348), - Trans(0, 21, 2, 348), - Trans(0, 22, 2, 348), - Trans(0, 23, 2, 348), - Trans(0, 24, 2, 348), - Trans(0, 25, 2, 348), - Trans(0, 26, 2, 348), - Trans(0, 28, 1, 347), - Trans(0, 29, 2, 348), - Trans(0, 30, 2, 348), - Trans(0, 31, 2, 348), - Trans(0, 32, 2, 348), - Trans(0, 33, 2, 348), - Trans(0, 34, 2, 348), - Trans(0, 35, 2, 348), - Trans(0, 36, 2, 348), - Trans(0, 37, 2, 348), - Trans(0, 39, 2, 348), - Trans(0, 40, 2, 348), - Trans(0, 41, 2, 348), - Trans(0, 42, 2, 348), - Trans(0, 43, 2, 348), - Trans(0, 44, 2, 348), - Trans(0, 45, 2, 348), - Trans(0, 46, 2, 348), - Trans(0, 47, 2, 348), - Trans(0, 51, 2, 348), - Trans(0, 79, 2, 348), - Trans(0, 93, 2, 348), - Trans(0, 102, 2, 348), + Trans(0, 12, 2, 351), + Trans(0, 14, 2, 351), + Trans(0, 15, 2, 351), + Trans(0, 16, 2, 351), + Trans(0, 17, 2, 351), + Trans(0, 18, 2, 351), + Trans(0, 19, 2, 351), + Trans(0, 20, 2, 351), + Trans(0, 21, 2, 351), + Trans(0, 22, 2, 351), + Trans(0, 23, 2, 351), + Trans(0, 24, 2, 351), + Trans(0, 25, 2, 351), + Trans(0, 26, 2, 351), + Trans(0, 28, 1, 350), + Trans(0, 29, 2, 351), + Trans(0, 30, 2, 351), + Trans(0, 31, 2, 351), + Trans(0, 32, 2, 351), + Trans(0, 33, 2, 351), + Trans(0, 34, 2, 351), + Trans(0, 35, 2, 351), + Trans(0, 36, 2, 351), + Trans(0, 37, 2, 351), + Trans(0, 39, 2, 351), + Trans(0, 40, 2, 351), + Trans(0, 41, 2, 351), + Trans(0, 42, 2, 351), + Trans(0, 43, 2, 351), + Trans(0, 44, 2, 351), + Trans(0, 45, 2, 351), + Trans(0, 46, 2, 351), + Trans(0, 47, 2, 351), + Trans(0, 51, 2, 351), + Trans(0, 79, 2, 351), + Trans(0, 93, 2, 351), + Trans(0, 102, 2, 351), ], k: 1, }, - /* 548 - "Select" */ + /* 547 - "Select" */ LookaheadDFA { - prod0: 468, + prod0: 473, transitions: &[], k: 0, }, - /* 549 - "SelectOperator" */ + /* 548 - "SelectOperator" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 3, 473), - Trans(0, 14, 2, 472), - Trans(0, 30, 1, 471), - Trans(0, 102, 4, 474), + Trans(0, 12, 3, 478), + Trans(0, 14, 2, 477), + Trans(0, 30, 1, 476), + Trans(0, 102, 4, 479), ], k: 1, }, - /* 550 - "SelectOpt" */ + /* 549 - "SelectOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 12, 1, 469), - Trans(0, 14, 1, 469), - Trans(0, 30, 1, 469), - Trans(0, 44, 2, 470), - Trans(0, 102, 1, 469), + Trans(0, 12, 1, 474), + Trans(0, 14, 1, 474), + Trans(0, 30, 1, 474), + Trans(0, 44, 2, 475), + Trans(0, 102, 1, 474), ], k: 1, }, - /* 551 - "Semicolon" */ + /* 550 - "Semicolon" */ LookaheadDFA { - prod0: 261, + prod0: 263, transitions: &[], k: 0, }, - /* 552 - "SemicolonTerm" */ + /* 551 - "SemicolonTerm" */ LookaheadDFA { prod0: 41, transitions: &[], k: 0, }, - /* 553 - "SemicolonToken" */ + /* 552 - "SemicolonToken" */ LookaheadDFA { - prod0: 153, + prod0: 154, transitions: &[], k: 0, }, - /* 554 - "Signed" */ + /* 553 - "Signed" */ LookaheadDFA { - prod0: 316, + prod0: 318, transitions: &[], k: 0, }, - /* 555 - "SignedTerm" */ + /* 554 - "SignedTerm" */ LookaheadDFA { prod0: 96, transitions: &[], k: 0, }, - /* 556 - "SignedToken" */ + /* 555 - "SignedToken" */ LookaheadDFA { - prod0: 208, + prod0: 209, transitions: &[], k: 0, }, - /* 557 - "Star" */ + /* 556 - "Star" */ LookaheadDFA { - prod0: 262, + prod0: 264, transitions: &[], k: 0, }, - /* 558 - "StarTerm" */ + /* 557 - "StarTerm" */ LookaheadDFA { prod0: 42, transitions: &[], k: 0, }, - /* 559 - "StarToken" */ + /* 558 - "StarToken" */ LookaheadDFA { - prod0: 154, + prod0: 155, transitions: &[], k: 0, }, - /* 560 - "Start" */ + /* 559 - "Start" */ LookaheadDFA { - prod0: 220, + prod0: 222, transitions: &[], k: 0, }, - /* 561 - "StartToken" */ + /* 560 - "StartToken" */ LookaheadDFA { - prod0: 112, + prod0: 113, transitions: &[], k: 0, }, - /* 562 - "Statement" */ + /* 561 - "Statement" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 53, 8, 524), - Trans(0, 65, 7, 523), - Trans(0, 69, 4, 520), - Trans(0, 70, 3, 519), - Trans(0, 80, 1, 517), - Trans(0, 99, 5, 521), - Trans(0, 100, 6, 522), - Trans(0, 111, 2, 518), - Trans(0, 112, 2, 518), + Trans(0, 53, 8, 529), + Trans(0, 65, 7, 528), + Trans(0, 69, 4, 525), + Trans(0, 70, 3, 524), + Trans(0, 80, 1, 522), + Trans(0, 99, 5, 526), + Trans(0, 100, 6, 527), + Trans(0, 105, 9, 530), + Trans(0, 112, 2, 523), + Trans(0, 113, 2, 523), ], k: 1, }, - /* 563 - "Step" */ + /* 562 - "Step" */ LookaheadDFA { - prod0: 317, + prod0: 319, transitions: &[], k: 0, }, - /* 564 - "StepTerm" */ + /* 563 - "StepTerm" */ LookaheadDFA { prod0: 97, transitions: &[], k: 0, }, - /* 565 - "StepToken" */ + /* 564 - "StepToken" */ LookaheadDFA { - prod0: 209, + prod0: 210, transitions: &[], k: 0, }, - /* 566 - "Strin" */ + /* 565 - "Strin" */ LookaheadDFA { - prod0: 318, + prod0: 320, transitions: &[], k: 0, }, - /* 567 - "StringLiteral" */ + /* 566 - "StringLiteral" */ LookaheadDFA { - prod0: 221, + prod0: 223, transitions: &[], k: 0, }, - /* 568 - "StringLiteralTerm" */ + /* 567 - "StringLiteralTerm" */ LookaheadDFA { prod0: 1, transitions: &[], k: 0, }, - /* 569 - "StringLiteralToken" */ + /* 568 - "StringLiteralToken" */ LookaheadDFA { - prod0: 113, + prod0: 114, transitions: &[], k: 0, }, - /* 570 - "StringTerm" */ + /* 569 - "StringTerm" */ LookaheadDFA { prod0: 98, transitions: &[], k: 0, }, - /* 571 - "StringToken" */ + /* 570 - "StringToken" */ LookaheadDFA { - prod0: 210, + prod0: 211, transitions: &[], k: 0, }, - /* 572 - "Struct" */ + /* 571 - "Struct" */ LookaheadDFA { - prod0: 319, + prod0: 321, transitions: &[], k: 0, }, - /* 573 - "StructTerm" */ + /* 572 - "StructTerm" */ LookaheadDFA { prod0: 99, transitions: &[], k: 0, }, - /* 574 - "StructToken" */ + /* 573 - "StructToken" */ LookaheadDFA { - prod0: 211, + prod0: 212, transitions: &[], k: 0, }, - /* 575 - "StructUnion" */ + /* 574 - "StructUnion" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 104, 1, 629), Trans(0, 109, 2, 630)], + transitions: &[Trans(0, 104, 1, 649), Trans(0, 110, 2, 650)], k: 1, }, - /* 576 - "StructUnionDeclaration" */ + /* 575 - "StructUnionDeclaration" */ LookaheadDFA { - prod0: 631, + prod0: 651, transitions: &[], k: 0, }, - /* 577 - "StructUnionDeclarationOpt" */ + /* 576 - "StructUnionDeclarationOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 28, 1, 632), Trans(0, 39, 2, 633)], + transitions: &[Trans(0, 28, 1, 652), Trans(0, 39, 2, 653)], k: 1, }, - /* 578 - "StructUnionGroup" */ + /* 577 - "StructUnionGroup" */ LookaheadDFA { - prod0: 639, + prod0: 659, transitions: &[], k: 0, }, - /* 579 - "StructUnionGroupGroup" */ + /* 578 - "StructUnionGroupGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 39, 1, 640), Trans(0, 112, 2, 641)], + transitions: &[Trans(0, 39, 1, 660), Trans(0, 113, 2, 661)], k: 1, }, - /* 580 - "StructUnionGroupList" */ + /* 579 - "StructUnionGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 642), - Trans(0, 39, 2, 643), - Trans(0, 112, 2, 643), + Trans(0, 36, 1, 662), + Trans(0, 39, 2, 663), + Trans(0, 113, 2, 663), ], k: 1, }, - /* 581 - "StructUnionItem" */ + /* 580 - "StructUnionItem" */ LookaheadDFA { - prod0: 644, + prod0: 664, transitions: &[], k: 0, }, - /* 582 - "StructUnionList" */ + /* 581 - "StructUnionList" */ LookaheadDFA { - prod0: 634, + prod0: 654, transitions: &[], k: 0, }, - /* 583 - "StructUnionListList" */ + /* 582 - "StructUnionListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -15302,19 +15589,19 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 36, 2, -1), Trans(1, 39, 4, -1), Trans(1, 43, 20, -1), - Trans(1, 112, 5, -1), - Trans(2, 5, 3, 635), - Trans(2, 40, 3, 635), - Trans(4, 5, 3, 635), - Trans(4, 36, 3, 635), - Trans(4, 39, 3, 635), - Trans(4, 112, 3, 635), - Trans(5, 5, 3, 635), - Trans(5, 30, 3, 635), - Trans(6, 36, 3, 635), - Trans(6, 39, 3, 635), - Trans(6, 43, 19, 636), - Trans(6, 112, 3, 635), + Trans(1, 113, 5, -1), + Trans(2, 5, 3, 655), + Trans(2, 40, 3, 655), + Trans(4, 5, 3, 655), + Trans(4, 36, 3, 655), + Trans(4, 39, 3, 655), + Trans(4, 113, 3, 655), + Trans(5, 5, 3, 655), + Trans(5, 30, 3, 655), + Trans(6, 36, 3, 655), + Trans(6, 39, 3, 655), + Trans(6, 43, 19, 656), + Trans(6, 113, 3, 655), Trans(7, 5, 8, -1), Trans(7, 30, 9, -1), Trans(7, 31, 10, -1), @@ -15337,444 +15624,629 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 81, 9, -1), Trans(7, 84, 9, -1), Trans(7, 104, 9, -1), - Trans(7, 106, 9, -1), - Trans(7, 109, 9, -1), + Trans(7, 107, 9, -1), Trans(7, 110, 9, -1), - Trans(8, 30, 19, 636), - Trans(8, 31, 19, 636), - Trans(8, 36, 19, 636), - Trans(8, 39, 19, 636), - Trans(8, 43, 19, 636), - Trans(8, 48, 19, 636), - Trans(8, 49, 19, 636), - Trans(8, 50, 19, 636), - Trans(8, 60, 19, 636), - Trans(8, 61, 19, 636), - Trans(8, 64, 19, 636), - Trans(8, 65, 19, 636), - Trans(8, 66, 19, 636), - Trans(8, 70, 19, 636), - Trans(8, 71, 19, 636), - Trans(8, 73, 19, 636), - Trans(8, 77, 19, 636), - Trans(8, 80, 19, 636), - Trans(8, 81, 19, 636), - Trans(8, 84, 19, 636), - Trans(8, 104, 19, 636), - Trans(8, 106, 19, 636), - Trans(8, 109, 19, 636), - Trans(8, 110, 19, 636), - Trans(9, 5, 19, 636), - Trans(9, 112, 19, 636), - Trans(10, 5, 19, 636), - Trans(10, 36, 19, 636), - Trans(10, 39, 19, 636), - Trans(10, 43, 19, 636), - Trans(10, 112, 19, 636), - Trans(11, 5, 19, 636), - Trans(11, 40, 19, 636), - Trans(12, 5, 19, 636), - Trans(12, 30, 19, 636), - Trans(12, 36, 19, 636), - Trans(12, 39, 19, 636), - Trans(12, 43, 19, 636), - Trans(12, 48, 19, 636), - Trans(12, 49, 19, 636), - Trans(12, 50, 19, 636), - Trans(12, 60, 19, 636), - Trans(12, 61, 19, 636), - Trans(12, 64, 19, 636), - Trans(12, 65, 19, 636), - Trans(12, 66, 19, 636), - Trans(12, 70, 19, 636), - Trans(12, 71, 19, 636), - Trans(12, 73, 19, 636), - Trans(12, 77, 19, 636), - Trans(12, 80, 19, 636), - Trans(12, 81, 19, 636), - Trans(12, 84, 19, 636), - Trans(12, 104, 19, 636), - Trans(12, 106, 19, 636), - Trans(12, 109, 19, 636), - Trans(12, 110, 19, 636), - Trans(13, 0, 19, 636), - Trans(13, 5, 19, 636), - Trans(13, 30, 19, 636), - Trans(13, 31, 19, 636), - Trans(13, 36, 19, 636), - Trans(13, 39, 19, 636), - Trans(13, 43, 19, 636), - Trans(13, 48, 19, 636), - Trans(13, 49, 19, 636), - Trans(13, 50, 19, 636), - Trans(13, 58, 19, 636), - Trans(13, 59, 19, 636), - Trans(13, 60, 19, 636), - Trans(13, 61, 19, 636), - Trans(13, 64, 19, 636), - Trans(13, 65, 19, 636), - Trans(13, 66, 19, 636), - Trans(13, 70, 19, 636), - Trans(13, 71, 19, 636), - Trans(13, 72, 19, 636), - Trans(13, 73, 19, 636), - Trans(13, 77, 19, 636), - Trans(13, 78, 19, 636), - Trans(13, 80, 19, 636), - Trans(13, 81, 19, 636), - Trans(13, 84, 19, 636), - Trans(13, 85, 19, 636), - Trans(13, 89, 19, 636), - Trans(13, 91, 19, 636), - Trans(13, 104, 19, 636), - Trans(13, 106, 19, 636), - Trans(13, 109, 19, 636), - Trans(13, 110, 19, 636), - Trans(14, 5, 19, 636), - Trans(14, 39, 19, 636), - Trans(15, 5, 19, 636), - Trans(15, 39, 19, 636), - Trans(15, 41, 19, 636), - Trans(16, 5, 19, 636), - Trans(16, 47, 19, 636), - Trans(16, 111, 19, 636), - Trans(16, 112, 19, 636), - Trans(17, 5, 19, 636), - Trans(17, 6, 19, 636), - Trans(17, 7, 19, 636), - Trans(17, 8, 19, 636), - Trans(17, 9, 19, 636), - Trans(17, 10, 19, 636), - Trans(17, 11, 19, 636), - Trans(17, 18, 19, 636), - Trans(17, 24, 19, 636), - Trans(17, 25, 19, 636), - Trans(17, 26, 19, 636), - Trans(17, 27, 19, 636), - Trans(17, 38, 19, 636), - Trans(17, 39, 19, 636), - Trans(17, 41, 19, 636), - Trans(17, 53, 19, 636), - Trans(17, 70, 19, 636), - Trans(17, 76, 19, 636), - Trans(17, 83, 19, 636), - Trans(17, 86, 19, 636), - Trans(17, 88, 19, 636), - Trans(17, 111, 19, 636), - Trans(17, 112, 19, 636), - Trans(18, 5, 19, 636), - Trans(18, 111, 19, 636), - Trans(18, 112, 19, 636), - Trans(20, 5, 19, 636), - Trans(20, 30, 19, 636), - Trans(20, 31, 19, 636), - Trans(20, 36, 19, 636), - Trans(20, 39, 19, 636), - Trans(20, 43, 19, 636), - Trans(20, 48, 19, 636), - Trans(20, 49, 19, 636), - Trans(20, 50, 19, 636), - Trans(20, 60, 19, 636), - Trans(20, 61, 19, 636), - Trans(20, 64, 19, 636), - Trans(20, 65, 19, 636), - Trans(20, 66, 19, 636), - Trans(20, 70, 19, 636), - Trans(20, 71, 19, 636), - Trans(20, 73, 19, 636), - Trans(20, 77, 19, 636), - Trans(20, 80, 19, 636), - Trans(20, 81, 19, 636), - Trans(20, 84, 19, 636), - Trans(20, 104, 19, 636), - Trans(20, 106, 19, 636), - Trans(20, 109, 19, 636), - Trans(20, 110, 19, 636), + Trans(7, 111, 9, -1), + Trans(8, 30, 19, 656), + Trans(8, 31, 19, 656), + Trans(8, 36, 19, 656), + Trans(8, 39, 19, 656), + Trans(8, 43, 19, 656), + Trans(8, 48, 19, 656), + Trans(8, 49, 19, 656), + Trans(8, 50, 19, 656), + Trans(8, 60, 19, 656), + Trans(8, 61, 19, 656), + Trans(8, 64, 19, 656), + Trans(8, 65, 19, 656), + Trans(8, 66, 19, 656), + Trans(8, 70, 19, 656), + Trans(8, 71, 19, 656), + Trans(8, 73, 19, 656), + Trans(8, 77, 19, 656), + Trans(8, 80, 19, 656), + Trans(8, 81, 19, 656), + Trans(8, 84, 19, 656), + Trans(8, 104, 19, 656), + Trans(8, 107, 19, 656), + Trans(8, 110, 19, 656), + Trans(8, 111, 19, 656), + Trans(9, 5, 19, 656), + Trans(9, 113, 19, 656), + Trans(10, 5, 19, 656), + Trans(10, 36, 19, 656), + Trans(10, 39, 19, 656), + Trans(10, 43, 19, 656), + Trans(10, 113, 19, 656), + Trans(11, 5, 19, 656), + Trans(11, 40, 19, 656), + Trans(12, 5, 19, 656), + Trans(12, 30, 19, 656), + Trans(12, 36, 19, 656), + Trans(12, 39, 19, 656), + Trans(12, 43, 19, 656), + Trans(12, 48, 19, 656), + Trans(12, 49, 19, 656), + Trans(12, 50, 19, 656), + Trans(12, 60, 19, 656), + Trans(12, 61, 19, 656), + Trans(12, 64, 19, 656), + Trans(12, 65, 19, 656), + Trans(12, 66, 19, 656), + Trans(12, 70, 19, 656), + Trans(12, 71, 19, 656), + Trans(12, 73, 19, 656), + Trans(12, 77, 19, 656), + Trans(12, 80, 19, 656), + Trans(12, 81, 19, 656), + Trans(12, 84, 19, 656), + Trans(12, 104, 19, 656), + Trans(12, 107, 19, 656), + Trans(12, 110, 19, 656), + Trans(12, 111, 19, 656), + Trans(13, 0, 19, 656), + Trans(13, 5, 19, 656), + Trans(13, 30, 19, 656), + Trans(13, 31, 19, 656), + Trans(13, 36, 19, 656), + Trans(13, 39, 19, 656), + Trans(13, 43, 19, 656), + Trans(13, 48, 19, 656), + Trans(13, 49, 19, 656), + Trans(13, 50, 19, 656), + Trans(13, 58, 19, 656), + Trans(13, 59, 19, 656), + Trans(13, 60, 19, 656), + Trans(13, 61, 19, 656), + Trans(13, 64, 19, 656), + Trans(13, 65, 19, 656), + Trans(13, 66, 19, 656), + Trans(13, 70, 19, 656), + Trans(13, 71, 19, 656), + Trans(13, 72, 19, 656), + Trans(13, 73, 19, 656), + Trans(13, 77, 19, 656), + Trans(13, 78, 19, 656), + Trans(13, 80, 19, 656), + Trans(13, 81, 19, 656), + Trans(13, 84, 19, 656), + Trans(13, 85, 19, 656), + Trans(13, 89, 19, 656), + Trans(13, 91, 19, 656), + Trans(13, 104, 19, 656), + Trans(13, 107, 19, 656), + Trans(13, 110, 19, 656), + Trans(13, 111, 19, 656), + Trans(14, 5, 19, 656), + Trans(14, 39, 19, 656), + Trans(15, 5, 19, 656), + Trans(15, 39, 19, 656), + Trans(15, 41, 19, 656), + Trans(16, 5, 19, 656), + Trans(16, 47, 19, 656), + Trans(16, 112, 19, 656), + Trans(16, 113, 19, 656), + Trans(17, 5, 19, 656), + Trans(17, 6, 19, 656), + Trans(17, 7, 19, 656), + Trans(17, 8, 19, 656), + Trans(17, 9, 19, 656), + Trans(17, 10, 19, 656), + Trans(17, 11, 19, 656), + Trans(17, 18, 19, 656), + Trans(17, 24, 19, 656), + Trans(17, 25, 19, 656), + Trans(17, 26, 19, 656), + Trans(17, 27, 19, 656), + Trans(17, 38, 19, 656), + Trans(17, 39, 19, 656), + Trans(17, 41, 19, 656), + Trans(17, 53, 19, 656), + Trans(17, 70, 19, 656), + Trans(17, 76, 19, 656), + Trans(17, 83, 19, 656), + Trans(17, 86, 19, 656), + Trans(17, 88, 19, 656), + Trans(17, 105, 19, 656), + Trans(17, 112, 19, 656), + Trans(17, 113, 19, 656), + Trans(18, 5, 19, 656), + Trans(18, 112, 19, 656), + Trans(18, 113, 19, 656), + Trans(20, 5, 19, 656), + Trans(20, 30, 19, 656), + Trans(20, 31, 19, 656), + Trans(20, 36, 19, 656), + Trans(20, 39, 19, 656), + Trans(20, 43, 19, 656), + Trans(20, 48, 19, 656), + Trans(20, 49, 19, 656), + Trans(20, 50, 19, 656), + Trans(20, 60, 19, 656), + Trans(20, 61, 19, 656), + Trans(20, 64, 19, 656), + Trans(20, 65, 19, 656), + Trans(20, 66, 19, 656), + Trans(20, 70, 19, 656), + Trans(20, 71, 19, 656), + Trans(20, 73, 19, 656), + Trans(20, 77, 19, 656), + Trans(20, 80, 19, 656), + Trans(20, 81, 19, 656), + Trans(20, 84, 19, 656), + Trans(20, 104, 19, 656), + Trans(20, 107, 19, 656), + Trans(20, 110, 19, 656), + Trans(20, 111, 19, 656), ], k: 3, }, - /* 584 - "StructUnionListOpt" */ + /* 583 - "StructUnionListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 637), Trans(0, 43, 2, 638)], + transitions: &[Trans(0, 31, 1, 657), Trans(0, 43, 2, 658)], k: 1, }, - /* 585 - "Tri" */ + /* 584 - "Switch" */ LookaheadDFA { - prod0: 320, + prod0: 322, transitions: &[], k: 0, }, - /* 586 - "TriTerm" */ + /* 585 - "SwitchCondition" */ LookaheadDFA { - prod0: 100, + prod0: 590, transitions: &[], k: 0, }, - /* 587 - "TriToken" */ + /* 586 - "SwitchConditionList" */ LookaheadDFA { - prod0: 212, - transitions: &[], - k: 0, + prod0: -1, + transitions: &[Trans(0, 30, 2, 592), Trans(0, 31, 1, 591)], + k: 1, }, - /* 588 - "Type" */ + /* 587 - "SwitchExpression" */ LookaheadDFA { - prod0: 321, + prod0: 458, transitions: &[], k: 0, }, - /* 589 - "TypeDefDeclaration" */ + /* 588 - "SwitchExpressionList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 6, 1, 459), + Trans(0, 7, 1, 459), + Trans(0, 8, 1, 459), + Trans(0, 9, 1, 459), + Trans(0, 10, 1, 459), + Trans(0, 11, 1, 459), + Trans(0, 18, 1, 459), + Trans(0, 24, 1, 459), + Trans(0, 25, 1, 459), + Trans(0, 26, 1, 459), + Trans(0, 27, 1, 459), + Trans(0, 38, 1, 459), + Trans(0, 39, 1, 459), + Trans(0, 41, 1, 459), + Trans(0, 53, 1, 459), + Trans(0, 57, 2, 460), + Trans(0, 70, 1, 459), + Trans(0, 76, 1, 459), + Trans(0, 83, 1, 459), + Trans(0, 86, 1, 459), + Trans(0, 88, 1, 459), + Trans(0, 105, 1, 459), + Trans(0, 112, 1, 459), + Trans(0, 113, 1, 459), + ], + k: 1, + }, + /* 589 - "SwitchExpressionOpt" */ + LookaheadDFA { + prod0: -1, + transitions: &[Trans(0, 31, 1, 461), Trans(0, 43, 2, 462)], + k: 1, + }, + /* 590 - "SwitchItem" */ LookaheadDFA { - prod0: 588, + prod0: 583, transitions: &[], k: 0, }, - /* 590 - "TypeExpression" */ + /* 591 - "SwitchItemGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 458), - Trans(0, 54, 1, 458), - Trans(0, 55, 1, 458), - Trans(0, 56, 1, 458), - Trans(0, 62, 1, 458), - Trans(0, 63, 1, 458), - Trans(0, 67, 1, 458), - Trans(0, 68, 1, 458), - Trans(0, 82, 1, 458), - Trans(0, 94, 1, 458), - Trans(0, 95, 1, 458), - Trans(0, 96, 1, 458), - Trans(0, 97, 1, 458), - Trans(0, 98, 1, 458), - Trans(0, 101, 1, 458), - Trans(0, 103, 1, 458), - Trans(0, 105, 1, 458), - Trans(0, 106, 2, 459), - Trans(0, 107, 1, 458), - Trans(0, 108, 1, 458), - Trans(0, 111, 1, 458), - Trans(0, 112, 1, 458), + Trans(0, 6, 1, 588), + Trans(0, 7, 1, 588), + Trans(0, 8, 1, 588), + Trans(0, 9, 1, 588), + Trans(0, 10, 1, 588), + Trans(0, 11, 1, 588), + Trans(0, 18, 1, 588), + Trans(0, 24, 1, 588), + Trans(0, 25, 1, 588), + Trans(0, 26, 1, 588), + Trans(0, 27, 1, 588), + Trans(0, 38, 1, 588), + Trans(0, 39, 1, 588), + Trans(0, 41, 1, 588), + Trans(0, 53, 1, 588), + Trans(0, 57, 2, 589), + Trans(0, 70, 1, 588), + Trans(0, 76, 1, 588), + Trans(0, 83, 1, 588), + Trans(0, 86, 1, 588), + Trans(0, 88, 1, 588), + Trans(0, 105, 1, 588), + Trans(0, 112, 1, 588), + Trans(0, 113, 1, 588), ], k: 1, }, - /* 591 - "TypeModifier" */ + /* 592 - "SwitchItemGroup0" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 101, 2, 508), Trans(0, 105, 1, 507)], + transitions: &[ + Trans(0, 39, 2, 585), + Trans(0, 53, 1, 584), + Trans(0, 65, 1, 584), + Trans(0, 69, 1, 584), + Trans(0, 70, 1, 584), + Trans(0, 80, 1, 584), + Trans(0, 99, 1, 584), + Trans(0, 100, 1, 584), + Trans(0, 105, 1, 584), + Trans(0, 112, 1, 584), + Trans(0, 113, 1, 584), + ], k: 1, }, - /* 592 - "TypeTerm" */ + /* 593 - "SwitchItemGroup0List" */ LookaheadDFA { - prod0: 101, + prod0: -1, + transitions: &[ + Trans(0, 43, 2, 587), + Trans(0, 53, 1, 586), + Trans(0, 65, 1, 586), + Trans(0, 69, 1, 586), + Trans(0, 70, 1, 586), + Trans(0, 80, 1, 586), + Trans(0, 99, 1, 586), + Trans(0, 100, 1, 586), + Trans(0, 105, 1, 586), + Trans(0, 112, 1, 586), + Trans(0, 113, 1, 586), + ], + k: 1, + }, + /* 594 - "SwitchStatement" */ + LookaheadDFA { + prod0: 580, + transitions: &[], + k: 0, + }, + /* 595 - "SwitchStatementList" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 6, 1, 581), + Trans(0, 7, 1, 581), + Trans(0, 8, 1, 581), + Trans(0, 9, 1, 581), + Trans(0, 10, 1, 581), + Trans(0, 11, 1, 581), + Trans(0, 18, 1, 581), + Trans(0, 24, 1, 581), + Trans(0, 25, 1, 581), + Trans(0, 26, 1, 581), + Trans(0, 27, 1, 581), + Trans(0, 38, 1, 581), + Trans(0, 39, 1, 581), + Trans(0, 41, 1, 581), + Trans(0, 43, 2, 582), + Trans(0, 53, 1, 581), + Trans(0, 57, 1, 581), + Trans(0, 70, 1, 581), + Trans(0, 76, 1, 581), + Trans(0, 83, 1, 581), + Trans(0, 86, 1, 581), + Trans(0, 88, 1, 581), + Trans(0, 105, 1, 581), + Trans(0, 112, 1, 581), + Trans(0, 113, 1, 581), + ], + k: 1, + }, + /* 596 - "SwitchTerm" */ + LookaheadDFA { + prod0: 100, transitions: &[], k: 0, }, - /* 593 - "TypeToken" */ + /* 597 - "SwitchToken" */ LookaheadDFA { prod0: 213, transitions: &[], k: 0, }, - /* 594 - "U32" */ + /* 598 - "Tri" */ LookaheadDFA { - prod0: 322, + prod0: 323, transitions: &[], k: 0, }, - /* 595 - "U32Term" */ + /* 599 - "TriTerm" */ LookaheadDFA { - prod0: 102, + prod0: 101, transitions: &[], k: 0, }, - /* 596 - "U32Token" */ + /* 600 - "TriToken" */ LookaheadDFA { prod0: 214, transitions: &[], k: 0, }, - /* 597 - "U64" */ + /* 601 - "Type" */ LookaheadDFA { - prod0: 323, + prod0: 324, transitions: &[], k: 0, }, - /* 598 - "U64Term" */ + /* 602 - "TypeDefDeclaration" */ LookaheadDFA { - prod0: 103, + prod0: 608, + transitions: &[], + k: 0, + }, + /* 603 - "TypeExpression" */ + LookaheadDFA { + prod0: -1, + transitions: &[ + Trans(0, 52, 1, 463), + Trans(0, 54, 1, 463), + Trans(0, 55, 1, 463), + Trans(0, 56, 1, 463), + Trans(0, 62, 1, 463), + Trans(0, 63, 1, 463), + Trans(0, 67, 1, 463), + Trans(0, 68, 1, 463), + Trans(0, 82, 1, 463), + Trans(0, 94, 1, 463), + Trans(0, 95, 1, 463), + Trans(0, 96, 1, 463), + Trans(0, 97, 1, 463), + Trans(0, 98, 1, 463), + Trans(0, 101, 1, 463), + Trans(0, 103, 1, 463), + Trans(0, 106, 1, 463), + Trans(0, 107, 2, 464), + Trans(0, 108, 1, 463), + Trans(0, 109, 1, 463), + Trans(0, 112, 1, 463), + Trans(0, 113, 1, 463), + ], + k: 1, + }, + /* 604 - "TypeModifier" */ + LookaheadDFA { + prod0: -1, + transitions: &[Trans(0, 101, 2, 513), Trans(0, 106, 1, 512)], + k: 1, + }, + /* 605 - "TypeTerm" */ + LookaheadDFA { + prod0: 102, transitions: &[], k: 0, }, - /* 599 - "U64Token" */ + /* 606 - "TypeToken" */ LookaheadDFA { prod0: 215, transitions: &[], k: 0, }, - /* 600 - "UnaryOperator" */ + /* 607 - "U32" */ LookaheadDFA { - prod0: 239, + prod0: 325, transitions: &[], k: 0, }, - /* 601 - "UnaryOperatorTerm" */ + /* 608 - "U32Term" */ LookaheadDFA { - prod0: 22, + prod0: 103, transitions: &[], k: 0, }, - /* 602 - "UnaryOperatorToken" */ + /* 609 - "U32Token" */ LookaheadDFA { - prod0: 131, + prod0: 216, transitions: &[], k: 0, }, - /* 603 - "Union" */ + /* 610 - "U64" */ LookaheadDFA { - prod0: 324, + prod0: 326, transitions: &[], k: 0, }, - /* 604 - "UnionTerm" */ + /* 611 - "U64Term" */ LookaheadDFA { prod0: 104, transitions: &[], k: 0, }, - /* 605 - "UnionToken" */ + /* 612 - "U64Token" */ LookaheadDFA { - prod0: 216, + prod0: 217, transitions: &[], k: 0, }, - /* 606 - "Var" */ + /* 613 - "UnaryOperator" */ LookaheadDFA { - prod0: 325, + prod0: 241, + transitions: &[], + k: 0, + }, + /* 614 - "UnaryOperatorTerm" */ + LookaheadDFA { + prod0: 22, + transitions: &[], + k: 0, + }, + /* 615 - "UnaryOperatorToken" */ + LookaheadDFA { + prod0: 132, transitions: &[], k: 0, }, - /* 607 - "VarDeclaration" */ + /* 616 - "Union" */ LookaheadDFA { - prod0: 584, + prod0: 327, transitions: &[], k: 0, }, - /* 608 - "VarTerm" */ + /* 617 - "UnionTerm" */ LookaheadDFA { prod0: 105, transitions: &[], k: 0, }, - /* 609 - "VarToken" */ + /* 618 - "UnionToken" */ LookaheadDFA { - prod0: 217, + prod0: 218, + transitions: &[], + k: 0, + }, + /* 619 - "Var" */ + LookaheadDFA { + prod0: 328, transitions: &[], k: 0, }, - /* 610 - "VariableType" */ + /* 620 - "VarDeclaration" */ LookaheadDFA { - prod0: 493, + prod0: 604, + transitions: &[], + k: 0, + }, + /* 621 - "VarTerm" */ + LookaheadDFA { + prod0: 106, + transitions: &[], + k: 0, + }, + /* 622 - "VarToken" */ + LookaheadDFA { + prod0: 219, + transitions: &[], + k: 0, + }, + /* 623 - "VariableType" */ + LookaheadDFA { + prod0: 498, transitions: &[], k: 0, }, - /* 611 - "VariableTypeGroup" */ + /* 624 - "VariableTypeGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 10, 503), - Trans(0, 54, 1, 494), - Trans(0, 55, 2, 495), - Trans(0, 56, 3, 496), - Trans(0, 82, 9, 502), - Trans(0, 94, 4, 497), - Trans(0, 95, 5, 498), - Trans(0, 96, 6, 499), - Trans(0, 97, 7, 500), - Trans(0, 98, 8, 501), - Trans(0, 111, 11, 504), - Trans(0, 112, 11, 504), + Trans(0, 52, 10, 508), + Trans(0, 54, 1, 499), + Trans(0, 55, 2, 500), + Trans(0, 56, 3, 501), + Trans(0, 82, 9, 507), + Trans(0, 94, 4, 502), + Trans(0, 95, 5, 503), + Trans(0, 96, 6, 504), + Trans(0, 97, 7, 505), + Trans(0, 98, 8, 506), + Trans(0, 112, 11, 509), + Trans(0, 113, 11, 509), ], k: 1, }, - /* 612 - "VariableTypeOpt" */ + /* 625 - "VariableTypeOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 506), - Trans(0, 35, 2, 506), - Trans(0, 37, 1, 505), - Trans(0, 39, 2, 506), - Trans(0, 40, 2, 506), - Trans(0, 43, 2, 506), - Trans(0, 45, 2, 506), - Trans(0, 46, 2, 506), - Trans(0, 79, 2, 506), + Trans(0, 31, 2, 511), + Trans(0, 35, 2, 511), + Trans(0, 37, 1, 510), + Trans(0, 39, 2, 511), + Trans(0, 40, 2, 511), + Trans(0, 43, 2, 511), + Trans(0, 45, 2, 511), + Trans(0, 46, 2, 511), + Trans(0, 79, 2, 511), ], k: 1, }, - /* 613 - "Veryl" */ + /* 626 - "Veryl" */ LookaheadDFA { - prod0: 913, + prod0: 933, transitions: &[], k: 0, }, - /* 614 - "VerylList" */ + /* 627 - "VerylList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 0, 2, 915), - Trans(0, 36, 1, 914), - Trans(0, 39, 1, 914), - Trans(0, 59, 1, 914), - Trans(0, 71, 1, 914), - Trans(0, 72, 1, 914), - Trans(0, 78, 1, 914), - Trans(0, 85, 1, 914), - Trans(0, 89, 1, 914), - Trans(0, 91, 1, 914), + Trans(0, 0, 2, 935), + Trans(0, 36, 1, 934), + Trans(0, 39, 1, 934), + Trans(0, 59, 1, 934), + Trans(0, 71, 1, 934), + Trans(0, 72, 1, 934), + Trans(0, 78, 1, 934), + Trans(0, 85, 1, 934), + Trans(0, 89, 1, 934), + Trans(0, 91, 1, 934), ], k: 1, }, - /* 615 - "Width" */ + /* 628 - "Width" */ LookaheadDFA { - prod0: 475, + prod0: 480, transitions: &[], k: 0, }, - /* 616 - "WidthList" */ + /* 629 - "WidthList" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 476), Trans(0, 42, 2, 477)], + transitions: &[Trans(0, 31, 1, 481), Trans(0, 42, 2, 482)], k: 1, }, - /* 617 - "WithGenericArgument" */ + /* 630 - "WithGenericArgument" */ LookaheadDFA { - prod0: 716, + prod0: 736, transitions: &[], k: 0, }, - /* 618 - "WithGenericArgumentItem" */ + /* 631 - "WithGenericArgumentItem" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 7, 2, 725), - Trans(0, 8, 2, 725), - Trans(0, 9, 2, 725), - Trans(0, 10, 2, 725), - Trans(0, 11, 2, 725), - Trans(0, 111, 1, 724), - Trans(0, 112, 1, 724), + Trans(0, 7, 2, 745), + Trans(0, 8, 2, 745), + Trans(0, 9, 2, 745), + Trans(0, 10, 2, 745), + Trans(0, 11, 2, 745), + Trans(0, 112, 1, 744), + Trans(0, 113, 1, 744), ], k: 1, }, - /* 619 - "WithGenericArgumentList" */ + /* 632 - "WithGenericArgumentList" */ LookaheadDFA { - prod0: 719, + prod0: 739, transitions: &[], k: 0, }, - /* 620 - "WithGenericArgumentListList" */ + /* 633 - "WithGenericArgumentListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -15787,28 +16259,28 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 10, 2, -1), Trans(1, 11, 2, -1), Trans(1, 42, 25, -1), - Trans(1, 111, 4, -1), - Trans(1, 112, 5, -1), - Trans(2, 5, 3, 720), - Trans(2, 31, 3, 720), - Trans(2, 42, 3, 720), - Trans(4, 5, 3, 720), - Trans(4, 29, 3, 720), - Trans(4, 31, 3, 720), - Trans(4, 42, 3, 720), - Trans(5, 5, 3, 720), - Trans(5, 28, 3, 720), - Trans(5, 29, 3, 720), - Trans(5, 31, 3, 720), - Trans(5, 42, 3, 720), - Trans(6, 7, 3, 720), - Trans(6, 8, 3, 720), - Trans(6, 9, 3, 720), - Trans(6, 10, 3, 720), - Trans(6, 11, 3, 720), - Trans(6, 42, 24, 721), - Trans(6, 111, 3, 720), - Trans(6, 112, 3, 720), + Trans(1, 112, 4, -1), + Trans(1, 113, 5, -1), + Trans(2, 5, 3, 740), + Trans(2, 31, 3, 740), + Trans(2, 42, 3, 740), + Trans(4, 5, 3, 740), + Trans(4, 29, 3, 740), + Trans(4, 31, 3, 740), + Trans(4, 42, 3, 740), + Trans(5, 5, 3, 740), + Trans(5, 28, 3, 740), + Trans(5, 29, 3, 740), + Trans(5, 31, 3, 740), + Trans(5, 42, 3, 740), + Trans(6, 7, 3, 740), + Trans(6, 8, 3, 740), + Trans(6, 9, 3, 740), + Trans(6, 10, 3, 740), + Trans(6, 11, 3, 740), + Trans(6, 42, 24, 741), + Trans(6, 112, 3, 740), + Trans(6, 113, 3, 740), Trans(7, 5, 8, -1), Trans(7, 12, 9, -1), Trans(7, 14, 9, -1), @@ -15846,525 +16318,532 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(7, 79, 9, -1), Trans(7, 93, 9, -1), Trans(7, 102, 23, -1), - Trans(8, 12, 24, 721), - Trans(8, 14, 24, 721), - Trans(8, 15, 24, 721), - Trans(8, 16, 24, 721), - Trans(8, 17, 24, 721), - Trans(8, 18, 24, 721), - Trans(8, 19, 24, 721), - Trans(8, 20, 24, 721), - Trans(8, 21, 24, 721), - Trans(8, 22, 24, 721), - Trans(8, 23, 24, 721), - Trans(8, 24, 24, 721), - Trans(8, 25, 24, 721), - Trans(8, 26, 24, 721), - Trans(8, 29, 24, 721), - Trans(8, 30, 24, 721), - Trans(8, 31, 24, 721), - Trans(8, 32, 24, 721), - Trans(8, 33, 24, 721), - Trans(8, 34, 24, 721), - Trans(8, 35, 24, 721), - Trans(8, 36, 24, 721), - Trans(8, 37, 24, 721), - Trans(8, 39, 24, 721), - Trans(8, 40, 24, 721), - Trans(8, 41, 24, 721), - Trans(8, 42, 24, 721), - Trans(8, 43, 24, 721), - Trans(8, 44, 24, 721), - Trans(8, 45, 24, 721), - Trans(8, 46, 24, 721), - Trans(8, 47, 24, 721), - Trans(8, 51, 24, 721), - Trans(8, 79, 24, 721), - Trans(8, 93, 24, 721), - Trans(8, 102, 24, 721), - Trans(9, 5, 24, 721), - Trans(9, 6, 24, 721), - Trans(9, 7, 24, 721), - Trans(9, 8, 24, 721), - Trans(9, 9, 24, 721), - Trans(9, 10, 24, 721), - Trans(9, 11, 24, 721), - Trans(9, 18, 24, 721), - Trans(9, 24, 24, 721), - Trans(9, 25, 24, 721), - Trans(9, 26, 24, 721), - Trans(9, 27, 24, 721), - Trans(9, 38, 24, 721), - Trans(9, 39, 24, 721), - Trans(9, 41, 24, 721), - Trans(9, 53, 24, 721), - Trans(9, 70, 24, 721), - Trans(9, 76, 24, 721), - Trans(9, 83, 24, 721), - Trans(9, 86, 24, 721), - Trans(9, 88, 24, 721), - Trans(9, 111, 24, 721), - Trans(9, 112, 24, 721), - Trans(10, 5, 24, 721), - Trans(10, 47, 24, 721), - Trans(10, 112, 24, 721), - Trans(11, 5, 24, 721), - Trans(11, 6, 24, 721), - Trans(11, 7, 24, 721), - Trans(11, 8, 24, 721), - Trans(11, 9, 24, 721), - Trans(11, 10, 24, 721), - Trans(11, 11, 24, 721), - Trans(11, 18, 24, 721), - Trans(11, 24, 24, 721), - Trans(11, 25, 24, 721), - Trans(11, 26, 24, 721), - Trans(11, 27, 24, 721), - Trans(11, 38, 24, 721), - Trans(11, 39, 24, 721), - Trans(11, 41, 24, 721), - Trans(11, 53, 24, 721), - Trans(11, 65, 24, 721), - Trans(11, 69, 24, 721), - Trans(11, 70, 24, 721), - Trans(11, 76, 24, 721), - Trans(11, 80, 24, 721), - Trans(11, 83, 24, 721), - Trans(11, 86, 24, 721), - Trans(11, 88, 24, 721), - Trans(11, 99, 24, 721), - Trans(11, 100, 24, 721), - Trans(11, 111, 24, 721), - Trans(11, 112, 24, 721), - Trans(12, 5, 24, 721), - Trans(12, 6, 24, 721), - Trans(12, 7, 24, 721), - Trans(12, 8, 24, 721), - Trans(12, 9, 24, 721), - Trans(12, 10, 24, 721), - Trans(12, 11, 24, 721), - Trans(12, 18, 24, 721), - Trans(12, 24, 24, 721), - Trans(12, 25, 24, 721), - Trans(12, 26, 24, 721), - Trans(12, 27, 24, 721), - Trans(12, 36, 24, 721), - Trans(12, 38, 24, 721), - Trans(12, 39, 24, 721), - Trans(12, 41, 24, 721), - Trans(12, 42, 24, 721), - Trans(12, 43, 24, 721), - Trans(12, 45, 24, 721), - Trans(12, 53, 24, 721), - Trans(12, 57, 24, 721), - Trans(12, 70, 24, 721), - Trans(12, 76, 24, 721), - Trans(12, 81, 24, 721), - Trans(12, 83, 24, 721), - Trans(12, 86, 24, 721), - Trans(12, 88, 24, 721), - Trans(12, 90, 24, 721), - Trans(12, 111, 24, 721), - Trans(12, 112, 24, 721), - Trans(13, 5, 24, 721), - Trans(13, 112, 24, 721), - Trans(14, 5, 24, 721), - Trans(14, 41, 24, 721), - Trans(15, 5, 24, 721), - Trans(15, 6, 24, 721), - Trans(15, 7, 24, 721), - Trans(15, 8, 24, 721), - Trans(15, 9, 24, 721), - Trans(15, 10, 24, 721), - Trans(15, 11, 24, 721), - Trans(15, 18, 24, 721), - Trans(15, 24, 24, 721), - Trans(15, 25, 24, 721), - Trans(15, 26, 24, 721), - Trans(15, 27, 24, 721), - Trans(15, 30, 24, 721), - Trans(15, 36, 24, 721), - Trans(15, 38, 24, 721), - Trans(15, 39, 24, 721), - Trans(15, 41, 24, 721), - Trans(15, 43, 24, 721), - Trans(15, 48, 24, 721), - Trans(15, 49, 24, 721), - Trans(15, 50, 24, 721), - Trans(15, 53, 24, 721), - Trans(15, 57, 24, 721), - Trans(15, 60, 24, 721), - Trans(15, 64, 24, 721), - Trans(15, 65, 24, 721), - Trans(15, 66, 24, 721), - Trans(15, 69, 24, 721), - Trans(15, 70, 24, 721), - Trans(15, 71, 24, 721), - Trans(15, 73, 24, 721), - Trans(15, 76, 24, 721), - Trans(15, 77, 24, 721), - Trans(15, 80, 24, 721), - Trans(15, 81, 24, 721), - Trans(15, 83, 24, 721), - Trans(15, 84, 24, 721), - Trans(15, 86, 24, 721), - Trans(15, 88, 24, 721), - Trans(15, 99, 24, 721), - Trans(15, 100, 24, 721), - Trans(15, 104, 24, 721), - Trans(15, 106, 24, 721), - Trans(15, 109, 24, 721), - Trans(15, 110, 24, 721), - Trans(15, 111, 24, 721), - Trans(15, 112, 24, 721), - Trans(16, 5, 24, 721), - Trans(16, 6, 24, 721), - Trans(16, 7, 24, 721), - Trans(16, 8, 24, 721), - Trans(16, 9, 24, 721), - Trans(16, 10, 24, 721), - Trans(16, 11, 24, 721), - Trans(16, 18, 24, 721), - Trans(16, 24, 24, 721), - Trans(16, 25, 24, 721), - Trans(16, 26, 24, 721), - Trans(16, 27, 24, 721), - Trans(16, 36, 24, 721), - Trans(16, 38, 24, 721), - Trans(16, 39, 24, 721), - Trans(16, 41, 24, 721), - Trans(16, 45, 24, 721), - Trans(16, 53, 24, 721), - Trans(16, 70, 24, 721), - Trans(16, 76, 24, 721), - Trans(16, 83, 24, 721), - Trans(16, 86, 24, 721), - Trans(16, 88, 24, 721), - Trans(16, 111, 24, 721), - Trans(16, 112, 24, 721), - Trans(17, 5, 24, 721), - Trans(17, 12, 24, 721), - Trans(17, 13, 24, 721), - Trans(17, 14, 24, 721), - Trans(17, 15, 24, 721), - Trans(17, 16, 24, 721), - Trans(17, 17, 24, 721), - Trans(17, 18, 24, 721), - Trans(17, 19, 24, 721), - Trans(17, 20, 24, 721), - Trans(17, 21, 24, 721), - Trans(17, 22, 24, 721), - Trans(17, 23, 24, 721), - Trans(17, 24, 24, 721), - Trans(17, 25, 24, 721), - Trans(17, 26, 24, 721), - Trans(17, 29, 24, 721), - Trans(17, 30, 24, 721), - Trans(17, 31, 24, 721), - Trans(17, 32, 24, 721), - Trans(17, 33, 24, 721), - Trans(17, 34, 24, 721), - Trans(17, 35, 24, 721), - Trans(17, 36, 24, 721), - Trans(17, 37, 24, 721), - Trans(17, 39, 24, 721), - Trans(17, 40, 24, 721), - Trans(17, 41, 24, 721), - Trans(17, 42, 24, 721), - Trans(17, 43, 24, 721), - Trans(17, 44, 24, 721), - Trans(17, 45, 24, 721), - Trans(17, 46, 24, 721), - Trans(17, 47, 24, 721), - Trans(17, 51, 24, 721), - Trans(17, 79, 24, 721), - Trans(17, 93, 24, 721), - Trans(17, 102, 24, 721), - Trans(18, 5, 24, 721), - Trans(18, 12, 24, 721), - Trans(18, 14, 24, 721), - Trans(18, 16, 24, 721), - Trans(18, 17, 24, 721), - Trans(18, 18, 24, 721), - Trans(18, 19, 24, 721), - Trans(18, 20, 24, 721), - Trans(18, 21, 24, 721), - Trans(18, 22, 24, 721), - Trans(18, 23, 24, 721), - Trans(18, 24, 24, 721), - Trans(18, 25, 24, 721), - Trans(18, 26, 24, 721), - Trans(18, 30, 24, 721), - Trans(18, 31, 24, 721), - Trans(18, 32, 24, 721), - Trans(18, 33, 24, 721), - Trans(18, 36, 24, 721), - Trans(18, 39, 24, 721), - Trans(18, 42, 24, 721), - Trans(18, 43, 24, 721), - Trans(18, 44, 24, 721), - Trans(18, 45, 24, 721), - Trans(18, 46, 24, 721), - Trans(18, 47, 24, 721), - Trans(18, 48, 24, 721), - Trans(18, 49, 24, 721), - Trans(18, 50, 24, 721), - Trans(18, 51, 24, 721), - Trans(18, 58, 24, 721), - Trans(18, 60, 24, 721), - Trans(18, 61, 24, 721), - Trans(18, 64, 24, 721), - Trans(18, 65, 24, 721), - Trans(18, 66, 24, 721), - Trans(18, 70, 24, 721), - Trans(18, 71, 24, 721), - Trans(18, 73, 24, 721), - Trans(18, 77, 24, 721), - Trans(18, 80, 24, 721), - Trans(18, 81, 24, 721), - Trans(18, 84, 24, 721), - Trans(18, 93, 24, 721), - Trans(18, 102, 24, 721), - Trans(18, 104, 24, 721), - Trans(18, 106, 24, 721), - Trans(18, 109, 24, 721), - Trans(18, 110, 24, 721), - Trans(19, 5, 24, 721), - Trans(19, 12, 24, 721), - Trans(19, 14, 24, 721), - Trans(19, 15, 24, 721), - Trans(19, 16, 24, 721), - Trans(19, 17, 24, 721), - Trans(19, 18, 24, 721), - Trans(19, 19, 24, 721), - Trans(19, 20, 24, 721), - Trans(19, 21, 24, 721), - Trans(19, 22, 24, 721), - Trans(19, 23, 24, 721), - Trans(19, 24, 24, 721), - Trans(19, 25, 24, 721), - Trans(19, 26, 24, 721), - Trans(19, 30, 24, 721), - Trans(19, 31, 24, 721), - Trans(19, 32, 24, 721), - Trans(19, 33, 24, 721), - Trans(19, 34, 24, 721), - Trans(19, 35, 24, 721), - Trans(19, 36, 24, 721), - Trans(19, 39, 24, 721), - Trans(19, 40, 24, 721), - Trans(19, 41, 24, 721), - Trans(19, 42, 24, 721), - Trans(19, 43, 24, 721), - Trans(19, 44, 24, 721), - Trans(19, 45, 24, 721), - Trans(19, 46, 24, 721), - Trans(19, 47, 24, 721), - Trans(19, 51, 24, 721), - Trans(19, 93, 24, 721), - Trans(19, 102, 24, 721), - Trans(20, 5, 24, 721), - Trans(20, 12, 24, 721), - Trans(20, 13, 24, 721), - Trans(20, 14, 24, 721), - Trans(20, 16, 24, 721), - Trans(20, 17, 24, 721), - Trans(20, 18, 24, 721), - Trans(20, 19, 24, 721), - Trans(20, 20, 24, 721), - Trans(20, 21, 24, 721), - Trans(20, 22, 24, 721), - Trans(20, 23, 24, 721), - Trans(20, 24, 24, 721), - Trans(20, 25, 24, 721), - Trans(20, 26, 24, 721), - Trans(20, 30, 24, 721), - Trans(20, 31, 24, 721), - Trans(20, 32, 24, 721), - Trans(20, 33, 24, 721), - Trans(20, 39, 24, 721), - Trans(20, 41, 24, 721), - Trans(20, 42, 24, 721), - Trans(20, 43, 24, 721), - Trans(20, 44, 24, 721), - Trans(20, 45, 24, 721), - Trans(20, 46, 24, 721), - Trans(20, 47, 24, 721), - Trans(20, 51, 24, 721), - Trans(20, 93, 24, 721), - Trans(20, 102, 24, 721), - Trans(21, 0, 24, 721), - Trans(21, 5, 24, 721), - Trans(21, 6, 24, 721), - Trans(21, 7, 24, 721), - Trans(21, 8, 24, 721), - Trans(21, 9, 24, 721), - Trans(21, 10, 24, 721), - Trans(21, 11, 24, 721), - Trans(21, 18, 24, 721), - Trans(21, 24, 24, 721), - Trans(21, 25, 24, 721), - Trans(21, 26, 24, 721), - Trans(21, 27, 24, 721), - Trans(21, 30, 24, 721), - Trans(21, 36, 24, 721), - Trans(21, 38, 24, 721), - Trans(21, 39, 24, 721), - Trans(21, 41, 24, 721), - Trans(21, 43, 24, 721), - Trans(21, 48, 24, 721), - Trans(21, 49, 24, 721), - Trans(21, 50, 24, 721), - Trans(21, 53, 24, 721), - Trans(21, 57, 24, 721), - Trans(21, 59, 24, 721), - Trans(21, 60, 24, 721), - Trans(21, 61, 24, 721), - Trans(21, 64, 24, 721), - Trans(21, 65, 24, 721), - Trans(21, 66, 24, 721), - Trans(21, 69, 24, 721), - Trans(21, 70, 24, 721), - Trans(21, 71, 24, 721), - Trans(21, 72, 24, 721), - Trans(21, 73, 24, 721), - Trans(21, 76, 24, 721), - Trans(21, 77, 24, 721), - Trans(21, 78, 24, 721), - Trans(21, 80, 24, 721), - Trans(21, 81, 24, 721), - Trans(21, 83, 24, 721), - Trans(21, 84, 24, 721), - Trans(21, 85, 24, 721), - Trans(21, 86, 24, 721), - Trans(21, 88, 24, 721), - Trans(21, 89, 24, 721), - Trans(21, 91, 24, 721), - Trans(21, 99, 24, 721), - Trans(21, 100, 24, 721), - Trans(21, 104, 24, 721), - Trans(21, 106, 24, 721), - Trans(21, 109, 24, 721), - Trans(21, 110, 24, 721), - Trans(21, 111, 24, 721), - Trans(21, 112, 24, 721), - Trans(22, 5, 24, 721), - Trans(22, 111, 24, 721), - Trans(22, 112, 24, 721), - Trans(23, 5, 24, 721), - Trans(23, 6, 24, 721), - Trans(23, 7, 24, 721), - Trans(23, 8, 24, 721), - Trans(23, 9, 24, 721), - Trans(23, 10, 24, 721), - Trans(23, 11, 24, 721), - Trans(23, 15, 24, 721), - Trans(23, 18, 24, 721), - Trans(23, 24, 24, 721), - Trans(23, 25, 24, 721), - Trans(23, 26, 24, 721), - Trans(23, 27, 24, 721), - Trans(23, 38, 24, 721), - Trans(23, 39, 24, 721), - Trans(23, 41, 24, 721), - Trans(23, 53, 24, 721), - Trans(23, 70, 24, 721), - Trans(23, 76, 24, 721), - Trans(23, 83, 24, 721), - Trans(23, 86, 24, 721), - Trans(23, 88, 24, 721), - Trans(23, 111, 24, 721), - Trans(23, 112, 24, 721), - Trans(25, 5, 24, 721), - Trans(25, 12, 24, 721), - Trans(25, 14, 24, 721), - Trans(25, 15, 24, 721), - Trans(25, 16, 24, 721), - Trans(25, 17, 24, 721), - Trans(25, 18, 24, 721), - Trans(25, 19, 24, 721), - Trans(25, 20, 24, 721), - Trans(25, 21, 24, 721), - Trans(25, 22, 24, 721), - Trans(25, 23, 24, 721), - Trans(25, 24, 24, 721), - Trans(25, 25, 24, 721), - Trans(25, 26, 24, 721), - Trans(25, 29, 24, 721), - Trans(25, 30, 24, 721), - Trans(25, 31, 24, 721), - Trans(25, 32, 24, 721), - Trans(25, 33, 24, 721), - Trans(25, 34, 24, 721), - Trans(25, 35, 24, 721), - Trans(25, 36, 24, 721), - Trans(25, 37, 24, 721), - Trans(25, 39, 24, 721), - Trans(25, 40, 24, 721), - Trans(25, 41, 24, 721), - Trans(25, 42, 24, 721), - Trans(25, 43, 24, 721), - Trans(25, 44, 24, 721), - Trans(25, 45, 24, 721), - Trans(25, 46, 24, 721), - Trans(25, 47, 24, 721), - Trans(25, 51, 24, 721), - Trans(25, 79, 24, 721), - Trans(25, 93, 24, 721), - Trans(25, 102, 24, 721), + Trans(8, 12, 24, 741), + Trans(8, 14, 24, 741), + Trans(8, 15, 24, 741), + Trans(8, 16, 24, 741), + Trans(8, 17, 24, 741), + Trans(8, 18, 24, 741), + Trans(8, 19, 24, 741), + Trans(8, 20, 24, 741), + Trans(8, 21, 24, 741), + Trans(8, 22, 24, 741), + Trans(8, 23, 24, 741), + Trans(8, 24, 24, 741), + Trans(8, 25, 24, 741), + Trans(8, 26, 24, 741), + Trans(8, 29, 24, 741), + Trans(8, 30, 24, 741), + Trans(8, 31, 24, 741), + Trans(8, 32, 24, 741), + Trans(8, 33, 24, 741), + Trans(8, 34, 24, 741), + Trans(8, 35, 24, 741), + Trans(8, 36, 24, 741), + Trans(8, 37, 24, 741), + Trans(8, 39, 24, 741), + Trans(8, 40, 24, 741), + Trans(8, 41, 24, 741), + Trans(8, 42, 24, 741), + Trans(8, 43, 24, 741), + Trans(8, 44, 24, 741), + Trans(8, 45, 24, 741), + Trans(8, 46, 24, 741), + Trans(8, 47, 24, 741), + Trans(8, 51, 24, 741), + Trans(8, 79, 24, 741), + Trans(8, 93, 24, 741), + Trans(8, 102, 24, 741), + Trans(9, 5, 24, 741), + Trans(9, 6, 24, 741), + Trans(9, 7, 24, 741), + Trans(9, 8, 24, 741), + Trans(9, 9, 24, 741), + Trans(9, 10, 24, 741), + Trans(9, 11, 24, 741), + Trans(9, 18, 24, 741), + Trans(9, 24, 24, 741), + Trans(9, 25, 24, 741), + Trans(9, 26, 24, 741), + Trans(9, 27, 24, 741), + Trans(9, 38, 24, 741), + Trans(9, 39, 24, 741), + Trans(9, 41, 24, 741), + Trans(9, 53, 24, 741), + Trans(9, 70, 24, 741), + Trans(9, 76, 24, 741), + Trans(9, 83, 24, 741), + Trans(9, 86, 24, 741), + Trans(9, 88, 24, 741), + Trans(9, 105, 24, 741), + Trans(9, 112, 24, 741), + Trans(9, 113, 24, 741), + Trans(10, 5, 24, 741), + Trans(10, 47, 24, 741), + Trans(10, 113, 24, 741), + Trans(11, 5, 24, 741), + Trans(11, 6, 24, 741), + Trans(11, 7, 24, 741), + Trans(11, 8, 24, 741), + Trans(11, 9, 24, 741), + Trans(11, 10, 24, 741), + Trans(11, 11, 24, 741), + Trans(11, 18, 24, 741), + Trans(11, 24, 24, 741), + Trans(11, 25, 24, 741), + Trans(11, 26, 24, 741), + Trans(11, 27, 24, 741), + Trans(11, 38, 24, 741), + Trans(11, 39, 24, 741), + Trans(11, 41, 24, 741), + Trans(11, 53, 24, 741), + Trans(11, 65, 24, 741), + Trans(11, 69, 24, 741), + Trans(11, 70, 24, 741), + Trans(11, 76, 24, 741), + Trans(11, 80, 24, 741), + Trans(11, 83, 24, 741), + Trans(11, 86, 24, 741), + Trans(11, 88, 24, 741), + Trans(11, 99, 24, 741), + Trans(11, 100, 24, 741), + Trans(11, 105, 24, 741), + Trans(11, 112, 24, 741), + Trans(11, 113, 24, 741), + Trans(12, 5, 24, 741), + Trans(12, 6, 24, 741), + Trans(12, 7, 24, 741), + Trans(12, 8, 24, 741), + Trans(12, 9, 24, 741), + Trans(12, 10, 24, 741), + Trans(12, 11, 24, 741), + Trans(12, 18, 24, 741), + Trans(12, 24, 24, 741), + Trans(12, 25, 24, 741), + Trans(12, 26, 24, 741), + Trans(12, 27, 24, 741), + Trans(12, 36, 24, 741), + Trans(12, 38, 24, 741), + Trans(12, 39, 24, 741), + Trans(12, 41, 24, 741), + Trans(12, 42, 24, 741), + Trans(12, 43, 24, 741), + Trans(12, 45, 24, 741), + Trans(12, 53, 24, 741), + Trans(12, 57, 24, 741), + Trans(12, 70, 24, 741), + Trans(12, 76, 24, 741), + Trans(12, 81, 24, 741), + Trans(12, 83, 24, 741), + Trans(12, 86, 24, 741), + Trans(12, 88, 24, 741), + Trans(12, 90, 24, 741), + Trans(12, 105, 24, 741), + Trans(12, 112, 24, 741), + Trans(12, 113, 24, 741), + Trans(13, 5, 24, 741), + Trans(13, 113, 24, 741), + Trans(14, 5, 24, 741), + Trans(14, 41, 24, 741), + Trans(15, 5, 24, 741), + Trans(15, 6, 24, 741), + Trans(15, 7, 24, 741), + Trans(15, 8, 24, 741), + Trans(15, 9, 24, 741), + Trans(15, 10, 24, 741), + Trans(15, 11, 24, 741), + Trans(15, 18, 24, 741), + Trans(15, 24, 24, 741), + Trans(15, 25, 24, 741), + Trans(15, 26, 24, 741), + Trans(15, 27, 24, 741), + Trans(15, 30, 24, 741), + Trans(15, 36, 24, 741), + Trans(15, 38, 24, 741), + Trans(15, 39, 24, 741), + Trans(15, 41, 24, 741), + Trans(15, 43, 24, 741), + Trans(15, 48, 24, 741), + Trans(15, 49, 24, 741), + Trans(15, 50, 24, 741), + Trans(15, 53, 24, 741), + Trans(15, 57, 24, 741), + Trans(15, 60, 24, 741), + Trans(15, 64, 24, 741), + Trans(15, 65, 24, 741), + Trans(15, 66, 24, 741), + Trans(15, 69, 24, 741), + Trans(15, 70, 24, 741), + Trans(15, 71, 24, 741), + Trans(15, 73, 24, 741), + Trans(15, 76, 24, 741), + Trans(15, 77, 24, 741), + Trans(15, 80, 24, 741), + Trans(15, 81, 24, 741), + Trans(15, 83, 24, 741), + Trans(15, 84, 24, 741), + Trans(15, 86, 24, 741), + Trans(15, 88, 24, 741), + Trans(15, 99, 24, 741), + Trans(15, 100, 24, 741), + Trans(15, 104, 24, 741), + Trans(15, 105, 24, 741), + Trans(15, 107, 24, 741), + Trans(15, 110, 24, 741), + Trans(15, 111, 24, 741), + Trans(15, 112, 24, 741), + Trans(15, 113, 24, 741), + Trans(16, 5, 24, 741), + Trans(16, 6, 24, 741), + Trans(16, 7, 24, 741), + Trans(16, 8, 24, 741), + Trans(16, 9, 24, 741), + Trans(16, 10, 24, 741), + Trans(16, 11, 24, 741), + Trans(16, 18, 24, 741), + Trans(16, 24, 24, 741), + Trans(16, 25, 24, 741), + Trans(16, 26, 24, 741), + Trans(16, 27, 24, 741), + Trans(16, 36, 24, 741), + Trans(16, 38, 24, 741), + Trans(16, 39, 24, 741), + Trans(16, 41, 24, 741), + Trans(16, 45, 24, 741), + Trans(16, 53, 24, 741), + Trans(16, 70, 24, 741), + Trans(16, 76, 24, 741), + Trans(16, 83, 24, 741), + Trans(16, 86, 24, 741), + Trans(16, 88, 24, 741), + Trans(16, 105, 24, 741), + Trans(16, 112, 24, 741), + Trans(16, 113, 24, 741), + Trans(17, 5, 24, 741), + Trans(17, 12, 24, 741), + Trans(17, 13, 24, 741), + Trans(17, 14, 24, 741), + Trans(17, 15, 24, 741), + Trans(17, 16, 24, 741), + Trans(17, 17, 24, 741), + Trans(17, 18, 24, 741), + Trans(17, 19, 24, 741), + Trans(17, 20, 24, 741), + Trans(17, 21, 24, 741), + Trans(17, 22, 24, 741), + Trans(17, 23, 24, 741), + Trans(17, 24, 24, 741), + Trans(17, 25, 24, 741), + Trans(17, 26, 24, 741), + Trans(17, 29, 24, 741), + Trans(17, 30, 24, 741), + Trans(17, 31, 24, 741), + Trans(17, 32, 24, 741), + Trans(17, 33, 24, 741), + Trans(17, 34, 24, 741), + Trans(17, 35, 24, 741), + Trans(17, 36, 24, 741), + Trans(17, 37, 24, 741), + Trans(17, 39, 24, 741), + Trans(17, 40, 24, 741), + Trans(17, 41, 24, 741), + Trans(17, 42, 24, 741), + Trans(17, 43, 24, 741), + Trans(17, 44, 24, 741), + Trans(17, 45, 24, 741), + Trans(17, 46, 24, 741), + Trans(17, 47, 24, 741), + Trans(17, 51, 24, 741), + Trans(17, 79, 24, 741), + Trans(17, 93, 24, 741), + Trans(17, 102, 24, 741), + Trans(18, 5, 24, 741), + Trans(18, 12, 24, 741), + Trans(18, 14, 24, 741), + Trans(18, 16, 24, 741), + Trans(18, 17, 24, 741), + Trans(18, 18, 24, 741), + Trans(18, 19, 24, 741), + Trans(18, 20, 24, 741), + Trans(18, 21, 24, 741), + Trans(18, 22, 24, 741), + Trans(18, 23, 24, 741), + Trans(18, 24, 24, 741), + Trans(18, 25, 24, 741), + Trans(18, 26, 24, 741), + Trans(18, 30, 24, 741), + Trans(18, 31, 24, 741), + Trans(18, 32, 24, 741), + Trans(18, 33, 24, 741), + Trans(18, 36, 24, 741), + Trans(18, 39, 24, 741), + Trans(18, 42, 24, 741), + Trans(18, 43, 24, 741), + Trans(18, 44, 24, 741), + Trans(18, 45, 24, 741), + Trans(18, 46, 24, 741), + Trans(18, 47, 24, 741), + Trans(18, 48, 24, 741), + Trans(18, 49, 24, 741), + Trans(18, 50, 24, 741), + Trans(18, 51, 24, 741), + Trans(18, 58, 24, 741), + Trans(18, 60, 24, 741), + Trans(18, 61, 24, 741), + Trans(18, 64, 24, 741), + Trans(18, 65, 24, 741), + Trans(18, 66, 24, 741), + Trans(18, 70, 24, 741), + Trans(18, 71, 24, 741), + Trans(18, 73, 24, 741), + Trans(18, 77, 24, 741), + Trans(18, 80, 24, 741), + Trans(18, 81, 24, 741), + Trans(18, 84, 24, 741), + Trans(18, 93, 24, 741), + Trans(18, 102, 24, 741), + Trans(18, 104, 24, 741), + Trans(18, 107, 24, 741), + Trans(18, 110, 24, 741), + Trans(18, 111, 24, 741), + Trans(19, 5, 24, 741), + Trans(19, 12, 24, 741), + Trans(19, 14, 24, 741), + Trans(19, 15, 24, 741), + Trans(19, 16, 24, 741), + Trans(19, 17, 24, 741), + Trans(19, 18, 24, 741), + Trans(19, 19, 24, 741), + Trans(19, 20, 24, 741), + Trans(19, 21, 24, 741), + Trans(19, 22, 24, 741), + Trans(19, 23, 24, 741), + Trans(19, 24, 24, 741), + Trans(19, 25, 24, 741), + Trans(19, 26, 24, 741), + Trans(19, 30, 24, 741), + Trans(19, 31, 24, 741), + Trans(19, 32, 24, 741), + Trans(19, 33, 24, 741), + Trans(19, 34, 24, 741), + Trans(19, 35, 24, 741), + Trans(19, 36, 24, 741), + Trans(19, 39, 24, 741), + Trans(19, 40, 24, 741), + Trans(19, 41, 24, 741), + Trans(19, 42, 24, 741), + Trans(19, 43, 24, 741), + Trans(19, 44, 24, 741), + Trans(19, 45, 24, 741), + Trans(19, 46, 24, 741), + Trans(19, 47, 24, 741), + Trans(19, 51, 24, 741), + Trans(19, 93, 24, 741), + Trans(19, 102, 24, 741), + Trans(20, 5, 24, 741), + Trans(20, 12, 24, 741), + Trans(20, 13, 24, 741), + Trans(20, 14, 24, 741), + Trans(20, 16, 24, 741), + Trans(20, 17, 24, 741), + Trans(20, 18, 24, 741), + Trans(20, 19, 24, 741), + Trans(20, 20, 24, 741), + Trans(20, 21, 24, 741), + Trans(20, 22, 24, 741), + Trans(20, 23, 24, 741), + Trans(20, 24, 24, 741), + Trans(20, 25, 24, 741), + Trans(20, 26, 24, 741), + Trans(20, 30, 24, 741), + Trans(20, 31, 24, 741), + Trans(20, 32, 24, 741), + Trans(20, 33, 24, 741), + Trans(20, 39, 24, 741), + Trans(20, 41, 24, 741), + Trans(20, 42, 24, 741), + Trans(20, 43, 24, 741), + Trans(20, 44, 24, 741), + Trans(20, 45, 24, 741), + Trans(20, 46, 24, 741), + Trans(20, 47, 24, 741), + Trans(20, 51, 24, 741), + Trans(20, 93, 24, 741), + Trans(20, 102, 24, 741), + Trans(21, 0, 24, 741), + Trans(21, 5, 24, 741), + Trans(21, 6, 24, 741), + Trans(21, 7, 24, 741), + Trans(21, 8, 24, 741), + Trans(21, 9, 24, 741), + Trans(21, 10, 24, 741), + Trans(21, 11, 24, 741), + Trans(21, 18, 24, 741), + Trans(21, 24, 24, 741), + Trans(21, 25, 24, 741), + Trans(21, 26, 24, 741), + Trans(21, 27, 24, 741), + Trans(21, 30, 24, 741), + Trans(21, 36, 24, 741), + Trans(21, 38, 24, 741), + Trans(21, 39, 24, 741), + Trans(21, 41, 24, 741), + Trans(21, 43, 24, 741), + Trans(21, 48, 24, 741), + Trans(21, 49, 24, 741), + Trans(21, 50, 24, 741), + Trans(21, 53, 24, 741), + Trans(21, 57, 24, 741), + Trans(21, 59, 24, 741), + Trans(21, 60, 24, 741), + Trans(21, 61, 24, 741), + Trans(21, 64, 24, 741), + Trans(21, 65, 24, 741), + Trans(21, 66, 24, 741), + Trans(21, 69, 24, 741), + Trans(21, 70, 24, 741), + Trans(21, 71, 24, 741), + Trans(21, 72, 24, 741), + Trans(21, 73, 24, 741), + Trans(21, 76, 24, 741), + Trans(21, 77, 24, 741), + Trans(21, 78, 24, 741), + Trans(21, 80, 24, 741), + Trans(21, 81, 24, 741), + Trans(21, 83, 24, 741), + Trans(21, 84, 24, 741), + Trans(21, 85, 24, 741), + Trans(21, 86, 24, 741), + Trans(21, 88, 24, 741), + Trans(21, 89, 24, 741), + Trans(21, 91, 24, 741), + Trans(21, 99, 24, 741), + Trans(21, 100, 24, 741), + Trans(21, 104, 24, 741), + Trans(21, 105, 24, 741), + Trans(21, 107, 24, 741), + Trans(21, 110, 24, 741), + Trans(21, 111, 24, 741), + Trans(21, 112, 24, 741), + Trans(21, 113, 24, 741), + Trans(22, 5, 24, 741), + Trans(22, 112, 24, 741), + Trans(22, 113, 24, 741), + Trans(23, 5, 24, 741), + Trans(23, 6, 24, 741), + Trans(23, 7, 24, 741), + Trans(23, 8, 24, 741), + Trans(23, 9, 24, 741), + Trans(23, 10, 24, 741), + Trans(23, 11, 24, 741), + Trans(23, 15, 24, 741), + Trans(23, 18, 24, 741), + Trans(23, 24, 24, 741), + Trans(23, 25, 24, 741), + Trans(23, 26, 24, 741), + Trans(23, 27, 24, 741), + Trans(23, 38, 24, 741), + Trans(23, 39, 24, 741), + Trans(23, 41, 24, 741), + Trans(23, 53, 24, 741), + Trans(23, 70, 24, 741), + Trans(23, 76, 24, 741), + Trans(23, 83, 24, 741), + Trans(23, 86, 24, 741), + Trans(23, 88, 24, 741), + Trans(23, 105, 24, 741), + Trans(23, 112, 24, 741), + Trans(23, 113, 24, 741), + Trans(25, 5, 24, 741), + Trans(25, 12, 24, 741), + Trans(25, 14, 24, 741), + Trans(25, 15, 24, 741), + Trans(25, 16, 24, 741), + Trans(25, 17, 24, 741), + Trans(25, 18, 24, 741), + Trans(25, 19, 24, 741), + Trans(25, 20, 24, 741), + Trans(25, 21, 24, 741), + Trans(25, 22, 24, 741), + Trans(25, 23, 24, 741), + Trans(25, 24, 24, 741), + Trans(25, 25, 24, 741), + Trans(25, 26, 24, 741), + Trans(25, 29, 24, 741), + Trans(25, 30, 24, 741), + Trans(25, 31, 24, 741), + Trans(25, 32, 24, 741), + Trans(25, 33, 24, 741), + Trans(25, 34, 24, 741), + Trans(25, 35, 24, 741), + Trans(25, 36, 24, 741), + Trans(25, 37, 24, 741), + Trans(25, 39, 24, 741), + Trans(25, 40, 24, 741), + Trans(25, 41, 24, 741), + Trans(25, 42, 24, 741), + Trans(25, 43, 24, 741), + Trans(25, 44, 24, 741), + Trans(25, 45, 24, 741), + Trans(25, 46, 24, 741), + Trans(25, 47, 24, 741), + Trans(25, 51, 24, 741), + Trans(25, 79, 24, 741), + Trans(25, 93, 24, 741), + Trans(25, 102, 24, 741), ], k: 3, }, - /* 621 - "WithGenericArgumentListOpt" */ + /* 634 - "WithGenericArgumentListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 722), Trans(0, 42, 2, 723)], + transitions: &[Trans(0, 31, 1, 742), Trans(0, 42, 2, 743)], k: 1, }, - /* 622 - "WithGenericArgumentOpt" */ + /* 635 - "WithGenericArgumentOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 7, 1, 717), - Trans(0, 8, 1, 717), - Trans(0, 9, 1, 717), - Trans(0, 10, 1, 717), - Trans(0, 11, 1, 717), - Trans(0, 42, 2, 718), - Trans(0, 111, 1, 717), - Trans(0, 112, 1, 717), + Trans(0, 7, 1, 737), + Trans(0, 8, 1, 737), + Trans(0, 9, 1, 737), + Trans(0, 10, 1, 737), + Trans(0, 11, 1, 737), + Trans(0, 42, 2, 738), + Trans(0, 112, 1, 737), + Trans(0, 113, 1, 737), ], k: 1, }, - /* 623 - "WithGenericParameter" */ + /* 636 - "WithGenericParameter" */ LookaheadDFA { - prod0: 707, + prod0: 727, transitions: &[], k: 0, }, - /* 624 - "WithGenericParameterItem" */ + /* 637 - "WithGenericParameterItem" */ LookaheadDFA { - prod0: 713, + prod0: 733, transitions: &[], k: 0, }, - /* 625 - "WithGenericParameterItemOpt" */ + /* 638 - "WithGenericParameterItemOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 2, 715), - Trans(0, 35, 1, 714), - Trans(0, 42, 2, 715), + Trans(0, 31, 2, 735), + Trans(0, 35, 1, 734), + Trans(0, 42, 2, 735), ], k: 1, }, - /* 626 - "WithGenericParameterList" */ + /* 639 - "WithGenericParameterList" */ LookaheadDFA { - prod0: 708, + prod0: 728, transitions: &[], k: 0, }, - /* 627 - "WithGenericParameterListList" */ + /* 640 - "WithGenericParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -16372,176 +16851,177 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(0, 42, 5, -1), Trans(1, 5, 4, -1), Trans(1, 42, 12, -1), - Trans(1, 112, 2, -1), - Trans(2, 5, 3, 709), - Trans(2, 31, 3, 709), - Trans(2, 35, 3, 709), - Trans(2, 42, 3, 709), - Trans(4, 42, 11, 710), - Trans(4, 112, 3, 709), + Trans(1, 113, 2, -1), + Trans(2, 5, 3, 729), + Trans(2, 31, 3, 729), + Trans(2, 35, 3, 729), + Trans(2, 42, 3, 729), + Trans(4, 42, 11, 730), + Trans(4, 113, 3, 729), Trans(5, 5, 6, -1), Trans(5, 13, 7, -1), Trans(5, 36, 8, -1), Trans(5, 39, 9, -1), Trans(5, 41, 10, -1), - Trans(6, 13, 11, 710), - Trans(6, 36, 11, 710), - Trans(6, 39, 11, 710), - Trans(6, 41, 11, 710), - Trans(7, 5, 11, 710), - Trans(7, 52, 11, 710), - Trans(7, 54, 11, 710), - Trans(7, 55, 11, 710), - Trans(7, 56, 11, 710), - Trans(7, 62, 11, 710), - Trans(7, 63, 11, 710), - Trans(7, 67, 11, 710), - Trans(7, 68, 11, 710), - Trans(7, 82, 11, 710), - Trans(7, 94, 11, 710), - Trans(7, 95, 11, 710), - Trans(7, 96, 11, 710), - Trans(7, 97, 11, 710), - Trans(7, 98, 11, 710), - Trans(7, 101, 11, 710), - Trans(7, 103, 11, 710), - Trans(7, 105, 11, 710), - Trans(7, 107, 11, 710), - Trans(7, 108, 11, 710), - Trans(7, 111, 11, 710), - Trans(7, 112, 11, 710), - Trans(8, 5, 11, 710), - Trans(8, 41, 11, 710), - Trans(9, 5, 11, 710), - Trans(9, 30, 11, 710), - Trans(9, 36, 11, 710), - Trans(9, 39, 11, 710), - Trans(9, 43, 11, 710), - Trans(9, 48, 11, 710), - Trans(9, 49, 11, 710), - Trans(9, 50, 11, 710), - Trans(9, 53, 11, 710), - Trans(9, 60, 11, 710), - Trans(9, 61, 11, 710), - Trans(9, 64, 11, 710), - Trans(9, 65, 11, 710), - Trans(9, 66, 11, 710), - Trans(9, 69, 11, 710), - Trans(9, 70, 11, 710), - Trans(9, 71, 11, 710), - Trans(9, 73, 11, 710), - Trans(9, 77, 11, 710), - Trans(9, 80, 11, 710), - Trans(9, 81, 11, 710), - Trans(9, 84, 11, 710), - Trans(9, 99, 11, 710), - Trans(9, 100, 11, 710), - Trans(9, 104, 11, 710), - Trans(9, 106, 11, 710), - Trans(9, 109, 11, 710), - Trans(9, 110, 11, 710), - Trans(9, 111, 11, 710), - Trans(9, 112, 11, 710), - Trans(10, 5, 11, 710), - Trans(10, 36, 11, 710), - Trans(10, 39, 11, 710), - Trans(10, 45, 11, 710), - Trans(10, 112, 11, 710), - Trans(12, 5, 11, 710), - Trans(12, 13, 11, 710), - Trans(12, 36, 11, 710), - Trans(12, 39, 11, 710), - Trans(12, 41, 11, 710), + Trans(6, 13, 11, 730), + Trans(6, 36, 11, 730), + Trans(6, 39, 11, 730), + Trans(6, 41, 11, 730), + Trans(7, 5, 11, 730), + Trans(7, 52, 11, 730), + Trans(7, 54, 11, 730), + Trans(7, 55, 11, 730), + Trans(7, 56, 11, 730), + Trans(7, 62, 11, 730), + Trans(7, 63, 11, 730), + Trans(7, 67, 11, 730), + Trans(7, 68, 11, 730), + Trans(7, 82, 11, 730), + Trans(7, 94, 11, 730), + Trans(7, 95, 11, 730), + Trans(7, 96, 11, 730), + Trans(7, 97, 11, 730), + Trans(7, 98, 11, 730), + Trans(7, 101, 11, 730), + Trans(7, 103, 11, 730), + Trans(7, 106, 11, 730), + Trans(7, 108, 11, 730), + Trans(7, 109, 11, 730), + Trans(7, 112, 11, 730), + Trans(7, 113, 11, 730), + Trans(8, 5, 11, 730), + Trans(8, 41, 11, 730), + Trans(9, 5, 11, 730), + Trans(9, 30, 11, 730), + Trans(9, 36, 11, 730), + Trans(9, 39, 11, 730), + Trans(9, 43, 11, 730), + Trans(9, 48, 11, 730), + Trans(9, 49, 11, 730), + Trans(9, 50, 11, 730), + Trans(9, 53, 11, 730), + Trans(9, 60, 11, 730), + Trans(9, 61, 11, 730), + Trans(9, 64, 11, 730), + Trans(9, 65, 11, 730), + Trans(9, 66, 11, 730), + Trans(9, 69, 11, 730), + Trans(9, 70, 11, 730), + Trans(9, 71, 11, 730), + Trans(9, 73, 11, 730), + Trans(9, 77, 11, 730), + Trans(9, 80, 11, 730), + Trans(9, 81, 11, 730), + Trans(9, 84, 11, 730), + Trans(9, 99, 11, 730), + Trans(9, 100, 11, 730), + Trans(9, 104, 11, 730), + Trans(9, 105, 11, 730), + Trans(9, 107, 11, 730), + Trans(9, 110, 11, 730), + Trans(9, 111, 11, 730), + Trans(9, 112, 11, 730), + Trans(9, 113, 11, 730), + Trans(10, 5, 11, 730), + Trans(10, 36, 11, 730), + Trans(10, 39, 11, 730), + Trans(10, 45, 11, 730), + Trans(10, 113, 11, 730), + Trans(12, 5, 11, 730), + Trans(12, 13, 11, 730), + Trans(12, 36, 11, 730), + Trans(12, 39, 11, 730), + Trans(12, 41, 11, 730), ], k: 3, }, - /* 628 - "WithGenericParameterListOpt" */ + /* 641 - "WithGenericParameterListOpt" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 31, 1, 711), Trans(0, 42, 2, 712)], + transitions: &[Trans(0, 31, 1, 731), Trans(0, 42, 2, 732)], k: 1, }, - /* 629 - "WithParameter" */ + /* 642 - "WithParameter" */ LookaheadDFA { - prod0: 689, + prod0: 709, transitions: &[], k: 0, }, - /* 630 - "WithParameterGroup" */ + /* 643 - "WithParameterGroup" */ LookaheadDFA { - prod0: 697, + prod0: 717, transitions: &[], k: 0, }, - /* 631 - "WithParameterGroupGroup" */ + /* 644 - "WithParameterGroupGroup" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 39, 1, 698), - Trans(0, 81, 2, 699), - Trans(0, 90, 2, 699), + Trans(0, 39, 1, 718), + Trans(0, 81, 2, 719), + Trans(0, 90, 2, 719), ], k: 1, }, - /* 632 - "WithParameterGroupList" */ + /* 645 - "WithParameterGroupList" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 700), - Trans(0, 39, 2, 701), - Trans(0, 81, 2, 701), - Trans(0, 90, 2, 701), + Trans(0, 36, 1, 720), + Trans(0, 39, 2, 721), + Trans(0, 81, 2, 721), + Trans(0, 90, 2, 721), ], k: 1, }, - /* 633 - "WithParameterItem" */ + /* 646 - "WithParameterItem" */ LookaheadDFA { - prod0: 702, + prod0: 722, transitions: &[], k: 0, }, - /* 634 - "WithParameterItemGroup" */ + /* 647 - "WithParameterItemGroup" */ LookaheadDFA { prod0: -1, - transitions: &[Trans(0, 81, 2, 706), Trans(0, 90, 1, 705)], + transitions: &[Trans(0, 81, 2, 726), Trans(0, 90, 1, 725)], k: 1, }, - /* 635 - "WithParameterItemGroup0" */ + /* 648 - "WithParameterItemGroup0" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 52, 1, 703), - Trans(0, 54, 1, 703), - Trans(0, 55, 1, 703), - Trans(0, 56, 1, 703), - Trans(0, 62, 1, 703), - Trans(0, 63, 1, 703), - Trans(0, 67, 1, 703), - Trans(0, 68, 1, 703), - Trans(0, 82, 1, 703), - Trans(0, 94, 1, 703), - Trans(0, 95, 1, 703), - Trans(0, 96, 1, 703), - Trans(0, 97, 1, 703), - Trans(0, 98, 1, 703), - Trans(0, 101, 1, 703), - Trans(0, 103, 1, 703), - Trans(0, 105, 1, 703), - Trans(0, 106, 2, 704), - Trans(0, 107, 1, 703), - Trans(0, 108, 1, 703), - Trans(0, 111, 1, 703), - Trans(0, 112, 1, 703), + Trans(0, 52, 1, 723), + Trans(0, 54, 1, 723), + Trans(0, 55, 1, 723), + Trans(0, 56, 1, 723), + Trans(0, 62, 1, 723), + Trans(0, 63, 1, 723), + Trans(0, 67, 1, 723), + Trans(0, 68, 1, 723), + Trans(0, 82, 1, 723), + Trans(0, 94, 1, 723), + Trans(0, 95, 1, 723), + Trans(0, 96, 1, 723), + Trans(0, 97, 1, 723), + Trans(0, 98, 1, 723), + Trans(0, 101, 1, 723), + Trans(0, 103, 1, 723), + Trans(0, 106, 1, 723), + Trans(0, 107, 2, 724), + Trans(0, 108, 1, 723), + Trans(0, 109, 1, 723), + Trans(0, 112, 1, 723), + Trans(0, 113, 1, 723), ], k: 1, }, - /* 636 - "WithParameterList" */ + /* 649 - "WithParameterList" */ LookaheadDFA { - prod0: 692, + prod0: 712, transitions: &[], k: 0, }, - /* 637 - "WithParameterListList" */ + /* 650 - "WithParameterListList" */ LookaheadDFA { prod0: -1, transitions: &[ @@ -16555,21 +17035,21 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(1, 45, 12, -1), Trans(1, 81, 5, -1), Trans(1, 90, 5, -1), - Trans(2, 5, 3, 693), - Trans(2, 40, 3, 693), - Trans(4, 5, 3, 693), - Trans(4, 36, 3, 693), - Trans(4, 39, 3, 693), - Trans(4, 81, 3, 693), - Trans(4, 90, 3, 693), - Trans(5, 5, 3, 693), - Trans(5, 112, 3, 693), - Trans(6, 36, 3, 693), - Trans(6, 39, 3, 693), - Trans(6, 43, 13, 694), - Trans(6, 45, 13, 694), - Trans(6, 81, 3, 693), - Trans(6, 90, 3, 693), + Trans(2, 5, 3, 713), + Trans(2, 40, 3, 713), + Trans(4, 5, 3, 713), + Trans(4, 36, 3, 713), + Trans(4, 39, 3, 713), + Trans(4, 81, 3, 713), + Trans(4, 90, 3, 713), + Trans(5, 5, 3, 713), + Trans(5, 113, 3, 713), + Trans(6, 36, 3, 713), + Trans(6, 39, 3, 713), + Trans(6, 43, 13, 714), + Trans(6, 45, 13, 714), + Trans(6, 81, 3, 713), + Trans(6, 90, 3, 713), Trans(7, 5, 9, -1), Trans(7, 31, 10, -1), Trans(7, 43, 11, -1), @@ -16577,99 +17057,99 @@ pub const LOOKAHEAD_AUTOMATA: &[LookaheadDFA; 640] = &[ Trans(8, 5, 14, -1), Trans(8, 39, 15, -1), Trans(8, 41, 16, -1), - Trans(9, 31, 13, 694), - Trans(9, 43, 13, 694), - Trans(9, 45, 13, 694), - Trans(10, 5, 13, 694), - Trans(10, 36, 13, 694), - Trans(10, 39, 13, 694), - Trans(10, 43, 13, 694), - Trans(10, 45, 13, 694), - Trans(10, 81, 13, 694), - Trans(10, 90, 13, 694), - Trans(11, 5, 13, 694), - Trans(11, 31, 13, 694), - Trans(11, 43, 13, 694), - Trans(11, 45, 13, 694), - Trans(12, 5, 13, 694), - Trans(12, 39, 13, 694), - Trans(12, 41, 13, 694), - Trans(14, 39, 13, 694), - Trans(14, 41, 13, 694), - Trans(15, 5, 13, 694), - Trans(15, 30, 13, 694), - Trans(15, 36, 13, 694), - Trans(15, 39, 13, 694), - Trans(15, 43, 13, 694), - Trans(15, 48, 13, 694), - Trans(15, 49, 13, 694), - Trans(15, 50, 13, 694), - Trans(15, 60, 13, 694), - Trans(15, 64, 13, 694), - Trans(15, 65, 13, 694), - Trans(15, 66, 13, 694), - Trans(15, 70, 13, 694), - Trans(15, 71, 13, 694), - Trans(15, 73, 13, 694), - Trans(15, 77, 13, 694), - Trans(15, 80, 13, 694), - Trans(15, 81, 13, 694), - Trans(15, 84, 13, 694), - Trans(15, 104, 13, 694), - Trans(15, 106, 13, 694), - Trans(15, 109, 13, 694), - Trans(15, 110, 13, 694), - Trans(16, 5, 13, 694), - Trans(16, 36, 13, 694), - Trans(16, 39, 13, 694), - Trans(16, 45, 13, 694), - Trans(16, 112, 13, 694), + Trans(9, 31, 13, 714), + Trans(9, 43, 13, 714), + Trans(9, 45, 13, 714), + Trans(10, 5, 13, 714), + Trans(10, 36, 13, 714), + Trans(10, 39, 13, 714), + Trans(10, 43, 13, 714), + Trans(10, 45, 13, 714), + Trans(10, 81, 13, 714), + Trans(10, 90, 13, 714), + Trans(11, 5, 13, 714), + Trans(11, 31, 13, 714), + Trans(11, 43, 13, 714), + Trans(11, 45, 13, 714), + Trans(12, 5, 13, 714), + Trans(12, 39, 13, 714), + Trans(12, 41, 13, 714), + Trans(14, 39, 13, 714), + Trans(14, 41, 13, 714), + Trans(15, 5, 13, 714), + Trans(15, 30, 13, 714), + Trans(15, 36, 13, 714), + Trans(15, 39, 13, 714), + Trans(15, 43, 13, 714), + Trans(15, 48, 13, 714), + Trans(15, 49, 13, 714), + Trans(15, 50, 13, 714), + Trans(15, 60, 13, 714), + Trans(15, 64, 13, 714), + Trans(15, 65, 13, 714), + Trans(15, 66, 13, 714), + Trans(15, 70, 13, 714), + Trans(15, 71, 13, 714), + Trans(15, 73, 13, 714), + Trans(15, 77, 13, 714), + Trans(15, 80, 13, 714), + Trans(15, 81, 13, 714), + Trans(15, 84, 13, 714), + Trans(15, 104, 13, 714), + Trans(15, 107, 13, 714), + Trans(15, 110, 13, 714), + Trans(15, 111, 13, 714), + Trans(16, 5, 13, 714), + Trans(16, 36, 13, 714), + Trans(16, 39, 13, 714), + Trans(16, 45, 13, 714), + Trans(16, 113, 13, 714), ], k: 3, }, - /* 638 - "WithParameterListOpt" */ + /* 651 - "WithParameterListOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 31, 1, 695), - Trans(0, 43, 2, 696), - Trans(0, 45, 2, 696), + Trans(0, 31, 1, 715), + Trans(0, 43, 2, 716), + Trans(0, 45, 2, 716), ], k: 1, }, - /* 639 - "WithParameterOpt" */ + /* 652 - "WithParameterOpt" */ LookaheadDFA { prod0: -1, transitions: &[ - Trans(0, 36, 1, 690), - Trans(0, 39, 1, 690), - Trans(0, 45, 2, 691), - Trans(0, 81, 1, 690), - Trans(0, 90, 1, 690), + Trans(0, 36, 1, 710), + Trans(0, 39, 1, 710), + Trans(0, 45, 2, 711), + Trans(0, 81, 1, 710), + Trans(0, 90, 1, 710), ], k: 1, }, ]; -pub const PRODUCTIONS: &[Production; 916] = &[ +pub const PRODUCTIONS: &[Production; 936] = &[ // 0 - CommentsTerm: "(?:(?:(?://.*(?:\r\n|\r|\n|$))|(?:(?ms)/\u{2a}.*?\u{2a}/))\s*)+"; Production { - lhs: 102, + lhs: 101, production: &[ParseType::T(5)], }, // 1 - StringLiteralTerm: "\u{0022}(?:\\[\u{0022}\\/bfnrt]|u[0-9a-fA-F]{4}|[^\u{0022}\\\u0000-\u001F])*\u{0022}"; Production { - lhs: 568, + lhs: 567, production: &[ParseType::T(6)], }, // 2 - ExponentTerm: /[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*[eE][+-]?[0-9]+(?:_[0-9]+)*/; Production { - lhs: 157, + lhs: 156, production: &[ParseType::T(7)], }, // 3 - FixedPointTerm: /[0-9]+(?:_[0-9]+)*\.[0-9]+(?:_[0-9]+)*/; Production { - lhs: 212, + lhs: 211, production: &[ParseType::T(8)], }, // 4 - BasedTerm: /(?:[0-9]+(?:_[0-9]+)*)?'s?[bodh][0-9a-fA-FxzXZ]+(?:_[0-9a-fA-FxzXZ]+)*/; @@ -16689,17 +17169,17 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 7 - MinusColonTerm: '-:'; Production { - lhs: 376, + lhs: 375, production: &[ParseType::T(12)], }, // 8 - MinusGTTerm: '->'; Production { - lhs: 379, + lhs: 378, production: &[ParseType::T(13)], }, // 9 - PlusColonTerm: '+:'; Production { - lhs: 476, + lhs: 475, production: &[ParseType::T(14)], }, // 10 - AssignmentOperatorTerm: "\+=|-=|\*=|/=|%=|&=|\|=|\^=|<<=|>>=|<<<=|>>>="; @@ -16709,162 +17189,162 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 11 - Operator11Term: "\*\*"; Production { - lhs: 451, + lhs: 450, production: &[ParseType::T(16)], }, // 12 - Operator10Term: "/|%"; Production { - lhs: 448, + lhs: 447, production: &[ParseType::T(17)], }, // 13 - Operator09Term: "\+|-"; Production { - lhs: 445, + lhs: 444, production: &[ParseType::T(18)], }, // 14 - Operator08Term: "<<<|>>>|<<|>>"; Production { - lhs: 442, + lhs: 441, production: &[ParseType::T(19)], }, // 15 - Operator07Term: "<=|>=|<:|>:"; Production { - lhs: 439, + lhs: 438, production: &[ParseType::T(20)], }, // 16 - Operator06Term: "===|==\?|!==|!=\?|==|!="; Production { - lhs: 436, + lhs: 435, production: &[ParseType::T(21)], }, // 17 - Operator02Term: "&&"; Production { - lhs: 424, + lhs: 423, production: &[ParseType::T(22)], }, // 18 - Operator01Term: "\|\|"; Production { - lhs: 421, + lhs: 420, production: &[ParseType::T(23)], }, // 19 - Operator05Term: "&"; Production { - lhs: 433, + lhs: 432, production: &[ParseType::T(24)], }, // 20 - Operator04Term: "\^~|\^|~\^"; Production { - lhs: 430, + lhs: 429, production: &[ParseType::T(25)], }, // 21 - Operator03Term: "\|"; Production { - lhs: 427, + lhs: 426, production: &[ParseType::T(26)], }, // 22 - UnaryOperatorTerm: "~&|~\||!|~"; Production { - lhs: 601, + lhs: 614, production: &[ParseType::T(27)], }, // 23 - ColonColonLAngleTerm: '::<'; Production { - lhs: 91, + lhs: 90, production: &[ParseType::T(28)], }, // 24 - ColonColonTerm: '::'; Production { - lhs: 93, + lhs: 92, production: &[ParseType::T(29)], }, // 25 - ColonTerm: ':'; Production { - lhs: 95, + lhs: 94, production: &[ParseType::T(30)], }, // 26 - CommaTerm: ','; Production { - lhs: 98, + lhs: 97, production: &[ParseType::T(31)], }, // 27 - DotDotEquTerm: '..='; Production { - lhs: 123, + lhs: 122, production: &[ParseType::T(32)], }, // 28 - DotDotTerm: '..'; Production { - lhs: 125, + lhs: 124, production: &[ParseType::T(33)], }, // 29 - DotTerm: '.'; Production { - lhs: 127, + lhs: 126, production: &[ParseType::T(34)], }, // 30 - EquTerm: '='; Production { - lhs: 154, + lhs: 153, production: &[ParseType::T(35)], }, // 31 - HashTerm: '#'; Production { - lhs: 233, + lhs: 232, production: &[ParseType::T(36)], }, // 32 - LAngleTerm: '<'; Production { - lhs: 348, + lhs: 347, production: &[ParseType::T(37)], }, // 33 - QuoteLBraceTerm: "'\{"; Production { - lhs: 493, + lhs: 492, production: &[ParseType::T(38)], }, // 34 - LBraceTerm: '{'; Production { - lhs: 351, + lhs: 350, production: &[ParseType::T(39)], }, // 35 - LBracketTerm: '['; Production { - lhs: 354, + lhs: 353, production: &[ParseType::T(40)], }, // 36 - LParenTerm: '('; Production { - lhs: 357, + lhs: 356, production: &[ParseType::T(41)], }, // 37 - RAngleTerm: '>'; Production { - lhs: 496, + lhs: 495, production: &[ParseType::T(42)], }, // 38 - RBraceTerm: '}'; Production { - lhs: 499, + lhs: 498, production: &[ParseType::T(43)], }, // 39 - RBracketTerm: ']'; Production { - lhs: 502, + lhs: 501, production: &[ParseType::T(44)], }, // 40 - RParenTerm: ')'; Production { - lhs: 505, + lhs: 504, production: &[ParseType::T(45)], }, // 41 - SemicolonTerm: ';'; Production { - lhs: 552, + lhs: 551, production: &[ParseType::T(46)], }, // 42 - StarTerm: '*'; Production { - lhs: 558, + lhs: 557, production: &[ParseType::T(47)], }, // 43 - AlwaysCombTerm: /(?-u:\b)always_comb(?-u:\b)/; @@ -16894,237 +17374,237 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 48 - CaseTerm: /(?-u:\b)case(?-u:\b)/; Production { - lhs: 77, + lhs: 76, production: &[ParseType::T(53)], }, // 49 - ClockTerm: /(?-u:\b)clock(?-u:\b)/; Production { - lhs: 86, + lhs: 85, production: &[ParseType::T(54)], }, // 50 - ClockPosedgeTerm: /(?-u:\b)clock_posedge(?-u:\b)/; Production { - lhs: 84, + lhs: 83, production: &[ParseType::T(55)], }, // 51 - ClockNegedgeTerm: /(?-u:\b)clock_negedge(?-u:\b)/; Production { - lhs: 81, + lhs: 80, production: &[ParseType::T(56)], }, // 52 - DefaultTerm: /(?-u:\b)default(?-u:\b)/; Production { - lhs: 109, + lhs: 108, production: &[ParseType::T(57)], }, // 53 - ElseTerm: /(?-u:\b)else(?-u:\b)/; Production { - lhs: 130, + lhs: 129, production: &[ParseType::T(58)], }, // 54 - EmbedTerm: /(?-u:\b)embed(?-u:\b)/; Production { - lhs: 139, + lhs: 138, production: &[ParseType::T(59)], }, // 55 - EnumTerm: /(?-u:\b)enum(?-u:\b)/; Production { - lhs: 151, + lhs: 150, production: &[ParseType::T(60)], }, // 56 - ExportTerm: /(?-u:\b)export(?-u:\b)/; Production { - lhs: 163, + lhs: 162, production: &[ParseType::T(61)], }, // 57 - F32Term: /(?-u:\b)f32(?-u:\b)/; Production { - lhs: 198, + lhs: 197, production: &[ParseType::T(62)], }, // 58 - F64Term: /(?-u:\b)f64(?-u:\b)/; Production { - lhs: 201, + lhs: 200, production: &[ParseType::T(63)], }, // 59 - FinalTerm: /(?-u:\b)final(?-u:\b)/; Production { - lhs: 209, + lhs: 208, production: &[ParseType::T(64)], }, // 60 - ForTerm: /(?-u:\b)for(?-u:\b)/; Production { - lhs: 219, + lhs: 218, production: &[ParseType::T(65)], }, // 61 - FunctionTerm: /(?-u:\b)function(?-u:\b)/; Production { - lhs: 230, + lhs: 229, production: &[ParseType::T(66)], }, // 62 - I32Term: /(?-u:\b)i32(?-u:\b)/; Production { - lhs: 240, + lhs: 239, production: &[ParseType::T(67)], }, // 63 - I64Term: /(?-u:\b)i64(?-u:\b)/; Production { - lhs: 243, + lhs: 242, production: &[ParseType::T(68)], }, // 64 - IfResetTerm: /(?-u:\b)if_reset(?-u:\b)/; Production { - lhs: 260, + lhs: 259, production: &[ParseType::T(69)], }, // 65 - IfTerm: /(?-u:\b)if(?-u:\b)/; Production { - lhs: 268, + lhs: 267, production: &[ParseType::T(70)], }, // 66 - ImportTerm: /(?-u:\b)import(?-u:\b)/; Production { - lhs: 273, + lhs: 272, production: &[ParseType::T(71)], }, // 67 - IncludeTerm: /(?-u:\b)include(?-u:\b)/; Production { - lhs: 280, + lhs: 279, production: &[ParseType::T(72)], }, // 68 - InitialTerm: /(?-u:\b)initial(?-u:\b)/; Production { - lhs: 285, + lhs: 284, production: &[ParseType::T(73)], }, // 69 - InoutTerm: /(?-u:\b)inout(?-u:\b)/; Production { - lhs: 288, + lhs: 287, production: &[ParseType::T(74)], }, // 70 - InputTerm: /(?-u:\b)input(?-u:\b)/; Production { - lhs: 291, + lhs: 290, production: &[ParseType::T(75)], }, // 71 - InsideTerm: /(?-u:\b)inside(?-u:\b)/; Production { - lhs: 295, + lhs: 294, production: &[ParseType::T(76)], }, // 72 - InstTerm: /(?-u:\b)inst(?-u:\b)/; Production { - lhs: 321, + lhs: 320, production: &[ParseType::T(77)], }, // 73 - InterfaceTerm: /(?-u:\b)interface(?-u:\b)/; Production { - lhs: 345, + lhs: 344, production: &[ParseType::T(78)], }, // 74 - InTerm: /(?-u:\b)in(?-u:\b)/; Production { - lhs: 276, + lhs: 275, production: &[ParseType::T(79)], }, // 75 - LetTerm: /(?-u:\b)let(?-u:\b)/; Production { - lhs: 362, + lhs: 361, production: &[ParseType::T(80)], }, // 76 - LocalTerm: /(?-u:\b)local(?-u:\b)/; Production { - lhs: 367, + lhs: 366, production: &[ParseType::T(81)], }, // 77 - LogicTerm: /(?-u:\b)logic(?-u:\b)/; Production { - lhs: 370, + lhs: 369, production: &[ParseType::T(82)], }, // 78 - LsbTerm: /(?-u:\b)lsb(?-u:\b)/; Production { - lhs: 373, + lhs: 372, production: &[ParseType::T(83)], }, // 79 - ModportTerm: /(?-u:\b)modport(?-u:\b)/; Production { - lhs: 390, + lhs: 389, production: &[ParseType::T(84)], }, // 80 - ModuleTerm: /(?-u:\b)module(?-u:\b)/; Production { - lhs: 414, + lhs: 413, production: &[ParseType::T(85)], }, // 81 - MsbTerm: /(?-u:\b)msb(?-u:\b)/; Production { - lhs: 417, + lhs: 416, production: &[ParseType::T(86)], }, // 82 - OutputTerm: /(?-u:\b)output(?-u:\b)/; Production { - lhs: 454, + lhs: 453, production: &[ParseType::T(87)], }, // 83 - OutsideTerm: /(?-u:\b)outside(?-u:\b)/; Production { - lhs: 458, + lhs: 457, production: &[ParseType::T(88)], }, // 84 - PackageTerm: /(?-u:\b)package(?-u:\b)/; Production { - lhs: 470, + lhs: 469, production: &[ParseType::T(89)], }, // 85 - ParamTerm: /(?-u:\b)param(?-u:\b)/; Production { - lhs: 473, + lhs: 472, production: &[ParseType::T(90)], }, // 86 - PubTerm: /(?-u:\b)pub(?-u:\b)/; Production { - lhs: 490, + lhs: 489, production: &[ParseType::T(91)], }, // 87 - RefTerm: /(?-u:\b)ref(?-u:\b)/; Production { - lhs: 516, + lhs: 515, production: &[ParseType::T(92)], }, // 88 - RepeatTerm: /(?-u:\b)repeat(?-u:\b)/; Production { - lhs: 519, + lhs: 518, production: &[ParseType::T(93)], }, // 89 - ResetTerm: /(?-u:\b)reset(?-u:\b)/; Production { - lhs: 534, + lhs: 533, production: &[ParseType::T(94)], }, // 90 - ResetAsyncHighTerm: /(?-u:\b)reset_async_high(?-u:\b)/; Production { - lhs: 523, + lhs: 522, production: &[ParseType::T(95)], }, // 91 - ResetAsyncLowTerm: /(?-u:\b)reset_async_low(?-u:\b)/; Production { - lhs: 526, + lhs: 525, production: &[ParseType::T(96)], }, // 92 - ResetSyncHighTerm: /(?-u:\b)reset_sync_high(?-u:\b)/; Production { - lhs: 529, + lhs: 528, production: &[ParseType::T(97)], }, // 93 - ResetSyncLowTerm: /(?-u:\b)reset_sync_low(?-u:\b)/; Production { - lhs: 532, + lhs: 531, production: &[ParseType::T(98)], }, // 94 - ReturnTerm: /(?-u:\b)return(?-u:\b)/; Production { - lhs: 538, + lhs: 537, production: &[ParseType::T(99)], }, // 95 - BreakTerm: /(?-u:\b)break(?-u:\b)/; @@ -17134,4498 +17614,4620 @@ pub const PRODUCTIONS: &[Production; 916] = &[ }, // 96 - SignedTerm: /(?-u:\b)signed(?-u:\b)/; Production { - lhs: 555, + lhs: 554, production: &[ParseType::T(101)], }, // 97 - StepTerm: /(?-u:\b)step(?-u:\b)/; Production { - lhs: 564, + lhs: 563, production: &[ParseType::T(102)], }, // 98 - StringTerm: /(?-u:\b)string(?-u:\b)/; Production { - lhs: 570, + lhs: 569, production: &[ParseType::T(103)], }, // 99 - StructTerm: /(?-u:\b)struct(?-u:\b)/; Production { - lhs: 573, + lhs: 572, production: &[ParseType::T(104)], }, - // 100 - TriTerm: /(?-u:\b)tri(?-u:\b)/; + // 100 - SwitchTerm: /(?-u:\b)switch(?-u:\b)/; Production { - lhs: 586, + lhs: 596, production: &[ParseType::T(105)], }, - // 101 - TypeTerm: /(?-u:\b)type(?-u:\b)/; + // 101 - TriTerm: /(?-u:\b)tri(?-u:\b)/; Production { - lhs: 592, + lhs: 599, production: &[ParseType::T(106)], }, - // 102 - U32Term: /(?-u:\b)u32(?-u:\b)/; + // 102 - TypeTerm: /(?-u:\b)type(?-u:\b)/; Production { - lhs: 595, + lhs: 605, production: &[ParseType::T(107)], }, - // 103 - U64Term: /(?-u:\b)u64(?-u:\b)/; + // 103 - U32Term: /(?-u:\b)u32(?-u:\b)/; Production { - lhs: 598, + lhs: 608, production: &[ParseType::T(108)], }, - // 104 - UnionTerm: /(?-u:\b)union(?-u:\b)/; + // 104 - U64Term: /(?-u:\b)u64(?-u:\b)/; Production { - lhs: 604, + lhs: 611, production: &[ParseType::T(109)], }, - // 105 - VarTerm: /(?-u:\b)var(?-u:\b)/; + // 105 - UnionTerm: /(?-u:\b)union(?-u:\b)/; Production { - lhs: 608, + lhs: 617, production: &[ParseType::T(110)], }, - // 106 - DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/; + // 106 - VarTerm: /(?-u:\b)var(?-u:\b)/; Production { - lhs: 118, + lhs: 621, production: &[ParseType::T(111)], }, - // 107 - IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/; + // 107 - DollarIdentifierTerm: /\$[a-zA-Z_][0-9a-zA-Z_$]*/; Production { - lhs: 248, + lhs: 117, production: &[ParseType::T(112)], }, - // 108 - AnyTerm: /[^{}]*/; + // 108 - IdentifierTerm: /[a-zA-Z_][0-9a-zA-Z_$]*/; Production { - lhs: 18, + lhs: 247, production: &[ParseType::T(113)], }, - // 109 - Comments: CommentsOpt /* Option */; + // 109 - AnyTerm: /[^{}]*/; Production { - lhs: 100, - production: &[ParseType::N(101)], + lhs: 18, + production: &[ParseType::T(114)], }, - // 110 - CommentsOpt: CommentsTerm; + // 110 - Comments: CommentsOpt /* Option */; Production { - lhs: 101, - production: &[ParseType::N(102)], + lhs: 99, + production: &[ParseType::N(100)], }, - // 111 - CommentsOpt: ; + // 111 - CommentsOpt: CommentsTerm; Production { - lhs: 101, + lhs: 100, + production: &[ParseType::N(101)], + }, + // 112 - CommentsOpt: ; + Production { + lhs: 100, production: &[], }, - // 112 - StartToken: Comments; + // 113 - StartToken: Comments; Production { - lhs: 561, - production: &[ParseType::N(100)], + lhs: 560, + production: &[ParseType::N(99)], }, - // 113 - StringLiteralToken: StringLiteralTerm : crate::veryl_token::Token Comments; + // 114 - StringLiteralToken: StringLiteralTerm : crate::veryl_token::Token Comments; Production { - lhs: 569, - production: &[ParseType::N(100), ParseType::N(568)], + lhs: 568, + production: &[ParseType::N(99), ParseType::N(567)], }, - // 114 - ExponentToken: ExponentTerm : crate::veryl_token::Token Comments; + // 115 - ExponentToken: ExponentTerm : crate::veryl_token::Token Comments; Production { - lhs: 158, - production: &[ParseType::N(100), ParseType::N(157)], + lhs: 157, + production: &[ParseType::N(99), ParseType::N(156)], }, - // 115 - FixedPointToken: FixedPointTerm : crate::veryl_token::Token Comments; + // 116 - FixedPointToken: FixedPointTerm : crate::veryl_token::Token Comments; Production { - lhs: 213, - production: &[ParseType::N(100), ParseType::N(212)], + lhs: 212, + production: &[ParseType::N(99), ParseType::N(211)], }, - // 116 - BasedToken: BasedTerm : crate::veryl_token::Token Comments; + // 117 - BasedToken: BasedTerm : crate::veryl_token::Token Comments; Production { lhs: 56, - production: &[ParseType::N(100), ParseType::N(55)], + production: &[ParseType::N(99), ParseType::N(55)], }, - // 117 - BaseLessToken: BaseLessTerm : crate::veryl_token::Token Comments; + // 118 - BaseLessToken: BaseLessTerm : crate::veryl_token::Token Comments; Production { lhs: 53, - production: &[ParseType::N(100), ParseType::N(52)], + production: &[ParseType::N(99), ParseType::N(52)], }, - // 118 - AllBitToken: AllBitTerm : crate::veryl_token::Token Comments; + // 119 - AllBitToken: AllBitTerm : crate::veryl_token::Token Comments; Production { lhs: 2, - production: &[ParseType::N(100), ParseType::N(1)], + production: &[ParseType::N(99), ParseType::N(1)], }, - // 119 - AssignmentOperatorToken: AssignmentOperatorTerm : crate::veryl_token::Token Comments; + // 120 - AssignmentOperatorToken: AssignmentOperatorTerm : crate::veryl_token::Token Comments; Production { lhs: 44, - production: &[ParseType::N(100), ParseType::N(43)], + production: &[ParseType::N(99), ParseType::N(43)], }, - // 120 - Operator01Token: Operator01Term : crate::veryl_token::Token Comments; + // 121 - Operator01Token: Operator01Term : crate::veryl_token::Token Comments; Production { - lhs: 422, - production: &[ParseType::N(100), ParseType::N(421)], + lhs: 421, + production: &[ParseType::N(99), ParseType::N(420)], }, - // 121 - Operator02Token: Operator02Term : crate::veryl_token::Token Comments; + // 122 - Operator02Token: Operator02Term : crate::veryl_token::Token Comments; Production { - lhs: 425, - production: &[ParseType::N(100), ParseType::N(424)], + lhs: 424, + production: &[ParseType::N(99), ParseType::N(423)], }, - // 122 - Operator03Token: Operator03Term : crate::veryl_token::Token Comments; + // 123 - Operator03Token: Operator03Term : crate::veryl_token::Token Comments; Production { - lhs: 428, - production: &[ParseType::N(100), ParseType::N(427)], + lhs: 427, + production: &[ParseType::N(99), ParseType::N(426)], }, - // 123 - Operator04Token: Operator04Term : crate::veryl_token::Token Comments; + // 124 - Operator04Token: Operator04Term : crate::veryl_token::Token Comments; Production { - lhs: 431, - production: &[ParseType::N(100), ParseType::N(430)], + lhs: 430, + production: &[ParseType::N(99), ParseType::N(429)], }, - // 124 - Operator05Token: Operator05Term : crate::veryl_token::Token Comments; + // 125 - Operator05Token: Operator05Term : crate::veryl_token::Token Comments; Production { - lhs: 434, - production: &[ParseType::N(100), ParseType::N(433)], + lhs: 433, + production: &[ParseType::N(99), ParseType::N(432)], }, - // 125 - Operator06Token: Operator06Term : crate::veryl_token::Token Comments; + // 126 - Operator06Token: Operator06Term : crate::veryl_token::Token Comments; Production { - lhs: 437, - production: &[ParseType::N(100), ParseType::N(436)], + lhs: 436, + production: &[ParseType::N(99), ParseType::N(435)], }, - // 126 - Operator07Token: Operator07Term : crate::veryl_token::Token Comments; + // 127 - Operator07Token: Operator07Term : crate::veryl_token::Token Comments; Production { - lhs: 440, - production: &[ParseType::N(100), ParseType::N(439)], + lhs: 439, + production: &[ParseType::N(99), ParseType::N(438)], }, - // 127 - Operator08Token: Operator08Term : crate::veryl_token::Token Comments; + // 128 - Operator08Token: Operator08Term : crate::veryl_token::Token Comments; Production { - lhs: 443, - production: &[ParseType::N(100), ParseType::N(442)], + lhs: 442, + production: &[ParseType::N(99), ParseType::N(441)], }, - // 128 - Operator09Token: Operator09Term : crate::veryl_token::Token Comments; + // 129 - Operator09Token: Operator09Term : crate::veryl_token::Token Comments; Production { - lhs: 446, - production: &[ParseType::N(100), ParseType::N(445)], + lhs: 445, + production: &[ParseType::N(99), ParseType::N(444)], }, - // 129 - Operator10Token: Operator10Term : crate::veryl_token::Token Comments; + // 130 - Operator10Token: Operator10Term : crate::veryl_token::Token Comments; Production { - lhs: 449, - production: &[ParseType::N(100), ParseType::N(448)], + lhs: 448, + production: &[ParseType::N(99), ParseType::N(447)], }, - // 130 - Operator11Token: Operator11Term : crate::veryl_token::Token Comments; + // 131 - Operator11Token: Operator11Term : crate::veryl_token::Token Comments; Production { - lhs: 452, - production: &[ParseType::N(100), ParseType::N(451)], + lhs: 451, + production: &[ParseType::N(99), ParseType::N(450)], }, - // 131 - UnaryOperatorToken: UnaryOperatorTerm : crate::veryl_token::Token Comments; + // 132 - UnaryOperatorToken: UnaryOperatorTerm : crate::veryl_token::Token Comments; Production { - lhs: 602, - production: &[ParseType::N(100), ParseType::N(601)], + lhs: 615, + production: &[ParseType::N(99), ParseType::N(614)], }, - // 132 - ColonToken: ColonTerm : crate::veryl_token::Token Comments; + // 133 - ColonToken: ColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 96, - production: &[ParseType::N(100), ParseType::N(95)], + lhs: 95, + production: &[ParseType::N(99), ParseType::N(94)], }, - // 133 - ColonColonLAngleToken: ColonColonLAngleTerm : crate::veryl_token::Token Comments; + // 134 - ColonColonLAngleToken: ColonColonLAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 92, - production: &[ParseType::N(100), ParseType::N(91)], + lhs: 91, + production: &[ParseType::N(99), ParseType::N(90)], }, - // 134 - ColonColonToken: ColonColonTerm : crate::veryl_token::Token Comments; + // 135 - ColonColonToken: ColonColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 94, - production: &[ParseType::N(100), ParseType::N(93)], + lhs: 93, + production: &[ParseType::N(99), ParseType::N(92)], }, - // 135 - CommaToken: CommaTerm : crate::veryl_token::Token Comments; + // 136 - CommaToken: CommaTerm : crate::veryl_token::Token Comments; Production { - lhs: 99, - production: &[ParseType::N(100), ParseType::N(98)], + lhs: 98, + production: &[ParseType::N(99), ParseType::N(97)], }, - // 136 - DotDotToken: DotDotTerm : crate::veryl_token::Token Comments; + // 137 - DotDotToken: DotDotTerm : crate::veryl_token::Token Comments; Production { - lhs: 126, - production: &[ParseType::N(100), ParseType::N(125)], + lhs: 125, + production: &[ParseType::N(99), ParseType::N(124)], }, - // 137 - DotDotEquToken: DotDotEquTerm : crate::veryl_token::Token Comments; + // 138 - DotDotEquToken: DotDotEquTerm : crate::veryl_token::Token Comments; Production { - lhs: 124, - production: &[ParseType::N(100), ParseType::N(123)], + lhs: 123, + production: &[ParseType::N(99), ParseType::N(122)], }, - // 138 - DotToken: DotTerm : crate::veryl_token::Token Comments; + // 139 - DotToken: DotTerm : crate::veryl_token::Token Comments; Production { - lhs: 128, - production: &[ParseType::N(100), ParseType::N(127)], + lhs: 127, + production: &[ParseType::N(99), ParseType::N(126)], }, - // 139 - EquToken: EquTerm : crate::veryl_token::Token Comments; + // 140 - EquToken: EquTerm : crate::veryl_token::Token Comments; Production { - lhs: 155, - production: &[ParseType::N(100), ParseType::N(154)], + lhs: 154, + production: &[ParseType::N(99), ParseType::N(153)], }, - // 140 - HashToken: HashTerm : crate::veryl_token::Token Comments; + // 141 - HashToken: HashTerm : crate::veryl_token::Token Comments; Production { - lhs: 234, - production: &[ParseType::N(100), ParseType::N(233)], + lhs: 233, + production: &[ParseType::N(99), ParseType::N(232)], }, - // 141 - QuoteLBraceToken: QuoteLBraceTerm : crate::veryl_token::Token Comments; + // 142 - QuoteLBraceToken: QuoteLBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 494, - production: &[ParseType::N(100), ParseType::N(493)], + lhs: 493, + production: &[ParseType::N(99), ParseType::N(492)], }, - // 142 - LAngleToken: LAngleTerm : crate::veryl_token::Token Comments; + // 143 - LAngleToken: LAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 349, - production: &[ParseType::N(100), ParseType::N(348)], + lhs: 348, + production: &[ParseType::N(99), ParseType::N(347)], }, - // 143 - LBraceToken: LBraceTerm : crate::veryl_token::Token Comments; + // 144 - LBraceToken: LBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 352, - production: &[ParseType::N(100), ParseType::N(351)], + lhs: 351, + production: &[ParseType::N(99), ParseType::N(350)], }, - // 144 - LBracketToken: LBracketTerm : crate::veryl_token::Token Comments; + // 145 - LBracketToken: LBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 355, - production: &[ParseType::N(100), ParseType::N(354)], + lhs: 354, + production: &[ParseType::N(99), ParseType::N(353)], }, - // 145 - LParenToken: LParenTerm : crate::veryl_token::Token Comments; + // 146 - LParenToken: LParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 358, - production: &[ParseType::N(100), ParseType::N(357)], + lhs: 357, + production: &[ParseType::N(99), ParseType::N(356)], }, - // 146 - MinusColonToken: MinusColonTerm : crate::veryl_token::Token Comments; + // 147 - MinusColonToken: MinusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 377, - production: &[ParseType::N(100), ParseType::N(376)], + lhs: 376, + production: &[ParseType::N(99), ParseType::N(375)], }, - // 147 - MinusGTToken: MinusGTTerm : crate::veryl_token::Token Comments; + // 148 - MinusGTToken: MinusGTTerm : crate::veryl_token::Token Comments; Production { - lhs: 380, - production: &[ParseType::N(100), ParseType::N(379)], + lhs: 379, + production: &[ParseType::N(99), ParseType::N(378)], }, - // 148 - PlusColonToken: PlusColonTerm : crate::veryl_token::Token Comments; + // 149 - PlusColonToken: PlusColonTerm : crate::veryl_token::Token Comments; Production { - lhs: 477, - production: &[ParseType::N(100), ParseType::N(476)], + lhs: 476, + production: &[ParseType::N(99), ParseType::N(475)], }, - // 149 - RAngleToken: RAngleTerm : crate::veryl_token::Token Comments; + // 150 - RAngleToken: RAngleTerm : crate::veryl_token::Token Comments; Production { - lhs: 497, - production: &[ParseType::N(100), ParseType::N(496)], + lhs: 496, + production: &[ParseType::N(99), ParseType::N(495)], }, - // 150 - RBraceToken: RBraceTerm : crate::veryl_token::Token Comments; + // 151 - RBraceToken: RBraceTerm : crate::veryl_token::Token Comments; Production { - lhs: 500, - production: &[ParseType::N(100), ParseType::N(499)], + lhs: 499, + production: &[ParseType::N(99), ParseType::N(498)], }, - // 151 - RBracketToken: RBracketTerm : crate::veryl_token::Token Comments; + // 152 - RBracketToken: RBracketTerm : crate::veryl_token::Token Comments; Production { - lhs: 503, - production: &[ParseType::N(100), ParseType::N(502)], + lhs: 502, + production: &[ParseType::N(99), ParseType::N(501)], }, - // 152 - RParenToken: RParenTerm : crate::veryl_token::Token Comments; + // 153 - RParenToken: RParenTerm : crate::veryl_token::Token Comments; Production { - lhs: 506, - production: &[ParseType::N(100), ParseType::N(505)], + lhs: 505, + production: &[ParseType::N(99), ParseType::N(504)], }, - // 153 - SemicolonToken: SemicolonTerm : crate::veryl_token::Token Comments; + // 154 - SemicolonToken: SemicolonTerm : crate::veryl_token::Token Comments; Production { - lhs: 553, - production: &[ParseType::N(100), ParseType::N(552)], + lhs: 552, + production: &[ParseType::N(99), ParseType::N(551)], }, - // 154 - StarToken: StarTerm : crate::veryl_token::Token Comments; + // 155 - StarToken: StarTerm : crate::veryl_token::Token Comments; Production { - lhs: 559, - production: &[ParseType::N(100), ParseType::N(558)], + lhs: 558, + production: &[ParseType::N(99), ParseType::N(557)], }, - // 155 - AlwaysCombToken: AlwaysCombTerm : crate::veryl_token::Token Comments; + // 156 - AlwaysCombToken: AlwaysCombTerm : crate::veryl_token::Token Comments; Production { lhs: 9, - production: &[ParseType::N(100), ParseType::N(8)], + production: &[ParseType::N(99), ParseType::N(8)], }, - // 156 - AlwaysFfToken: AlwaysFfTerm : crate::veryl_token::Token Comments; + // 157 - AlwaysFfToken: AlwaysFfTerm : crate::veryl_token::Token Comments; Production { lhs: 17, - production: &[ParseType::N(100), ParseType::N(16)], + production: &[ParseType::N(99), ParseType::N(16)], }, - // 157 - AsToken: AsTerm : crate::veryl_token::Token Comments; + // 158 - AsToken: AsTerm : crate::veryl_token::Token Comments; Production { lhs: 35, - production: &[ParseType::N(100), ParseType::N(34)], + production: &[ParseType::N(99), ParseType::N(34)], }, - // 158 - AssignToken: AssignTerm : crate::veryl_token::Token Comments; + // 159 - AssignToken: AssignTerm : crate::veryl_token::Token Comments; Production { lhs: 39, - production: &[ParseType::N(100), ParseType::N(38)], + production: &[ParseType::N(99), ParseType::N(38)], }, - // 159 - BitToken: BitTerm : crate::veryl_token::Token Comments; + // 160 - BitToken: BitTerm : crate::veryl_token::Token Comments; Production { lhs: 59, - production: &[ParseType::N(100), ParseType::N(58)], + production: &[ParseType::N(99), ParseType::N(58)], }, - // 160 - CaseToken: CaseTerm : crate::veryl_token::Token Comments; + // 161 - CaseToken: CaseTerm : crate::veryl_token::Token Comments; Production { - lhs: 78, - production: &[ParseType::N(100), ParseType::N(77)], + lhs: 77, + production: &[ParseType::N(99), ParseType::N(76)], }, - // 161 - ClockToken: ClockTerm : crate::veryl_token::Token Comments; + // 162 - ClockToken: ClockTerm : crate::veryl_token::Token Comments; Production { - lhs: 87, - production: &[ParseType::N(100), ParseType::N(86)], + lhs: 86, + production: &[ParseType::N(99), ParseType::N(85)], }, - // 162 - ClockPosedgeToken: ClockPosedgeTerm : crate::veryl_token::Token Comments; + // 163 - ClockPosedgeToken: ClockPosedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 85, - production: &[ParseType::N(100), ParseType::N(84)], + lhs: 84, + production: &[ParseType::N(99), ParseType::N(83)], }, - // 163 - ClockNegedgeToken: ClockNegedgeTerm : crate::veryl_token::Token Comments; + // 164 - ClockNegedgeToken: ClockNegedgeTerm : crate::veryl_token::Token Comments; Production { - lhs: 82, - production: &[ParseType::N(100), ParseType::N(81)], + lhs: 81, + production: &[ParseType::N(99), ParseType::N(80)], }, - // 164 - DefaultToken: DefaultTerm : crate::veryl_token::Token Comments; + // 165 - DefaultToken: DefaultTerm : crate::veryl_token::Token Comments; Production { - lhs: 110, - production: &[ParseType::N(100), ParseType::N(109)], + lhs: 109, + production: &[ParseType::N(99), ParseType::N(108)], }, - // 165 - ElseToken: ElseTerm : crate::veryl_token::Token Comments; + // 166 - ElseToken: ElseTerm : crate::veryl_token::Token Comments; Production { - lhs: 131, - production: &[ParseType::N(100), ParseType::N(130)], + lhs: 130, + production: &[ParseType::N(99), ParseType::N(129)], }, - // 166 - EmbedToken: EmbedTerm : crate::veryl_token::Token Comments; + // 167 - EmbedToken: EmbedTerm : crate::veryl_token::Token Comments; Production { - lhs: 140, - production: &[ParseType::N(100), ParseType::N(139)], + lhs: 139, + production: &[ParseType::N(99), ParseType::N(138)], }, - // 167 - EnumToken: EnumTerm : crate::veryl_token::Token Comments; + // 168 - EnumToken: EnumTerm : crate::veryl_token::Token Comments; Production { - lhs: 152, - production: &[ParseType::N(100), ParseType::N(151)], + lhs: 151, + production: &[ParseType::N(99), ParseType::N(150)], }, - // 168 - ExportToken: ExportTerm : crate::veryl_token::Token Comments; + // 169 - ExportToken: ExportTerm : crate::veryl_token::Token Comments; Production { - lhs: 164, - production: &[ParseType::N(100), ParseType::N(163)], + lhs: 163, + production: &[ParseType::N(99), ParseType::N(162)], }, - // 169 - F32Token: F32Term : crate::veryl_token::Token Comments; + // 170 - F32Token: F32Term : crate::veryl_token::Token Comments; Production { - lhs: 199, - production: &[ParseType::N(100), ParseType::N(198)], + lhs: 198, + production: &[ParseType::N(99), ParseType::N(197)], }, - // 170 - F64Token: F64Term : crate::veryl_token::Token Comments; + // 171 - F64Token: F64Term : crate::veryl_token::Token Comments; Production { - lhs: 202, - production: &[ParseType::N(100), ParseType::N(201)], + lhs: 201, + production: &[ParseType::N(99), ParseType::N(200)], }, - // 171 - FinalToken: FinalTerm : crate::veryl_token::Token Comments; + // 172 - FinalToken: FinalTerm : crate::veryl_token::Token Comments; Production { - lhs: 210, - production: &[ParseType::N(100), ParseType::N(209)], + lhs: 209, + production: &[ParseType::N(99), ParseType::N(208)], }, - // 172 - ForToken: ForTerm : crate::veryl_token::Token Comments; + // 173 - ForToken: ForTerm : crate::veryl_token::Token Comments; Production { - lhs: 220, - production: &[ParseType::N(100), ParseType::N(219)], + lhs: 219, + production: &[ParseType::N(99), ParseType::N(218)], }, - // 173 - FunctionToken: FunctionTerm : crate::veryl_token::Token Comments; + // 174 - FunctionToken: FunctionTerm : crate::veryl_token::Token Comments; Production { - lhs: 231, - production: &[ParseType::N(100), ParseType::N(230)], + lhs: 230, + production: &[ParseType::N(99), ParseType::N(229)], }, - // 174 - I32Token: I32Term : crate::veryl_token::Token Comments; + // 175 - I32Token: I32Term : crate::veryl_token::Token Comments; Production { - lhs: 241, - production: &[ParseType::N(100), ParseType::N(240)], + lhs: 240, + production: &[ParseType::N(99), ParseType::N(239)], }, - // 175 - I64Token: I64Term : crate::veryl_token::Token Comments; + // 176 - I64Token: I64Term : crate::veryl_token::Token Comments; Production { - lhs: 244, - production: &[ParseType::N(100), ParseType::N(243)], + lhs: 243, + production: &[ParseType::N(99), ParseType::N(242)], }, - // 176 - IfResetToken: IfResetTerm : crate::veryl_token::Token Comments; + // 177 - IfResetToken: IfResetTerm : crate::veryl_token::Token Comments; Production { - lhs: 261, - production: &[ParseType::N(100), ParseType::N(260)], + lhs: 260, + production: &[ParseType::N(99), ParseType::N(259)], }, - // 177 - IfToken: IfTerm : crate::veryl_token::Token Comments; + // 178 - IfToken: IfTerm : crate::veryl_token::Token Comments; Production { - lhs: 269, - production: &[ParseType::N(100), ParseType::N(268)], + lhs: 268, + production: &[ParseType::N(99), ParseType::N(267)], }, - // 178 - ImportToken: ImportTerm : crate::veryl_token::Token Comments; + // 179 - ImportToken: ImportTerm : crate::veryl_token::Token Comments; Production { - lhs: 274, - production: &[ParseType::N(100), ParseType::N(273)], + lhs: 273, + production: &[ParseType::N(99), ParseType::N(272)], }, - // 179 - IncludeToken: IncludeTerm : crate::veryl_token::Token Comments; + // 180 - IncludeToken: IncludeTerm : crate::veryl_token::Token Comments; Production { - lhs: 281, - production: &[ParseType::N(100), ParseType::N(280)], + lhs: 280, + production: &[ParseType::N(99), ParseType::N(279)], }, - // 180 - InitialToken: InitialTerm : crate::veryl_token::Token Comments; + // 181 - InitialToken: InitialTerm : crate::veryl_token::Token Comments; Production { - lhs: 286, - production: &[ParseType::N(100), ParseType::N(285)], + lhs: 285, + production: &[ParseType::N(99), ParseType::N(284)], }, - // 181 - InoutToken: InoutTerm : crate::veryl_token::Token Comments; + // 182 - InoutToken: InoutTerm : crate::veryl_token::Token Comments; Production { - lhs: 289, - production: &[ParseType::N(100), ParseType::N(288)], + lhs: 288, + production: &[ParseType::N(99), ParseType::N(287)], }, - // 182 - InputToken: InputTerm : crate::veryl_token::Token Comments; + // 183 - InputToken: InputTerm : crate::veryl_token::Token Comments; Production { - lhs: 292, - production: &[ParseType::N(100), ParseType::N(291)], + lhs: 291, + production: &[ParseType::N(99), ParseType::N(290)], }, - // 183 - InsideToken: InsideTerm : crate::veryl_token::Token Comments; + // 184 - InsideToken: InsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 296, - production: &[ParseType::N(100), ParseType::N(295)], + lhs: 295, + production: &[ParseType::N(99), ParseType::N(294)], }, - // 184 - InstToken: InstTerm : crate::veryl_token::Token Comments; + // 185 - InstToken: InstTerm : crate::veryl_token::Token Comments; Production { - lhs: 322, - production: &[ParseType::N(100), ParseType::N(321)], + lhs: 321, + production: &[ParseType::N(99), ParseType::N(320)], }, - // 185 - InterfaceToken: InterfaceTerm : crate::veryl_token::Token Comments; + // 186 - InterfaceToken: InterfaceTerm : crate::veryl_token::Token Comments; Production { - lhs: 346, - production: &[ParseType::N(100), ParseType::N(345)], + lhs: 345, + production: &[ParseType::N(99), ParseType::N(344)], }, - // 186 - InToken: InTerm : crate::veryl_token::Token Comments; + // 187 - InToken: InTerm : crate::veryl_token::Token Comments; Production { - lhs: 277, - production: &[ParseType::N(100), ParseType::N(276)], + lhs: 276, + production: &[ParseType::N(99), ParseType::N(275)], }, - // 187 - LetToken: LetTerm : crate::veryl_token::Token Comments; + // 188 - LetToken: LetTerm : crate::veryl_token::Token Comments; Production { - lhs: 363, - production: &[ParseType::N(100), ParseType::N(362)], + lhs: 362, + production: &[ParseType::N(99), ParseType::N(361)], }, - // 188 - LocalToken: LocalTerm : crate::veryl_token::Token Comments; + // 189 - LocalToken: LocalTerm : crate::veryl_token::Token Comments; Production { - lhs: 368, - production: &[ParseType::N(100), ParseType::N(367)], + lhs: 367, + production: &[ParseType::N(99), ParseType::N(366)], }, - // 189 - LogicToken: LogicTerm : crate::veryl_token::Token Comments; + // 190 - LogicToken: LogicTerm : crate::veryl_token::Token Comments; Production { - lhs: 371, - production: &[ParseType::N(100), ParseType::N(370)], + lhs: 370, + production: &[ParseType::N(99), ParseType::N(369)], }, - // 190 - LsbToken: LsbTerm : crate::veryl_token::Token Comments; + // 191 - LsbToken: LsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 374, - production: &[ParseType::N(100), ParseType::N(373)], + lhs: 373, + production: &[ParseType::N(99), ParseType::N(372)], }, - // 191 - ModportToken: ModportTerm : crate::veryl_token::Token Comments; + // 192 - ModportToken: ModportTerm : crate::veryl_token::Token Comments; Production { - lhs: 391, - production: &[ParseType::N(100), ParseType::N(390)], + lhs: 390, + production: &[ParseType::N(99), ParseType::N(389)], }, - // 192 - ModuleToken: ModuleTerm : crate::veryl_token::Token Comments; + // 193 - ModuleToken: ModuleTerm : crate::veryl_token::Token Comments; Production { - lhs: 415, - production: &[ParseType::N(100), ParseType::N(414)], + lhs: 414, + production: &[ParseType::N(99), ParseType::N(413)], }, - // 193 - MsbToken: MsbTerm : crate::veryl_token::Token Comments; + // 194 - MsbToken: MsbTerm : crate::veryl_token::Token Comments; Production { - lhs: 418, - production: &[ParseType::N(100), ParseType::N(417)], + lhs: 417, + production: &[ParseType::N(99), ParseType::N(416)], }, - // 194 - OutputToken: OutputTerm : crate::veryl_token::Token Comments; + // 195 - OutputToken: OutputTerm : crate::veryl_token::Token Comments; Production { - lhs: 455, - production: &[ParseType::N(100), ParseType::N(454)], + lhs: 454, + production: &[ParseType::N(99), ParseType::N(453)], }, - // 195 - OutsideToken: OutsideTerm : crate::veryl_token::Token Comments; + // 196 - OutsideToken: OutsideTerm : crate::veryl_token::Token Comments; Production { - lhs: 459, - production: &[ParseType::N(100), ParseType::N(458)], + lhs: 458, + production: &[ParseType::N(99), ParseType::N(457)], }, - // 196 - PackageToken: PackageTerm : crate::veryl_token::Token Comments; + // 197 - PackageToken: PackageTerm : crate::veryl_token::Token Comments; Production { - lhs: 471, - production: &[ParseType::N(100), ParseType::N(470)], + lhs: 470, + production: &[ParseType::N(99), ParseType::N(469)], }, - // 197 - ParamToken: ParamTerm : crate::veryl_token::Token Comments; + // 198 - ParamToken: ParamTerm : crate::veryl_token::Token Comments; Production { - lhs: 474, - production: &[ParseType::N(100), ParseType::N(473)], + lhs: 473, + production: &[ParseType::N(99), ParseType::N(472)], }, - // 198 - PubToken: PubTerm : crate::veryl_token::Token Comments; + // 199 - PubToken: PubTerm : crate::veryl_token::Token Comments; Production { - lhs: 491, - production: &[ParseType::N(100), ParseType::N(490)], + lhs: 490, + production: &[ParseType::N(99), ParseType::N(489)], }, - // 199 - RefToken: RefTerm : crate::veryl_token::Token Comments; + // 200 - RefToken: RefTerm : crate::veryl_token::Token Comments; Production { - lhs: 517, - production: &[ParseType::N(100), ParseType::N(516)], + lhs: 516, + production: &[ParseType::N(99), ParseType::N(515)], }, - // 200 - RepeatToken: RepeatTerm : crate::veryl_token::Token Comments; + // 201 - RepeatToken: RepeatTerm : crate::veryl_token::Token Comments; Production { - lhs: 520, - production: &[ParseType::N(100), ParseType::N(519)], + lhs: 519, + production: &[ParseType::N(99), ParseType::N(518)], }, - // 201 - ResetToken: ResetTerm : crate::veryl_token::Token Comments; + // 202 - ResetToken: ResetTerm : crate::veryl_token::Token Comments; Production { - lhs: 535, - production: &[ParseType::N(100), ParseType::N(534)], + lhs: 534, + production: &[ParseType::N(99), ParseType::N(533)], }, - // 202 - ResetAsyncHighToken: ResetAsyncHighTerm : crate::veryl_token::Token Comments; + // 203 - ResetAsyncHighToken: ResetAsyncHighTerm : crate::veryl_token::Token Comments; Production { - lhs: 524, - production: &[ParseType::N(100), ParseType::N(523)], + lhs: 523, + production: &[ParseType::N(99), ParseType::N(522)], }, - // 203 - ResetAsyncLowToken: ResetAsyncLowTerm : crate::veryl_token::Token Comments; + // 204 - ResetAsyncLowToken: ResetAsyncLowTerm : crate::veryl_token::Token Comments; Production { - lhs: 527, - production: &[ParseType::N(100), ParseType::N(526)], + lhs: 526, + production: &[ParseType::N(99), ParseType::N(525)], }, - // 204 - ResetSyncHighToken: ResetSyncHighTerm : crate::veryl_token::Token Comments; + // 205 - ResetSyncHighToken: ResetSyncHighTerm : crate::veryl_token::Token Comments; Production { - lhs: 530, - production: &[ParseType::N(100), ParseType::N(529)], + lhs: 529, + production: &[ParseType::N(99), ParseType::N(528)], }, - // 205 - ResetSyncLowToken: ResetSyncLowTerm : crate::veryl_token::Token Comments; + // 206 - ResetSyncLowToken: ResetSyncLowTerm : crate::veryl_token::Token Comments; Production { - lhs: 533, - production: &[ParseType::N(100), ParseType::N(532)], + lhs: 532, + production: &[ParseType::N(99), ParseType::N(531)], }, - // 206 - ReturnToken: ReturnTerm : crate::veryl_token::Token Comments; + // 207 - ReturnToken: ReturnTerm : crate::veryl_token::Token Comments; Production { - lhs: 539, - production: &[ParseType::N(100), ParseType::N(538)], + lhs: 538, + production: &[ParseType::N(99), ParseType::N(537)], }, - // 207 - BreakToken: BreakTerm : crate::veryl_token::Token Comments; + // 208 - BreakToken: BreakTerm : crate::veryl_token::Token Comments; Production { lhs: 63, - production: &[ParseType::N(100), ParseType::N(62)], + production: &[ParseType::N(99), ParseType::N(62)], }, - // 208 - SignedToken: SignedTerm : crate::veryl_token::Token Comments; + // 209 - SignedToken: SignedTerm : crate::veryl_token::Token Comments; Production { - lhs: 556, - production: &[ParseType::N(100), ParseType::N(555)], + lhs: 555, + production: &[ParseType::N(99), ParseType::N(554)], }, - // 209 - StepToken: StepTerm : crate::veryl_token::Token Comments; + // 210 - StepToken: StepTerm : crate::veryl_token::Token Comments; Production { - lhs: 565, - production: &[ParseType::N(100), ParseType::N(564)], + lhs: 564, + production: &[ParseType::N(99), ParseType::N(563)], }, - // 210 - StringToken: StringTerm : crate::veryl_token::Token Comments; + // 211 - StringToken: StringTerm : crate::veryl_token::Token Comments; Production { - lhs: 571, - production: &[ParseType::N(100), ParseType::N(570)], + lhs: 570, + production: &[ParseType::N(99), ParseType::N(569)], }, - // 211 - StructToken: StructTerm : crate::veryl_token::Token Comments; + // 212 - StructToken: StructTerm : crate::veryl_token::Token Comments; Production { - lhs: 574, - production: &[ParseType::N(100), ParseType::N(573)], + lhs: 573, + production: &[ParseType::N(99), ParseType::N(572)], }, - // 212 - TriToken: TriTerm : crate::veryl_token::Token Comments; + // 213 - SwitchToken: SwitchTerm : crate::veryl_token::Token Comments; Production { - lhs: 587, - production: &[ParseType::N(100), ParseType::N(586)], + lhs: 597, + production: &[ParseType::N(99), ParseType::N(596)], }, - // 213 - TypeToken: TypeTerm : crate::veryl_token::Token Comments; + // 214 - TriToken: TriTerm : crate::veryl_token::Token Comments; Production { - lhs: 593, - production: &[ParseType::N(100), ParseType::N(592)], + lhs: 600, + production: &[ParseType::N(99), ParseType::N(599)], }, - // 214 - U32Token: U32Term : crate::veryl_token::Token Comments; + // 215 - TypeToken: TypeTerm : crate::veryl_token::Token Comments; Production { - lhs: 596, - production: &[ParseType::N(100), ParseType::N(595)], + lhs: 606, + production: &[ParseType::N(99), ParseType::N(605)], }, - // 215 - U64Token: U64Term : crate::veryl_token::Token Comments; + // 216 - U32Token: U32Term : crate::veryl_token::Token Comments; Production { - lhs: 599, - production: &[ParseType::N(100), ParseType::N(598)], + lhs: 609, + production: &[ParseType::N(99), ParseType::N(608)], }, - // 216 - UnionToken: UnionTerm : crate::veryl_token::Token Comments; + // 217 - U64Token: U64Term : crate::veryl_token::Token Comments; Production { - lhs: 605, - production: &[ParseType::N(100), ParseType::N(604)], + lhs: 612, + production: &[ParseType::N(99), ParseType::N(611)], }, - // 217 - VarToken: VarTerm : crate::veryl_token::Token Comments; + // 218 - UnionToken: UnionTerm : crate::veryl_token::Token Comments; Production { - lhs: 609, - production: &[ParseType::N(100), ParseType::N(608)], + lhs: 618, + production: &[ParseType::N(99), ParseType::N(617)], }, - // 218 - DollarIdentifierToken: DollarIdentifierTerm : crate::veryl_token::Token Comments; + // 219 - VarToken: VarTerm : crate::veryl_token::Token Comments; Production { - lhs: 119, - production: &[ParseType::N(100), ParseType::N(118)], + lhs: 622, + production: &[ParseType::N(99), ParseType::N(621)], }, - // 219 - IdentifierToken: IdentifierTerm : crate::veryl_token::Token Comments; + // 220 - DollarIdentifierToken: DollarIdentifierTerm : crate::veryl_token::Token Comments; Production { - lhs: 249, - production: &[ParseType::N(100), ParseType::N(248)], + lhs: 118, + production: &[ParseType::N(99), ParseType::N(117)], }, - // 220 - Start: StartToken : crate::veryl_token::VerylToken ; + // 221 - IdentifierToken: IdentifierTerm : crate::veryl_token::Token Comments; Production { - lhs: 560, - production: &[ParseType::N(561)], + lhs: 248, + production: &[ParseType::N(99), ParseType::N(247)], }, - // 221 - StringLiteral: StringLiteralToken : crate::veryl_token::VerylToken ; + // 222 - Start: StartToken : crate::veryl_token::VerylToken ; Production { - lhs: 567, - production: &[ParseType::N(569)], + lhs: 559, + production: &[ParseType::N(560)], }, - // 222 - Exponent: ExponentToken : crate::veryl_token::VerylToken ; + // 223 - StringLiteral: StringLiteralToken : crate::veryl_token::VerylToken ; Production { - lhs: 156, - production: &[ParseType::N(158)], + lhs: 566, + production: &[ParseType::N(568)], }, - // 223 - FixedPoint: FixedPointToken : crate::veryl_token::VerylToken ; + // 224 - Exponent: ExponentToken : crate::veryl_token::VerylToken ; Production { - lhs: 211, - production: &[ParseType::N(213)], + lhs: 155, + production: &[ParseType::N(157)], + }, + // 225 - FixedPoint: FixedPointToken : crate::veryl_token::VerylToken ; + Production { + lhs: 210, + production: &[ParseType::N(212)], }, - // 224 - Based: BasedToken : crate::veryl_token::VerylToken ; + // 226 - Based: BasedToken : crate::veryl_token::VerylToken ; Production { lhs: 54, production: &[ParseType::N(56)], }, - // 225 - BaseLess: BaseLessToken : crate::veryl_token::VerylToken ; + // 227 - BaseLess: BaseLessToken : crate::veryl_token::VerylToken ; Production { lhs: 51, production: &[ParseType::N(53)], }, - // 226 - AllBit: AllBitToken : crate::veryl_token::VerylToken ; + // 228 - AllBit: AllBitToken : crate::veryl_token::VerylToken ; Production { lhs: 0, production: &[ParseType::N(2)], }, - // 227 - AssignmentOperator: AssignmentOperatorToken : crate::veryl_token::VerylToken ; + // 229 - AssignmentOperator: AssignmentOperatorToken : crate::veryl_token::VerylToken ; Production { lhs: 42, production: &[ParseType::N(44)], }, - // 228 - Operator01: Operator01Token : crate::veryl_token::VerylToken ; + // 230 - Operator01: Operator01Token : crate::veryl_token::VerylToken ; Production { - lhs: 420, - production: &[ParseType::N(422)], + lhs: 419, + production: &[ParseType::N(421)], }, - // 229 - Operator02: Operator02Token : crate::veryl_token::VerylToken ; + // 231 - Operator02: Operator02Token : crate::veryl_token::VerylToken ; Production { - lhs: 423, - production: &[ParseType::N(425)], + lhs: 422, + production: &[ParseType::N(424)], }, - // 230 - Operator03: Operator03Token : crate::veryl_token::VerylToken ; + // 232 - Operator03: Operator03Token : crate::veryl_token::VerylToken ; Production { - lhs: 426, - production: &[ParseType::N(428)], + lhs: 425, + production: &[ParseType::N(427)], }, - // 231 - Operator04: Operator04Token : crate::veryl_token::VerylToken ; + // 233 - Operator04: Operator04Token : crate::veryl_token::VerylToken ; Production { - lhs: 429, - production: &[ParseType::N(431)], + lhs: 428, + production: &[ParseType::N(430)], }, - // 232 - Operator05: Operator05Token : crate::veryl_token::VerylToken ; + // 234 - Operator05: Operator05Token : crate::veryl_token::VerylToken ; Production { - lhs: 432, - production: &[ParseType::N(434)], + lhs: 431, + production: &[ParseType::N(433)], }, - // 233 - Operator06: Operator06Token : crate::veryl_token::VerylToken ; + // 235 - Operator06: Operator06Token : crate::veryl_token::VerylToken ; Production { - lhs: 435, - production: &[ParseType::N(437)], + lhs: 434, + production: &[ParseType::N(436)], }, - // 234 - Operator07: Operator07Token : crate::veryl_token::VerylToken ; + // 236 - Operator07: Operator07Token : crate::veryl_token::VerylToken ; Production { - lhs: 438, - production: &[ParseType::N(440)], + lhs: 437, + production: &[ParseType::N(439)], }, - // 235 - Operator08: Operator08Token : crate::veryl_token::VerylToken ; + // 237 - Operator08: Operator08Token : crate::veryl_token::VerylToken ; Production { - lhs: 441, - production: &[ParseType::N(443)], + lhs: 440, + production: &[ParseType::N(442)], }, - // 236 - Operator09: Operator09Token : crate::veryl_token::VerylToken ; + // 238 - Operator09: Operator09Token : crate::veryl_token::VerylToken ; Production { - lhs: 444, - production: &[ParseType::N(446)], + lhs: 443, + production: &[ParseType::N(445)], }, - // 237 - Operator10: Operator10Token : crate::veryl_token::VerylToken ; + // 239 - Operator10: Operator10Token : crate::veryl_token::VerylToken ; Production { - lhs: 447, - production: &[ParseType::N(449)], + lhs: 446, + production: &[ParseType::N(448)], }, - // 238 - Operator11: Operator11Token : crate::veryl_token::VerylToken ; + // 240 - Operator11: Operator11Token : crate::veryl_token::VerylToken ; Production { - lhs: 450, - production: &[ParseType::N(452)], + lhs: 449, + production: &[ParseType::N(451)], }, - // 239 - UnaryOperator: UnaryOperatorToken : crate::veryl_token::VerylToken ; + // 241 - UnaryOperator: UnaryOperatorToken : crate::veryl_token::VerylToken ; Production { - lhs: 600, - production: &[ParseType::N(602)], + lhs: 613, + production: &[ParseType::N(615)], }, - // 240 - Colon: ColonToken : crate::veryl_token::VerylToken ; + // 242 - Colon: ColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 88, - production: &[ParseType::N(96)], + lhs: 87, + production: &[ParseType::N(95)], }, - // 241 - ColonColonLAngle: ColonColonLAngleToken : crate::veryl_token::VerylToken ; + // 243 - ColonColonLAngle: ColonColonLAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 90, - production: &[ParseType::N(92)], + lhs: 89, + production: &[ParseType::N(91)], }, - // 242 - ColonColon: ColonColonToken : crate::veryl_token::VerylToken ; + // 244 - ColonColon: ColonColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 89, - production: &[ParseType::N(94)], + lhs: 88, + production: &[ParseType::N(93)], }, - // 243 - Comma: CommaToken : crate::veryl_token::VerylToken ; + // 245 - Comma: CommaToken : crate::veryl_token::VerylToken ; Production { - lhs: 97, - production: &[ParseType::N(99)], + lhs: 96, + production: &[ParseType::N(98)], }, - // 244 - DotDot: DotDotToken : crate::veryl_token::VerylToken ; + // 246 - DotDot: DotDotToken : crate::veryl_token::VerylToken ; Production { - lhs: 121, - production: &[ParseType::N(126)], + lhs: 120, + production: &[ParseType::N(125)], }, - // 245 - DotDotEqu: DotDotEquToken : crate::veryl_token::VerylToken ; + // 247 - DotDotEqu: DotDotEquToken : crate::veryl_token::VerylToken ; Production { - lhs: 122, - production: &[ParseType::N(124)], + lhs: 121, + production: &[ParseType::N(123)], }, - // 246 - Dot: DotToken : crate::veryl_token::VerylToken ; + // 248 - Dot: DotToken : crate::veryl_token::VerylToken ; Production { - lhs: 120, - production: &[ParseType::N(128)], + lhs: 119, + production: &[ParseType::N(127)], }, - // 247 - Equ: EquToken : crate::veryl_token::VerylToken ; + // 249 - Equ: EquToken : crate::veryl_token::VerylToken ; Production { - lhs: 153, - production: &[ParseType::N(155)], + lhs: 152, + production: &[ParseType::N(154)], }, - // 248 - Hash: HashToken : crate::veryl_token::VerylToken ; + // 250 - Hash: HashToken : crate::veryl_token::VerylToken ; Production { - lhs: 232, - production: &[ParseType::N(234)], + lhs: 231, + production: &[ParseType::N(233)], }, - // 249 - QuoteLBrace: QuoteLBraceToken : crate::veryl_token::VerylToken ; + // 251 - QuoteLBrace: QuoteLBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 492, - production: &[ParseType::N(494)], + lhs: 491, + production: &[ParseType::N(493)], }, - // 250 - LAngle: LAngleToken : crate::veryl_token::VerylToken ; + // 252 - LAngle: LAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 347, - production: &[ParseType::N(349)], + lhs: 346, + production: &[ParseType::N(348)], }, - // 251 - LBrace: LBraceToken : crate::veryl_token::VerylToken ; + // 253 - LBrace: LBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 350, - production: &[ParseType::N(352)], + lhs: 349, + production: &[ParseType::N(351)], }, - // 252 - LBracket: LBracketToken : crate::veryl_token::VerylToken ; + // 254 - LBracket: LBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 353, - production: &[ParseType::N(355)], + lhs: 352, + production: &[ParseType::N(354)], }, - // 253 - LParen: LParenToken : crate::veryl_token::VerylToken ; + // 255 - LParen: LParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 356, - production: &[ParseType::N(358)], + lhs: 355, + production: &[ParseType::N(357)], }, - // 254 - MinusColon: MinusColonToken : crate::veryl_token::VerylToken ; + // 256 - MinusColon: MinusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 375, - production: &[ParseType::N(377)], + lhs: 374, + production: &[ParseType::N(376)], }, - // 255 - MinusGT: MinusGTToken : crate::veryl_token::VerylToken ; + // 257 - MinusGT: MinusGTToken : crate::veryl_token::VerylToken ; Production { - lhs: 378, - production: &[ParseType::N(380)], + lhs: 377, + production: &[ParseType::N(379)], }, - // 256 - PlusColon: PlusColonToken : crate::veryl_token::VerylToken ; + // 258 - PlusColon: PlusColonToken : crate::veryl_token::VerylToken ; Production { - lhs: 475, - production: &[ParseType::N(477)], + lhs: 474, + production: &[ParseType::N(476)], }, - // 257 - RAngle: RAngleToken : crate::veryl_token::VerylToken ; + // 259 - RAngle: RAngleToken : crate::veryl_token::VerylToken ; Production { - lhs: 495, - production: &[ParseType::N(497)], + lhs: 494, + production: &[ParseType::N(496)], }, - // 258 - RBrace: RBraceToken : crate::veryl_token::VerylToken ; + // 260 - RBrace: RBraceToken : crate::veryl_token::VerylToken ; Production { - lhs: 498, - production: &[ParseType::N(500)], + lhs: 497, + production: &[ParseType::N(499)], }, - // 259 - RBracket: RBracketToken : crate::veryl_token::VerylToken ; + // 261 - RBracket: RBracketToken : crate::veryl_token::VerylToken ; Production { - lhs: 501, - production: &[ParseType::N(503)], + lhs: 500, + production: &[ParseType::N(502)], }, - // 260 - RParen: RParenToken : crate::veryl_token::VerylToken ; + // 262 - RParen: RParenToken : crate::veryl_token::VerylToken ; Production { - lhs: 504, - production: &[ParseType::N(506)], + lhs: 503, + production: &[ParseType::N(505)], }, - // 261 - Semicolon: SemicolonToken : crate::veryl_token::VerylToken ; + // 263 - Semicolon: SemicolonToken : crate::veryl_token::VerylToken ; Production { - lhs: 551, - production: &[ParseType::N(553)], + lhs: 550, + production: &[ParseType::N(552)], }, - // 262 - Star: StarToken : crate::veryl_token::VerylToken ; + // 264 - Star: StarToken : crate::veryl_token::VerylToken ; Production { - lhs: 557, - production: &[ParseType::N(559)], + lhs: 556, + production: &[ParseType::N(558)], }, - // 263 - AlwaysComb: AlwaysCombToken : crate::veryl_token::VerylToken ; + // 265 - AlwaysComb: AlwaysCombToken : crate::veryl_token::VerylToken ; Production { lhs: 5, production: &[ParseType::N(9)], }, - // 264 - AlwaysFf: AlwaysFfToken : crate::veryl_token::VerylToken ; + // 266 - AlwaysFf: AlwaysFfToken : crate::veryl_token::VerylToken ; Production { lhs: 10, production: &[ParseType::N(17)], }, - // 265 - As: AsToken : crate::veryl_token::VerylToken ; + // 267 - As: AsToken : crate::veryl_token::VerylToken ; Production { lhs: 33, production: &[ParseType::N(35)], }, - // 266 - Assign: AssignToken : crate::veryl_token::VerylToken ; + // 268 - Assign: AssignToken : crate::veryl_token::VerylToken ; Production { lhs: 36, production: &[ParseType::N(39)], }, - // 267 - Bit: BitToken : crate::veryl_token::VerylToken ; + // 269 - Bit: BitToken : crate::veryl_token::VerylToken ; Production { lhs: 57, production: &[ParseType::N(59)], }, - // 268 - Break: BreakToken : crate::veryl_token::VerylToken ; + // 270 - Break: BreakToken : crate::veryl_token::VerylToken ; Production { lhs: 60, production: &[ParseType::N(63)], }, - // 269 - Case: CaseToken : crate::veryl_token::VerylToken ; + // 271 - Case: CaseToken : crate::veryl_token::VerylToken ; Production { lhs: 64, - production: &[ParseType::N(78)], + production: &[ParseType::N(77)], }, - // 270 - Clock: ClockToken : crate::veryl_token::VerylToken ; + // 272 - Clock: ClockToken : crate::veryl_token::VerylToken ; Production { - lhs: 79, - production: &[ParseType::N(87)], + lhs: 78, + production: &[ParseType::N(86)], }, - // 271 - ClockPosedge: ClockPosedgeToken : crate::veryl_token::VerylToken ; + // 273 - ClockPosedge: ClockPosedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 83, - production: &[ParseType::N(85)], + lhs: 82, + production: &[ParseType::N(84)], }, - // 272 - ClockNegedge: ClockNegedgeToken : crate::veryl_token::VerylToken ; + // 274 - ClockNegedge: ClockNegedgeToken : crate::veryl_token::VerylToken ; Production { - lhs: 80, - production: &[ParseType::N(82)], + lhs: 79, + production: &[ParseType::N(81)], }, - // 273 - Defaul: DefaultToken : crate::veryl_token::VerylToken ; + // 275 - Defaul: DefaultToken : crate::veryl_token::VerylToken ; Production { - lhs: 108, - production: &[ParseType::N(110)], + lhs: 107, + production: &[ParseType::N(109)], }, - // 274 - Else: ElseToken : crate::veryl_token::VerylToken ; + // 276 - Else: ElseToken : crate::veryl_token::VerylToken ; Production { - lhs: 129, - production: &[ParseType::N(131)], + lhs: 128, + production: &[ParseType::N(130)], }, - // 275 - Embed: EmbedToken : crate::veryl_token::VerylToken ; + // 277 - Embed: EmbedToken : crate::veryl_token::VerylToken ; Production { - lhs: 132, - production: &[ParseType::N(140)], + lhs: 131, + production: &[ParseType::N(139)], }, - // 276 - Enum: EnumToken : crate::veryl_token::VerylToken ; + // 278 - Enum: EnumToken : crate::veryl_token::VerylToken ; Production { - lhs: 141, - production: &[ParseType::N(152)], + lhs: 140, + production: &[ParseType::N(151)], }, - // 277 - Export: ExportToken : crate::veryl_token::VerylToken ; + // 279 - Export: ExportToken : crate::veryl_token::VerylToken ; Production { - lhs: 159, - production: &[ParseType::N(164)], + lhs: 158, + production: &[ParseType::N(163)], }, - // 278 - F32: F32Token : crate::veryl_token::VerylToken ; + // 280 - F32: F32Token : crate::veryl_token::VerylToken ; Production { - lhs: 197, - production: &[ParseType::N(199)], + lhs: 196, + production: &[ParseType::N(198)], }, - // 279 - F64: F64Token : crate::veryl_token::VerylToken ; + // 281 - F64: F64Token : crate::veryl_token::VerylToken ; Production { - lhs: 200, - production: &[ParseType::N(202)], + lhs: 199, + production: &[ParseType::N(201)], }, - // 280 - Final: FinalToken : crate::veryl_token::VerylToken ; + // 282 - Final: FinalToken : crate::veryl_token::VerylToken ; Production { - lhs: 206, - production: &[ParseType::N(210)], + lhs: 205, + production: &[ParseType::N(209)], }, - // 281 - For: ForToken : crate::veryl_token::VerylToken ; + // 283 - For: ForToken : crate::veryl_token::VerylToken ; Production { - lhs: 215, - production: &[ParseType::N(220)], + lhs: 214, + production: &[ParseType::N(219)], }, - // 282 - Function: FunctionToken : crate::veryl_token::VerylToken ; + // 284 - Function: FunctionToken : crate::veryl_token::VerylToken ; Production { - lhs: 221, - production: &[ParseType::N(231)], + lhs: 220, + production: &[ParseType::N(230)], }, - // 283 - I32: I32Token : crate::veryl_token::VerylToken ; + // 285 - I32: I32Token : crate::veryl_token::VerylToken ; Production { - lhs: 239, - production: &[ParseType::N(241)], + lhs: 238, + production: &[ParseType::N(240)], }, - // 284 - I64: I64Token : crate::veryl_token::VerylToken ; + // 286 - I64: I64Token : crate::veryl_token::VerylToken ; Production { - lhs: 242, - production: &[ParseType::N(244)], + lhs: 241, + production: &[ParseType::N(243)], }, - // 285 - If: IfToken : crate::veryl_token::VerylToken ; + // 287 - If: IfToken : crate::veryl_token::VerylToken ; Production { - lhs: 250, - production: &[ParseType::N(269)], + lhs: 249, + production: &[ParseType::N(268)], }, - // 286 - IfReset: IfResetToken : crate::veryl_token::VerylToken ; + // 288 - IfReset: IfResetToken : crate::veryl_token::VerylToken ; Production { - lhs: 253, - production: &[ParseType::N(261)], + lhs: 252, + production: &[ParseType::N(260)], }, - // 287 - Import: ImportToken : crate::veryl_token::VerylToken ; + // 289 - Import: ImportToken : crate::veryl_token::VerylToken ; Production { - lhs: 270, - production: &[ParseType::N(274)], + lhs: 269, + production: &[ParseType::N(273)], }, - // 288 - In: InToken : crate::veryl_token::VerylToken ; + // 290 - In: InToken : crate::veryl_token::VerylToken ; Production { - lhs: 275, - production: &[ParseType::N(277)], + lhs: 274, + production: &[ParseType::N(276)], }, - // 289 - Include: IncludeToken : crate::veryl_token::VerylToken ; + // 291 - Include: IncludeToken : crate::veryl_token::VerylToken ; Production { - lhs: 278, - production: &[ParseType::N(281)], + lhs: 277, + production: &[ParseType::N(280)], }, - // 290 - Initial: InitialToken : crate::veryl_token::VerylToken ; + // 292 - Initial: InitialToken : crate::veryl_token::VerylToken ; Production { - lhs: 282, - production: &[ParseType::N(286)], + lhs: 281, + production: &[ParseType::N(285)], }, - // 291 - Inout: InoutToken : crate::veryl_token::VerylToken ; + // 293 - Inout: InoutToken : crate::veryl_token::VerylToken ; Production { - lhs: 287, - production: &[ParseType::N(289)], + lhs: 286, + production: &[ParseType::N(288)], }, - // 292 - Input: InputToken : crate::veryl_token::VerylToken ; + // 294 - Input: InputToken : crate::veryl_token::VerylToken ; Production { - lhs: 290, - production: &[ParseType::N(292)], + lhs: 289, + production: &[ParseType::N(291)], }, - // 293 - Inside: InsideToken : crate::veryl_token::VerylToken ; + // 295 - Inside: InsideToken : crate::veryl_token::VerylToken ; Production { - lhs: 293, - production: &[ParseType::N(296)], + lhs: 292, + production: &[ParseType::N(295)], }, - // 294 - Inst: InstToken : crate::veryl_token::VerylToken ; + // 296 - Inst: InstToken : crate::veryl_token::VerylToken ; Production { - lhs: 297, - production: &[ParseType::N(322)], + lhs: 296, + production: &[ParseType::N(321)], }, - // 295 - Interface: InterfaceToken : crate::veryl_token::VerylToken ; + // 297 - Interface: InterfaceToken : crate::veryl_token::VerylToken ; Production { - lhs: 324, - production: &[ParseType::N(346)], + lhs: 323, + production: &[ParseType::N(345)], }, - // 296 - Let: LetToken : crate::veryl_token::VerylToken ; + // 298 - Let: LetToken : crate::veryl_token::VerylToken ; Production { - lhs: 359, - production: &[ParseType::N(363)], + lhs: 358, + production: &[ParseType::N(362)], }, - // 297 - Local: LocalToken : crate::veryl_token::VerylToken ; + // 299 - Local: LocalToken : crate::veryl_token::VerylToken ; Production { - lhs: 364, - production: &[ParseType::N(368)], + lhs: 363, + production: &[ParseType::N(367)], }, - // 298 - Logic: LogicToken : crate::veryl_token::VerylToken ; + // 300 - Logic: LogicToken : crate::veryl_token::VerylToken ; Production { - lhs: 369, - production: &[ParseType::N(371)], + lhs: 368, + production: &[ParseType::N(370)], }, - // 299 - Lsb: LsbToken : crate::veryl_token::VerylToken ; + // 301 - Lsb: LsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 372, - production: &[ParseType::N(374)], + lhs: 371, + production: &[ParseType::N(373)], }, - // 300 - Modport: ModportToken : crate::veryl_token::VerylToken ; + // 302 - Modport: ModportToken : crate::veryl_token::VerylToken ; Production { - lhs: 381, - production: &[ParseType::N(391)], + lhs: 380, + production: &[ParseType::N(390)], }, - // 301 - Module: ModuleToken : crate::veryl_token::VerylToken ; + // 303 - Module: ModuleToken : crate::veryl_token::VerylToken ; Production { - lhs: 392, - production: &[ParseType::N(415)], + lhs: 391, + production: &[ParseType::N(414)], }, - // 302 - Msb: MsbToken : crate::veryl_token::VerylToken ; + // 304 - Msb: MsbToken : crate::veryl_token::VerylToken ; Production { - lhs: 416, - production: &[ParseType::N(418)], + lhs: 415, + production: &[ParseType::N(417)], }, - // 303 - Output: OutputToken : crate::veryl_token::VerylToken ; + // 305 - Output: OutputToken : crate::veryl_token::VerylToken ; Production { - lhs: 453, - production: &[ParseType::N(455)], + lhs: 452, + production: &[ParseType::N(454)], }, - // 304 - Outside: OutsideToken : crate::veryl_token::VerylToken ; + // 306 - Outside: OutsideToken : crate::veryl_token::VerylToken ; Production { - lhs: 456, - production: &[ParseType::N(459)], + lhs: 455, + production: &[ParseType::N(458)], }, - // 305 - Package: PackageToken : crate::veryl_token::VerylToken ; + // 307 - Package: PackageToken : crate::veryl_token::VerylToken ; Production { - lhs: 460, - production: &[ParseType::N(471)], + lhs: 459, + production: &[ParseType::N(470)], }, - // 306 - Param: ParamToken : crate::veryl_token::VerylToken ; + // 308 - Param: ParamToken : crate::veryl_token::VerylToken ; Production { - lhs: 472, - production: &[ParseType::N(474)], + lhs: 471, + production: &[ParseType::N(473)], }, - // 307 - Pub: PubToken : crate::veryl_token::VerylToken ; + // 309 - Pub: PubToken : crate::veryl_token::VerylToken ; Production { - lhs: 489, - production: &[ParseType::N(491)], + lhs: 488, + production: &[ParseType::N(490)], }, - // 308 - Ref: RefToken : crate::veryl_token::VerylToken ; + // 310 - Ref: RefToken : crate::veryl_token::VerylToken ; Production { - lhs: 515, - production: &[ParseType::N(517)], + lhs: 514, + production: &[ParseType::N(516)], }, - // 309 - Repeat: RepeatToken : crate::veryl_token::VerylToken ; + // 311 - Repeat: RepeatToken : crate::veryl_token::VerylToken ; Production { - lhs: 518, - production: &[ParseType::N(520)], + lhs: 517, + production: &[ParseType::N(519)], + }, + // 312 - Reset: ResetToken : crate::veryl_token::VerylToken ; + Production { + lhs: 520, + production: &[ParseType::N(534)], }, - // 310 - Reset: ResetToken : crate::veryl_token::VerylToken ; + // 313 - ResetAsyncHigh: ResetAsyncHighToken : crate::veryl_token::VerylToken ; Production { lhs: 521, - production: &[ParseType::N(535)], + production: &[ParseType::N(523)], }, - // 311 - ResetAsyncHigh: ResetAsyncHighToken : crate::veryl_token::VerylToken ; + // 314 - ResetAsyncLow: ResetAsyncLowToken : crate::veryl_token::VerylToken ; Production { - lhs: 522, - production: &[ParseType::N(524)], + lhs: 524, + production: &[ParseType::N(526)], }, - // 312 - ResetAsyncLow: ResetAsyncLowToken : crate::veryl_token::VerylToken ; + // 315 - ResetSyncHigh: ResetSyncHighToken : crate::veryl_token::VerylToken ; Production { - lhs: 525, - production: &[ParseType::N(527)], + lhs: 527, + production: &[ParseType::N(529)], }, - // 313 - ResetSyncHigh: ResetSyncHighToken : crate::veryl_token::VerylToken ; + // 316 - ResetSyncLow: ResetSyncLowToken : crate::veryl_token::VerylToken ; Production { - lhs: 528, - production: &[ParseType::N(530)], + lhs: 530, + production: &[ParseType::N(532)], }, - // 314 - ResetSyncLow: ResetSyncLowToken : crate::veryl_token::VerylToken ; + // 317 - Return: ReturnToken : crate::veryl_token::VerylToken ; Production { - lhs: 531, - production: &[ParseType::N(533)], + lhs: 535, + production: &[ParseType::N(538)], }, - // 315 - Return: ReturnToken : crate::veryl_token::VerylToken ; + // 318 - Signed: SignedToken : crate::veryl_token::VerylToken ; Production { - lhs: 536, - production: &[ParseType::N(539)], + lhs: 553, + production: &[ParseType::N(555)], }, - // 316 - Signed: SignedToken : crate::veryl_token::VerylToken ; + // 319 - Step: StepToken : crate::veryl_token::VerylToken ; Production { - lhs: 554, - production: &[ParseType::N(556)], + lhs: 562, + production: &[ParseType::N(564)], }, - // 317 - Step: StepToken : crate::veryl_token::VerylToken ; + // 320 - Strin: StringToken : crate::veryl_token::VerylToken ; Production { - lhs: 563, - production: &[ParseType::N(565)], + lhs: 565, + production: &[ParseType::N(570)], }, - // 318 - Strin: StringToken : crate::veryl_token::VerylToken ; + // 321 - Struct: StructToken : crate::veryl_token::VerylToken ; Production { - lhs: 566, - production: &[ParseType::N(571)], + lhs: 571, + production: &[ParseType::N(573)], }, - // 319 - Struct: StructToken : crate::veryl_token::VerylToken ; + // 322 - Switch: SwitchToken : crate::veryl_token::VerylToken ; Production { - lhs: 572, - production: &[ParseType::N(574)], + lhs: 584, + production: &[ParseType::N(597)], }, - // 320 - Tri: TriToken : crate::veryl_token::VerylToken ; + // 323 - Tri: TriToken : crate::veryl_token::VerylToken ; Production { - lhs: 585, - production: &[ParseType::N(587)], + lhs: 598, + production: &[ParseType::N(600)], }, - // 321 - Type: TypeToken : crate::veryl_token::VerylToken ; + // 324 - Type: TypeToken : crate::veryl_token::VerylToken ; Production { - lhs: 588, - production: &[ParseType::N(593)], + lhs: 601, + production: &[ParseType::N(606)], }, - // 322 - U32: U32Token : crate::veryl_token::VerylToken ; + // 325 - U32: U32Token : crate::veryl_token::VerylToken ; Production { - lhs: 594, - production: &[ParseType::N(596)], + lhs: 607, + production: &[ParseType::N(609)], }, - // 323 - U64: U64Token : crate::veryl_token::VerylToken ; + // 326 - U64: U64Token : crate::veryl_token::VerylToken ; Production { - lhs: 597, - production: &[ParseType::N(599)], + lhs: 610, + production: &[ParseType::N(612)], }, - // 324 - Union: UnionToken : crate::veryl_token::VerylToken ; + // 327 - Union: UnionToken : crate::veryl_token::VerylToken ; Production { - lhs: 603, - production: &[ParseType::N(605)], + lhs: 616, + production: &[ParseType::N(618)], }, - // 325 - Var: VarToken : crate::veryl_token::VerylToken ; + // 328 - Var: VarToken : crate::veryl_token::VerylToken ; Production { - lhs: 606, - production: &[ParseType::N(609)], + lhs: 619, + production: &[ParseType::N(622)], }, - // 326 - DollarIdentifier: DollarIdentifierToken : crate::veryl_token::VerylToken ; + // 329 - DollarIdentifier: DollarIdentifierToken : crate::veryl_token::VerylToken ; Production { - lhs: 117, - production: &[ParseType::N(119)], + lhs: 116, + production: &[ParseType::N(118)], }, - // 327 - Identifier: IdentifierToken : crate::veryl_token::VerylToken ; + // 330 - Identifier: IdentifierToken : crate::veryl_token::VerylToken ; Production { - lhs: 245, - production: &[ParseType::N(249)], + lhs: 244, + production: &[ParseType::N(248)], }, - // 328 - Number: IntegralNumber; + // 331 - Number: IntegralNumber; Production { - lhs: 419, - production: &[ParseType::N(323)], + lhs: 418, + production: &[ParseType::N(322)], }, - // 329 - Number: RealNumber; + // 332 - Number: RealNumber; Production { - lhs: 419, - production: &[ParseType::N(514)], + lhs: 418, + production: &[ParseType::N(513)], }, - // 330 - IntegralNumber: Based; + // 333 - IntegralNumber: Based; Production { - lhs: 323, + lhs: 322, production: &[ParseType::N(54)], }, - // 331 - IntegralNumber: BaseLess; + // 334 - IntegralNumber: BaseLess; Production { - lhs: 323, + lhs: 322, production: &[ParseType::N(51)], }, - // 332 - IntegralNumber: AllBit; + // 335 - IntegralNumber: AllBit; Production { - lhs: 323, + lhs: 322, production: &[ParseType::N(0)], }, - // 333 - RealNumber: FixedPoint; + // 336 - RealNumber: FixedPoint; Production { - lhs: 514, - production: &[ParseType::N(211)], + lhs: 513, + production: &[ParseType::N(210)], }, - // 334 - RealNumber: Exponent; + // 337 - RealNumber: Exponent; Production { - lhs: 514, - production: &[ParseType::N(156)], + lhs: 513, + production: &[ParseType::N(155)], }, - // 335 - HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; + // 338 - HierarchicalIdentifier: Identifier HierarchicalIdentifierList /* Vec */ HierarchicalIdentifierList0 /* Vec */; Production { - lhs: 235, - production: &[ParseType::N(237), ParseType::N(236), ParseType::N(245)], + lhs: 234, + production: &[ParseType::N(236), ParseType::N(235), ParseType::N(244)], }, - // 336 - HierarchicalIdentifierList0: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; + // 339 - HierarchicalIdentifierList0: Dot Identifier HierarchicalIdentifierList0List /* Vec */ HierarchicalIdentifierList0; Production { - lhs: 237, + lhs: 236, production: &[ + ParseType::N(236), ParseType::N(237), - ParseType::N(238), - ParseType::N(245), - ParseType::N(120), + ParseType::N(244), + ParseType::N(119), ], }, - // 337 - HierarchicalIdentifierList0List: Select HierarchicalIdentifierList0List; + // 340 - HierarchicalIdentifierList0List: Select HierarchicalIdentifierList0List; Production { - lhs: 238, - production: &[ParseType::N(238), ParseType::N(548)], + lhs: 237, + production: &[ParseType::N(237), ParseType::N(547)], }, - // 338 - HierarchicalIdentifierList0List: ; + // 341 - HierarchicalIdentifierList0List: ; Production { - lhs: 238, + lhs: 237, production: &[], }, - // 339 - HierarchicalIdentifierList0: ; + // 342 - HierarchicalIdentifierList0: ; Production { - lhs: 237, + lhs: 236, production: &[], }, - // 340 - HierarchicalIdentifierList: Select HierarchicalIdentifierList; + // 343 - HierarchicalIdentifierList: Select HierarchicalIdentifierList; Production { - lhs: 236, - production: &[ParseType::N(236), ParseType::N(548)], + lhs: 235, + production: &[ParseType::N(235), ParseType::N(547)], }, - // 341 - HierarchicalIdentifierList: ; + // 344 - HierarchicalIdentifierList: ; Production { - lhs: 236, + lhs: 235, production: &[], }, - // 342 - ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; + // 345 - ScopedIdentifier: ScopedIdentifierGroup ScopedIdentifierList /* Vec */; Production { - lhs: 543, - production: &[ParseType::N(545), ParseType::N(544)], + lhs: 542, + production: &[ParseType::N(544), ParseType::N(543)], }, - // 343 - ScopedIdentifierGroup: DollarIdentifier; + // 346 - ScopedIdentifierGroup: DollarIdentifier; Production { - lhs: 544, - production: &[ParseType::N(117)], + lhs: 543, + production: &[ParseType::N(116)], }, - // 344 - ScopedIdentifierGroup: Identifier ScopedIdentifierOpt /* Option */; + // 347 - ScopedIdentifierGroup: Identifier ScopedIdentifierOpt /* Option */; Production { - lhs: 544, - production: &[ParseType::N(546), ParseType::N(245)], + lhs: 543, + production: &[ParseType::N(545), ParseType::N(244)], }, - // 345 - ScopedIdentifierList: ColonColon Identifier ScopedIdentifierOpt0 /* Option */ ScopedIdentifierList; + // 348 - ScopedIdentifierList: ColonColon Identifier ScopedIdentifierOpt0 /* Option */ ScopedIdentifierList; Production { - lhs: 545, + lhs: 544, production: &[ - ParseType::N(545), - ParseType::N(547), - ParseType::N(245), - ParseType::N(89), + ParseType::N(544), + ParseType::N(546), + ParseType::N(244), + ParseType::N(88), ], }, - // 346 - ScopedIdentifierList: ; + // 349 - ScopedIdentifierList: ; Production { - lhs: 545, + lhs: 544, production: &[], }, - // 347 - ScopedIdentifierOpt0: WithGenericArgument; + // 350 - ScopedIdentifierOpt0: WithGenericArgument; Production { - lhs: 547, - production: &[ParseType::N(617)], + lhs: 546, + production: &[ParseType::N(630)], }, - // 348 - ScopedIdentifierOpt0: ; + // 351 - ScopedIdentifierOpt0: ; Production { - lhs: 547, + lhs: 546, production: &[], }, - // 349 - ScopedIdentifierOpt: WithGenericArgument; + // 352 - ScopedIdentifierOpt: WithGenericArgument; Production { - lhs: 546, - production: &[ParseType::N(617)], + lhs: 545, + production: &[ParseType::N(630)], }, - // 350 - ScopedIdentifierOpt: ; + // 353 - ScopedIdentifierOpt: ; Production { - lhs: 546, + lhs: 545, production: &[], }, - // 351 - ExpressionIdentifier: ScopedIdentifier ExpressionIdentifierList /* Vec */ ExpressionIdentifierList0 /* Vec */; + // 354 - ExpressionIdentifier: ScopedIdentifier ExpressionIdentifierList /* Vec */ ExpressionIdentifierList0 /* Vec */; Production { - lhs: 192, - production: &[ParseType::N(194), ParseType::N(193), ParseType::N(543)], + lhs: 191, + production: &[ParseType::N(193), ParseType::N(192), ParseType::N(542)], }, - // 352 - ExpressionIdentifierList0: Dot Identifier ExpressionIdentifierList0List /* Vec */ ExpressionIdentifierList0; + // 355 - ExpressionIdentifierList0: Dot Identifier ExpressionIdentifierList0List /* Vec */ ExpressionIdentifierList0; Production { - lhs: 194, + lhs: 193, production: &[ + ParseType::N(193), ParseType::N(194), - ParseType::N(195), - ParseType::N(245), - ParseType::N(120), + ParseType::N(244), + ParseType::N(119), ], }, - // 353 - ExpressionIdentifierList0List: Select ExpressionIdentifierList0List; + // 356 - ExpressionIdentifierList0List: Select ExpressionIdentifierList0List; Production { - lhs: 195, - production: &[ParseType::N(195), ParseType::N(548)], + lhs: 194, + production: &[ParseType::N(194), ParseType::N(547)], }, - // 354 - ExpressionIdentifierList0List: ; + // 357 - ExpressionIdentifierList0List: ; Production { - lhs: 195, + lhs: 194, production: &[], }, - // 355 - ExpressionIdentifierList0: ; + // 358 - ExpressionIdentifierList0: ; Production { - lhs: 194, + lhs: 193, production: &[], }, - // 356 - ExpressionIdentifierList: Select ExpressionIdentifierList; + // 359 - ExpressionIdentifierList: Select ExpressionIdentifierList; Production { - lhs: 193, - production: &[ParseType::N(193), ParseType::N(548)], + lhs: 192, + production: &[ParseType::N(192), ParseType::N(547)], }, - // 357 - ExpressionIdentifierList: ; + // 360 - ExpressionIdentifierList: ; Production { - lhs: 193, + lhs: 192, production: &[], }, - // 358 - Expression: Expression01 ExpressionList /* Vec */; + // 361 - Expression: Expression01 ExpressionList /* Vec */; Production { - lhs: 165, - production: &[ParseType::N(196), ParseType::N(166)], + lhs: 164, + production: &[ParseType::N(195), ParseType::N(165)], }, - // 359 - ExpressionList: Operator01 Expression01 ExpressionList; + // 362 - ExpressionList: Operator01 Expression01 ExpressionList; Production { - lhs: 196, - production: &[ParseType::N(196), ParseType::N(166), ParseType::N(420)], + lhs: 195, + production: &[ParseType::N(195), ParseType::N(165), ParseType::N(419)], }, - // 360 - ExpressionList: ; + // 363 - ExpressionList: ; Production { - lhs: 196, + lhs: 195, production: &[], }, - // 361 - Expression01: Expression02 Expression01List /* Vec */; + // 364 - Expression01: Expression02 Expression01List /* Vec */; + Production { + lhs: 165, + production: &[ParseType::N(166), ParseType::N(167)], + }, + // 365 - Expression01List: Operator02 Expression02 Expression01List; Production { lhs: 166, - production: &[ParseType::N(167), ParseType::N(168)], + production: &[ParseType::N(166), ParseType::N(167), ParseType::N(422)], }, - // 362 - Expression01List: Operator02 Expression02 Expression01List; + // 366 - Expression01List: ; Production { - lhs: 167, - production: &[ParseType::N(167), ParseType::N(168), ParseType::N(423)], + lhs: 166, + production: &[], }, - // 363 - Expression01List: ; + // 367 - Expression02: Expression03 Expression02List /* Vec */; Production { lhs: 167, - production: &[], + production: &[ParseType::N(168), ParseType::N(169)], }, - // 364 - Expression02: Expression03 Expression02List /* Vec */; + // 368 - Expression02List: Operator03 Expression03 Expression02List; Production { lhs: 168, - production: &[ParseType::N(169), ParseType::N(170)], + production: &[ParseType::N(168), ParseType::N(169), ParseType::N(425)], }, - // 365 - Expression02List: Operator03 Expression03 Expression02List; + // 369 - Expression02List: ; Production { - lhs: 169, - production: &[ParseType::N(169), ParseType::N(170), ParseType::N(426)], + lhs: 168, + production: &[], }, - // 366 - Expression02List: ; + // 370 - Expression03: Expression04 Expression03List /* Vec */; Production { lhs: 169, - production: &[], + production: &[ParseType::N(170), ParseType::N(171)], }, - // 367 - Expression03: Expression04 Expression03List /* Vec */; + // 371 - Expression03List: Operator04 Expression04 Expression03List; Production { lhs: 170, - production: &[ParseType::N(171), ParseType::N(172)], + production: &[ParseType::N(170), ParseType::N(171), ParseType::N(428)], }, - // 368 - Expression03List: Operator04 Expression04 Expression03List; + // 372 - Expression03List: ; Production { - lhs: 171, - production: &[ParseType::N(171), ParseType::N(172), ParseType::N(429)], + lhs: 170, + production: &[], }, - // 369 - Expression03List: ; + // 373 - Expression04: Expression05 Expression04List /* Vec */; Production { lhs: 171, - production: &[], + production: &[ParseType::N(172), ParseType::N(173)], }, - // 370 - Expression04: Expression05 Expression04List /* Vec */; + // 374 - Expression04List: Operator05 Expression05 Expression04List; Production { lhs: 172, - production: &[ParseType::N(173), ParseType::N(174)], + production: &[ParseType::N(172), ParseType::N(173), ParseType::N(431)], }, - // 371 - Expression04List: Operator05 Expression05 Expression04List; + // 375 - Expression04List: ; Production { - lhs: 173, - production: &[ParseType::N(173), ParseType::N(174), ParseType::N(432)], + lhs: 172, + production: &[], }, - // 372 - Expression04List: ; + // 376 - Expression05: Expression06 Expression05List /* Vec */; Production { lhs: 173, - production: &[], + production: &[ParseType::N(174), ParseType::N(175)], }, - // 373 - Expression05: Expression06 Expression05List /* Vec */; + // 377 - Expression05List: Operator06 Expression06 Expression05List; Production { lhs: 174, - production: &[ParseType::N(175), ParseType::N(176)], + production: &[ParseType::N(174), ParseType::N(175), ParseType::N(434)], }, - // 374 - Expression05List: Operator06 Expression06 Expression05List; + // 378 - Expression05List: ; Production { - lhs: 175, - production: &[ParseType::N(175), ParseType::N(176), ParseType::N(435)], + lhs: 174, + production: &[], }, - // 375 - Expression05List: ; + // 379 - Expression06: Expression07 Expression06List /* Vec */; Production { lhs: 175, - production: &[], + production: &[ParseType::N(176), ParseType::N(177)], }, - // 376 - Expression06: Expression07 Expression06List /* Vec */; + // 380 - Expression06List: Operator07 Expression07 Expression06List; Production { lhs: 176, - production: &[ParseType::N(177), ParseType::N(178)], + production: &[ParseType::N(176), ParseType::N(177), ParseType::N(437)], }, - // 377 - Expression06List: Operator07 Expression07 Expression06List; + // 381 - Expression06List: ; Production { - lhs: 177, - production: &[ParseType::N(177), ParseType::N(178), ParseType::N(438)], + lhs: 176, + production: &[], }, - // 378 - Expression06List: ; + // 382 - Expression07: Expression08 Expression07List /* Vec */; Production { lhs: 177, - production: &[], + production: &[ParseType::N(178), ParseType::N(179)], }, - // 379 - Expression07: Expression08 Expression07List /* Vec */; + // 383 - Expression07List: Operator08 Expression08 Expression07List; Production { lhs: 178, - production: &[ParseType::N(179), ParseType::N(180)], + production: &[ParseType::N(178), ParseType::N(179), ParseType::N(440)], }, - // 380 - Expression07List: Operator08 Expression08 Expression07List; + // 384 - Expression07List: ; Production { - lhs: 179, - production: &[ParseType::N(179), ParseType::N(180), ParseType::N(441)], + lhs: 178, + production: &[], }, - // 381 - Expression07List: ; + // 385 - Expression08: Expression09 Expression08List /* Vec */; Production { lhs: 179, - production: &[], + production: &[ParseType::N(180), ParseType::N(181)], }, - // 382 - Expression08: Expression09 Expression08List /* Vec */; + // 386 - Expression08List: Operator09 Expression09 Expression08List; Production { lhs: 180, - production: &[ParseType::N(181), ParseType::N(182)], + production: &[ParseType::N(180), ParseType::N(181), ParseType::N(443)], }, - // 383 - Expression08List: Operator09 Expression09 Expression08List; + // 387 - Expression08List: ; Production { - lhs: 181, - production: &[ParseType::N(181), ParseType::N(182), ParseType::N(444)], + lhs: 180, + production: &[], }, - // 384 - Expression08List: ; + // 388 - Expression09: Expression10 Expression09List /* Vec */; Production { lhs: 181, - production: &[], + production: &[ParseType::N(182), ParseType::N(184)], }, - // 385 - Expression09: Expression10 Expression09List /* Vec */; + // 389 - Expression09List: Expression09ListGroup Expression10 Expression09List; Production { lhs: 182, - production: &[ParseType::N(183), ParseType::N(185)], + production: &[ParseType::N(182), ParseType::N(184), ParseType::N(183)], }, - // 386 - Expression09List: Expression09ListGroup Expression10 Expression09List; + // 390 - Expression09ListGroup: Operator10; Production { lhs: 183, - production: &[ParseType::N(183), ParseType::N(185), ParseType::N(184)], + production: &[ParseType::N(446)], }, - // 387 - Expression09ListGroup: Operator10; + // 391 - Expression09ListGroup: Star; Production { - lhs: 184, - production: &[ParseType::N(447)], + lhs: 183, + production: &[ParseType::N(556)], }, - // 388 - Expression09ListGroup: Star; + // 392 - Expression09List: ; Production { - lhs: 184, - production: &[ParseType::N(557)], + lhs: 182, + production: &[], }, - // 389 - Expression09List: ; + // 393 - Expression10: Expression11 Expression10List /* Vec */; Production { - lhs: 183, - production: &[], + lhs: 184, + production: &[ParseType::N(185), ParseType::N(186)], }, - // 390 - Expression10: Expression11 Expression10List /* Vec */; + // 394 - Expression10List: Operator11 Expression11 Expression10List; Production { lhs: 185, - production: &[ParseType::N(186), ParseType::N(187)], + production: &[ParseType::N(185), ParseType::N(186), ParseType::N(449)], }, - // 391 - Expression10List: Operator11 Expression11 Expression10List; + // 395 - Expression10List: ; Production { - lhs: 186, - production: &[ParseType::N(186), ParseType::N(187), ParseType::N(450)], + lhs: 185, + production: &[], }, - // 392 - Expression10List: ; + // 396 - Expression11: Expression12 Expression11List /* Vec */; Production { lhs: 186, - production: &[], + production: &[ParseType::N(187), ParseType::N(188)], }, - // 393 - Expression11: Expression12 Expression11List /* Vec */; + // 397 - Expression11List: As ScopedIdentifier Expression11List; Production { lhs: 187, - production: &[ParseType::N(188), ParseType::N(189)], + production: &[ParseType::N(187), ParseType::N(542), ParseType::N(33)], }, - // 394 - Expression11List: As ScopedIdentifier Expression11List; + // 398 - Expression11List: ; Production { - lhs: 188, - production: &[ParseType::N(188), ParseType::N(543), ParseType::N(33)], + lhs: 187, + production: &[], }, - // 395 - Expression11List: ; + // 399 - Expression12: Expression12List /* Vec */ Factor; Production { lhs: 188, - production: &[], + production: &[ParseType::N(202), ParseType::N(189)], }, - // 396 - Expression12: Expression12List /* Vec */ Factor; + // 400 - Expression12List: Expression12ListGroup Expression12List; Production { lhs: 189, - production: &[ParseType::N(203), ParseType::N(190)], + production: &[ParseType::N(189), ParseType::N(190)], }, - // 397 - Expression12List: Expression12ListGroup Expression12List; + // 401 - Expression12ListGroup: UnaryOperator; Production { lhs: 190, - production: &[ParseType::N(190), ParseType::N(191)], + production: &[ParseType::N(613)], }, - // 398 - Expression12ListGroup: UnaryOperator; + // 402 - Expression12ListGroup: Operator09; Production { - lhs: 191, - production: &[ParseType::N(600)], - }, - // 399 - Expression12ListGroup: Operator09; - Production { - lhs: 191, - production: &[ParseType::N(444)], + lhs: 190, + production: &[ParseType::N(443)], }, - // 400 - Expression12ListGroup: Operator05; + // 403 - Expression12ListGroup: Operator05; Production { - lhs: 191, - production: &[ParseType::N(432)], + lhs: 190, + production: &[ParseType::N(431)], }, - // 401 - Expression12ListGroup: Operator03; + // 404 - Expression12ListGroup: Operator03; Production { - lhs: 191, - production: &[ParseType::N(426)], + lhs: 190, + production: &[ParseType::N(425)], }, - // 402 - Expression12ListGroup: Operator04; + // 405 - Expression12ListGroup: Operator04; Production { - lhs: 191, - production: &[ParseType::N(429)], + lhs: 190, + production: &[ParseType::N(428)], }, - // 403 - Expression12List: ; + // 406 - Expression12List: ; Production { - lhs: 190, + lhs: 189, production: &[], }, - // 404 - Factor: Number; + // 407 - Factor: Number; Production { - lhs: 203, - production: &[ParseType::N(419)], + lhs: 202, + production: &[ParseType::N(418)], }, - // 405 - Factor: ExpressionIdentifier FactorOpt /* Option */; + // 408 - Factor: ExpressionIdentifier FactorOpt /* Option */; Production { - lhs: 203, - production: &[ParseType::N(205), ParseType::N(192)], + lhs: 202, + production: &[ParseType::N(204), ParseType::N(191)], }, - // 406 - Factor: LParen Expression RParen; + // 409 - Factor: LParen Expression RParen; Production { - lhs: 203, - production: &[ParseType::N(504), ParseType::N(165), ParseType::N(356)], + lhs: 202, + production: &[ParseType::N(503), ParseType::N(164), ParseType::N(355)], }, - // 407 - Factor: LBrace ConcatenationList RBrace; + // 410 - Factor: LBrace ConcatenationList RBrace; Production { - lhs: 203, - production: &[ParseType::N(498), ParseType::N(105), ParseType::N(350)], + lhs: 202, + production: &[ParseType::N(497), ParseType::N(104), ParseType::N(349)], }, - // 408 - Factor: QuoteLBrace ArrayLiteralList RBrace; + // 411 - Factor: QuoteLBrace ArrayLiteralList RBrace; Production { - lhs: 203, - production: &[ParseType::N(498), ParseType::N(28), ParseType::N(492)], + lhs: 202, + production: &[ParseType::N(497), ParseType::N(28), ParseType::N(491)], }, - // 409 - Factor: IfExpression; + // 412 - Factor: IfExpression; Production { - lhs: 203, - production: &[ParseType::N(251)], + lhs: 202, + production: &[ParseType::N(250)], }, - // 410 - Factor: CaseExpression; + // 413 - Factor: CaseExpression; Production { - lhs: 203, - production: &[ParseType::N(65)], + lhs: 202, + production: &[ParseType::N(67)], }, - // 411 - Factor: StringLiteral; + // 414 - Factor: SwitchExpression; Production { - lhs: 203, - production: &[ParseType::N(567)], + lhs: 202, + production: &[ParseType::N(587)], }, - // 412 - Factor: FactorGroup; + // 415 - Factor: StringLiteral; Production { - lhs: 203, - production: &[ParseType::N(204)], + lhs: 202, + production: &[ParseType::N(566)], }, - // 413 - FactorGroup: Msb; + // 416 - Factor: FactorGroup; Production { - lhs: 204, - production: &[ParseType::N(416)], + lhs: 202, + production: &[ParseType::N(203)], }, - // 414 - FactorGroup: Lsb; + // 417 - FactorGroup: Msb; Production { - lhs: 204, - production: &[ParseType::N(372)], + lhs: 203, + production: &[ParseType::N(415)], }, - // 415 - Factor: InsideExpression; + // 418 - FactorGroup: Lsb; Production { lhs: 203, - production: &[ParseType::N(294)], + production: &[ParseType::N(371)], }, - // 416 - Factor: OutsideExpression; + // 419 - Factor: InsideExpression; Production { - lhs: 203, - production: &[ParseType::N(457)], + lhs: 202, + production: &[ParseType::N(293)], }, - // 417 - FactorOpt: FunctionCall; + // 420 - Factor: OutsideExpression; Production { - lhs: 205, - production: &[ParseType::N(222)], + lhs: 202, + production: &[ParseType::N(456)], }, - // 418 - FactorOpt: ; + // 421 - FactorOpt: FunctionCall; Production { - lhs: 205, + lhs: 204, + production: &[ParseType::N(221)], + }, + // 422 - FactorOpt: ; + Production { + lhs: 204, production: &[], }, - // 419 - FunctionCall: LParen FunctionCallOpt /* Option */ RParen; + // 423 - FunctionCall: LParen FunctionCallOpt /* Option */ RParen; Production { - lhs: 222, - production: &[ParseType::N(504), ParseType::N(223), ParseType::N(356)], + lhs: 221, + production: &[ParseType::N(503), ParseType::N(222), ParseType::N(355)], }, - // 420 - FunctionCallOpt: ArgumentList; + // 424 - FunctionCallOpt: ArgumentList; Production { - lhs: 223, + lhs: 222, production: &[ParseType::N(20)], }, - // 421 - FunctionCallOpt: ; + // 425 - FunctionCallOpt: ; Production { - lhs: 223, + lhs: 222, production: &[], }, - // 422 - ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; + // 426 - ArgumentList: ArgumentItem ArgumentListList /* Vec */ ArgumentListOpt /* Option */; Production { lhs: 20, production: &[ParseType::N(22), ParseType::N(21), ParseType::N(19)], }, - // 423 - ArgumentListList: Comma ArgumentItem ArgumentListList; + // 427 - ArgumentListList: Comma ArgumentItem ArgumentListList; Production { lhs: 21, - production: &[ParseType::N(21), ParseType::N(19), ParseType::N(97)], + production: &[ParseType::N(21), ParseType::N(19), ParseType::N(96)], }, - // 424 - ArgumentListList: ; + // 428 - ArgumentListList: ; Production { lhs: 21, production: &[], }, - // 425 - ArgumentListOpt: Comma; + // 429 - ArgumentListOpt: Comma; Production { lhs: 22, - production: &[ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 426 - ArgumentListOpt: ; + // 430 - ArgumentListOpt: ; Production { lhs: 22, production: &[], }, - // 427 - ArgumentItem: Expression; + // 431 - ArgumentItem: Expression; Production { lhs: 19, - production: &[ParseType::N(165)], + production: &[ParseType::N(164)], }, - // 428 - ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; + // 432 - ConcatenationList: ConcatenationItem ConcatenationListList /* Vec */ ConcatenationListOpt /* Option */; Production { - lhs: 105, - production: &[ParseType::N(107), ParseType::N(106), ParseType::N(103)], + lhs: 104, + production: &[ParseType::N(106), ParseType::N(105), ParseType::N(102)], }, - // 429 - ConcatenationListList: Comma ConcatenationItem ConcatenationListList; + // 433 - ConcatenationListList: Comma ConcatenationItem ConcatenationListList; Production { - lhs: 106, - production: &[ParseType::N(106), ParseType::N(103), ParseType::N(97)], + lhs: 105, + production: &[ParseType::N(105), ParseType::N(102), ParseType::N(96)], }, - // 430 - ConcatenationListList: ; + // 434 - ConcatenationListList: ; Production { - lhs: 106, + lhs: 105, production: &[], }, - // 431 - ConcatenationListOpt: Comma; + // 435 - ConcatenationListOpt: Comma; Production { - lhs: 107, - production: &[ParseType::N(97)], + lhs: 106, + production: &[ParseType::N(96)], }, - // 432 - ConcatenationListOpt: ; + // 436 - ConcatenationListOpt: ; Production { - lhs: 107, + lhs: 106, production: &[], }, - // 433 - ConcatenationItem: Expression ConcatenationItemOpt /* Option */; + // 437 - ConcatenationItem: Expression ConcatenationItemOpt /* Option */; Production { - lhs: 103, - production: &[ParseType::N(104), ParseType::N(165)], + lhs: 102, + production: &[ParseType::N(103), ParseType::N(164)], }, - // 434 - ConcatenationItemOpt: Repeat Expression; + // 438 - ConcatenationItemOpt: Repeat Expression; Production { - lhs: 104, - production: &[ParseType::N(165), ParseType::N(518)], + lhs: 103, + production: &[ParseType::N(164), ParseType::N(517)], }, - // 435 - ConcatenationItemOpt: ; + // 439 - ConcatenationItemOpt: ; Production { - lhs: 104, + lhs: 103, production: &[], }, - // 436 - ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; + // 440 - ArrayLiteralList: ArrayLiteralItem ArrayLiteralListList /* Vec */ ArrayLiteralListOpt /* Option */; Production { lhs: 28, production: &[ParseType::N(30), ParseType::N(29), ParseType::N(25)], }, - // 437 - ArrayLiteralListList: Comma ArrayLiteralItem ArrayLiteralListList; + // 441 - ArrayLiteralListList: Comma ArrayLiteralItem ArrayLiteralListList; Production { lhs: 29, - production: &[ParseType::N(29), ParseType::N(25), ParseType::N(97)], + production: &[ParseType::N(29), ParseType::N(25), ParseType::N(96)], }, - // 438 - ArrayLiteralListList: ; + // 442 - ArrayLiteralListList: ; Production { lhs: 29, production: &[], }, - // 439 - ArrayLiteralListOpt: Comma; + // 443 - ArrayLiteralListOpt: Comma; Production { lhs: 30, - production: &[ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 440 - ArrayLiteralListOpt: ; + // 444 - ArrayLiteralListOpt: ; Production { lhs: 30, production: &[], }, - // 441 - ArrayLiteralItem: ArrayLiteralItemGroup; + // 445 - ArrayLiteralItem: ArrayLiteralItemGroup; Production { lhs: 25, production: &[ParseType::N(26)], }, - // 442 - ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; + // 446 - ArrayLiteralItemGroup: Expression ArrayLiteralItemOpt /* Option */; Production { lhs: 26, - production: &[ParseType::N(27), ParseType::N(165)], + production: &[ParseType::N(27), ParseType::N(164)], }, - // 443 - ArrayLiteralItemGroup: Defaul Colon Expression; + // 447 - ArrayLiteralItemGroup: Defaul Colon Expression; Production { lhs: 26, - production: &[ParseType::N(165), ParseType::N(88), ParseType::N(108)], + production: &[ParseType::N(164), ParseType::N(87), ParseType::N(107)], }, - // 444 - ArrayLiteralItemOpt: Repeat Expression; + // 448 - ArrayLiteralItemOpt: Repeat Expression; Production { lhs: 27, - production: &[ParseType::N(165), ParseType::N(518)], + production: &[ParseType::N(164), ParseType::N(517)], }, - // 445 - ArrayLiteralItemOpt: ; + // 449 - ArrayLiteralItemOpt: ; Production { lhs: 27, production: &[], }, - // 446 - IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; + // 450 - IfExpression: If Expression LBrace Expression RBrace IfExpressionList /* Vec */ Else LBrace Expression RBrace; Production { - lhs: 251, + lhs: 250, production: &[ - ParseType::N(498), - ParseType::N(165), - ParseType::N(350), - ParseType::N(129), - ParseType::N(252), - ParseType::N(498), - ParseType::N(165), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), + ParseType::N(497), + ParseType::N(164), + ParseType::N(349), + ParseType::N(128), + ParseType::N(251), + ParseType::N(497), + ParseType::N(164), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), ], }, - // 447 - IfExpressionList: Else If Expression LBrace Expression RBrace IfExpressionList; + // 451 - IfExpressionList: Else If Expression LBrace Expression RBrace IfExpressionList; Production { - lhs: 252, + lhs: 251, production: &[ - ParseType::N(252), - ParseType::N(498), - ParseType::N(165), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(251), + ParseType::N(497), + ParseType::N(164), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 448 - IfExpressionList: ; + // 452 - IfExpressionList: ; Production { - lhs: 252, + lhs: 251, production: &[], }, - // 449 - CaseExpression: Case Expression LBrace Expression CaseExpressionList /* Vec */ Colon Expression Comma CaseExpressionList0 /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; + // 453 - CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma CaseExpressionList /* Vec */ Defaul Colon Expression CaseExpressionOpt /* Option */ RBrace; Production { - lhs: 65, + lhs: 67, production: &[ - ParseType::N(498), + ParseType::N(497), ParseType::N(69), - ParseType::N(165), - ParseType::N(88), - ParseType::N(108), - ParseType::N(67), - ParseType::N(97), - ParseType::N(165), - ParseType::N(88), - ParseType::N(66), - ParseType::N(165), - ParseType::N(350), - ParseType::N(165), + ParseType::N(164), + ParseType::N(87), + ParseType::N(107), + ParseType::N(68), + ParseType::N(96), + ParseType::N(164), + ParseType::N(87), + ParseType::N(65), + ParseType::N(349), + ParseType::N(164), ParseType::N(64), ], }, - // 450 - CaseExpressionList0: Expression CaseExpressionList0List /* Vec */ Colon Expression Comma CaseExpressionList0; + // 454 - CaseExpressionList: CaseCondition Colon Expression Comma CaseExpressionList; Production { - lhs: 67, + lhs: 68, production: &[ - ParseType::N(67), - ParseType::N(97), - ParseType::N(165), - ParseType::N(88), ParseType::N(68), - ParseType::N(165), + ParseType::N(96), + ParseType::N(164), + ParseType::N(87), + ParseType::N(65), ], }, - // 451 - CaseExpressionList0List: Comma Expression CaseExpressionList0List; + // 455 - CaseExpressionList: ; Production { lhs: 68, - production: &[ParseType::N(68), ParseType::N(165), ParseType::N(97)], + production: &[], }, - // 452 - CaseExpressionList0List: ; + // 456 - CaseExpressionOpt: Comma; Production { - lhs: 68, - production: &[], + lhs: 69, + production: &[ParseType::N(96)], }, - // 453 - CaseExpressionList0: ; + // 457 - CaseExpressionOpt: ; Production { - lhs: 67, + lhs: 69, production: &[], }, - // 454 - CaseExpressionList: Comma Expression CaseExpressionList; + // 458 - SwitchExpression: Switch LBrace SwitchCondition Colon Expression Comma SwitchExpressionList /* Vec */ Defaul Colon Expression SwitchExpressionOpt /* Option */ RBrace; Production { - lhs: 66, - production: &[ParseType::N(66), ParseType::N(165), ParseType::N(97)], + lhs: 587, + production: &[ + ParseType::N(497), + ParseType::N(589), + ParseType::N(164), + ParseType::N(87), + ParseType::N(107), + ParseType::N(588), + ParseType::N(96), + ParseType::N(164), + ParseType::N(87), + ParseType::N(585), + ParseType::N(349), + ParseType::N(584), + ], }, - // 455 - CaseExpressionList: ; + // 459 - SwitchExpressionList: SwitchCondition Colon Expression Comma SwitchExpressionList; Production { - lhs: 66, + lhs: 588, + production: &[ + ParseType::N(588), + ParseType::N(96), + ParseType::N(164), + ParseType::N(87), + ParseType::N(585), + ], + }, + // 460 - SwitchExpressionList: ; + Production { + lhs: 588, production: &[], }, - // 456 - CaseExpressionOpt: Comma; + // 461 - SwitchExpressionOpt: Comma; Production { - lhs: 69, - production: &[ParseType::N(97)], + lhs: 589, + production: &[ParseType::N(96)], }, - // 457 - CaseExpressionOpt: ; + // 462 - SwitchExpressionOpt: ; Production { - lhs: 69, + lhs: 589, production: &[], }, - // 458 - TypeExpression: ScalarType; + // 463 - TypeExpression: ScalarType; Production { - lhs: 590, - production: &[ParseType::N(540)], + lhs: 603, + production: &[ParseType::N(539)], }, - // 459 - TypeExpression: Type LParen Expression RParen; + // 464 - TypeExpression: Type LParen Expression RParen; Production { - lhs: 590, + lhs: 603, production: &[ - ParseType::N(504), - ParseType::N(165), - ParseType::N(356), - ParseType::N(588), + ParseType::N(503), + ParseType::N(164), + ParseType::N(355), + ParseType::N(601), ], }, - // 460 - InsideExpression: Inside Expression LBrace RangeList RBrace; + // 465 - InsideExpression: Inside Expression LBrace RangeList RBrace; Production { - lhs: 294, + lhs: 293, production: &[ - ParseType::N(498), - ParseType::N(509), - ParseType::N(350), - ParseType::N(165), - ParseType::N(293), + ParseType::N(497), + ParseType::N(508), + ParseType::N(349), + ParseType::N(164), + ParseType::N(292), ], }, - // 461 - OutsideExpression: Outside Expression LBrace RangeList RBrace; + // 466 - OutsideExpression: Outside Expression LBrace RangeList RBrace; Production { - lhs: 457, + lhs: 456, production: &[ - ParseType::N(498), - ParseType::N(509), - ParseType::N(350), - ParseType::N(165), - ParseType::N(456), + ParseType::N(497), + ParseType::N(508), + ParseType::N(349), + ParseType::N(164), + ParseType::N(455), ], }, - // 462 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; + // 467 - RangeList: RangeItem RangeListList /* Vec */ RangeListOpt /* Option */; Production { - lhs: 509, - production: &[ParseType::N(511), ParseType::N(510), ParseType::N(508)], + lhs: 508, + production: &[ParseType::N(510), ParseType::N(509), ParseType::N(507)], }, - // 463 - RangeListList: Comma RangeItem RangeListList; + // 468 - RangeListList: Comma RangeItem RangeListList; Production { - lhs: 510, - production: &[ParseType::N(510), ParseType::N(508), ParseType::N(97)], + lhs: 509, + production: &[ParseType::N(509), ParseType::N(507), ParseType::N(96)], }, - // 464 - RangeListList: ; + // 469 - RangeListList: ; Production { - lhs: 510, + lhs: 509, production: &[], }, - // 465 - RangeListOpt: Comma; + // 470 - RangeListOpt: Comma; Production { - lhs: 511, - production: &[ParseType::N(97)], + lhs: 510, + production: &[ParseType::N(96)], }, - // 466 - RangeListOpt: ; + // 471 - RangeListOpt: ; Production { - lhs: 511, + lhs: 510, production: &[], }, - // 467 - RangeItem: Range; + // 472 - RangeItem: Range; Production { - lhs: 508, - production: &[ParseType::N(507)], + lhs: 507, + production: &[ParseType::N(506)], }, - // 468 - Select: LBracket Expression SelectOpt /* Option */ RBracket; + // 473 - Select: LBracket Expression SelectOpt /* Option */ RBracket; Production { - lhs: 548, + lhs: 547, production: &[ - ParseType::N(501), - ParseType::N(550), - ParseType::N(165), - ParseType::N(353), + ParseType::N(500), + ParseType::N(549), + ParseType::N(164), + ParseType::N(352), ], }, - // 469 - SelectOpt: SelectOperator Expression; + // 474 - SelectOpt: SelectOperator Expression; Production { - lhs: 550, - production: &[ParseType::N(165), ParseType::N(549)], + lhs: 549, + production: &[ParseType::N(164), ParseType::N(548)], }, - // 470 - SelectOpt: ; + // 475 - SelectOpt: ; Production { - lhs: 550, + lhs: 549, production: &[], }, - // 471 - SelectOperator: Colon; + // 476 - SelectOperator: Colon; Production { - lhs: 549, - production: &[ParseType::N(88)], + lhs: 548, + production: &[ParseType::N(87)], }, - // 472 - SelectOperator: PlusColon; + // 477 - SelectOperator: PlusColon; Production { - lhs: 549, - production: &[ParseType::N(475)], + lhs: 548, + production: &[ParseType::N(474)], }, - // 473 - SelectOperator: MinusColon; + // 478 - SelectOperator: MinusColon; Production { - lhs: 549, - production: &[ParseType::N(375)], + lhs: 548, + production: &[ParseType::N(374)], }, - // 474 - SelectOperator: Step; + // 479 - SelectOperator: Step; Production { - lhs: 549, - production: &[ParseType::N(563)], + lhs: 548, + production: &[ParseType::N(562)], }, - // 475 - Width: LAngle Expression WidthList /* Vec */ RAngle; + // 480 - Width: LAngle Expression WidthList /* Vec */ RAngle; Production { - lhs: 615, + lhs: 628, production: &[ - ParseType::N(495), - ParseType::N(616), - ParseType::N(165), - ParseType::N(347), + ParseType::N(494), + ParseType::N(629), + ParseType::N(164), + ParseType::N(346), ], }, - // 476 - WidthList: Comma Expression WidthList; + // 481 - WidthList: Comma Expression WidthList; Production { - lhs: 616, - production: &[ParseType::N(616), ParseType::N(165), ParseType::N(97)], + lhs: 629, + production: &[ParseType::N(629), ParseType::N(164), ParseType::N(96)], }, - // 477 - WidthList: ; + // 482 - WidthList: ; Production { - lhs: 616, + lhs: 629, production: &[], }, - // 478 - Array: LBracket Expression ArrayList /* Vec */ RBracket; + // 483 - Array: LBracket Expression ArrayList /* Vec */ RBracket; Production { lhs: 23, production: &[ - ParseType::N(501), + ParseType::N(500), ParseType::N(24), - ParseType::N(165), - ParseType::N(353), + ParseType::N(164), + ParseType::N(352), ], }, - // 479 - ArrayList: Comma Expression ArrayList; + // 484 - ArrayList: Comma Expression ArrayList; Production { lhs: 24, - production: &[ParseType::N(24), ParseType::N(165), ParseType::N(97)], + production: &[ParseType::N(24), ParseType::N(164), ParseType::N(96)], }, - // 480 - ArrayList: ; + // 485 - ArrayList: ; Production { lhs: 24, production: &[], }, - // 481 - Range: Expression RangeOpt /* Option */; + // 486 - Range: Expression RangeOpt /* Option */; Production { - lhs: 507, - production: &[ParseType::N(513), ParseType::N(165)], + lhs: 506, + production: &[ParseType::N(512), ParseType::N(164)], }, - // 482 - RangeOpt: RangeOperator Expression; + // 487 - RangeOpt: RangeOperator Expression; Production { - lhs: 513, - production: &[ParseType::N(165), ParseType::N(512)], + lhs: 512, + production: &[ParseType::N(164), ParseType::N(511)], }, - // 483 - RangeOpt: ; + // 488 - RangeOpt: ; Production { - lhs: 513, + lhs: 512, production: &[], }, - // 484 - RangeOperator: DotDot; + // 489 - RangeOperator: DotDot; Production { - lhs: 512, - production: &[ParseType::N(121)], + lhs: 511, + production: &[ParseType::N(120)], }, - // 485 - RangeOperator: DotDotEqu; + // 490 - RangeOperator: DotDotEqu; Production { - lhs: 512, - production: &[ParseType::N(122)], + lhs: 511, + production: &[ParseType::N(121)], }, - // 486 - FixedType: U32; + // 491 - FixedType: U32; Production { - lhs: 214, - production: &[ParseType::N(594)], + lhs: 213, + production: &[ParseType::N(607)], }, - // 487 - FixedType: U64; + // 492 - FixedType: U64; Production { - lhs: 214, - production: &[ParseType::N(597)], + lhs: 213, + production: &[ParseType::N(610)], }, - // 488 - FixedType: I32; + // 493 - FixedType: I32; Production { - lhs: 214, - production: &[ParseType::N(239)], + lhs: 213, + production: &[ParseType::N(238)], }, - // 489 - FixedType: I64; + // 494 - FixedType: I64; Production { - lhs: 214, - production: &[ParseType::N(242)], + lhs: 213, + production: &[ParseType::N(241)], }, - // 490 - FixedType: F32; + // 495 - FixedType: F32; Production { - lhs: 214, - production: &[ParseType::N(197)], + lhs: 213, + production: &[ParseType::N(196)], }, - // 491 - FixedType: F64; + // 496 - FixedType: F64; Production { - lhs: 214, - production: &[ParseType::N(200)], + lhs: 213, + production: &[ParseType::N(199)], }, - // 492 - FixedType: Strin; + // 497 - FixedType: Strin; Production { - lhs: 214, - production: &[ParseType::N(566)], + lhs: 213, + production: &[ParseType::N(565)], }, - // 493 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; + // 498 - VariableType: VariableTypeGroup VariableTypeOpt /* Option */; Production { - lhs: 610, - production: &[ParseType::N(612), ParseType::N(611)], + lhs: 623, + production: &[ParseType::N(625), ParseType::N(624)], }, - // 494 - VariableTypeGroup: Clock; + // 499 - VariableTypeGroup: Clock; Production { - lhs: 611, - production: &[ParseType::N(79)], + lhs: 624, + production: &[ParseType::N(78)], }, - // 495 - VariableTypeGroup: ClockPosedge; + // 500 - VariableTypeGroup: ClockPosedge; Production { - lhs: 611, - production: &[ParseType::N(83)], + lhs: 624, + production: &[ParseType::N(82)], }, - // 496 - VariableTypeGroup: ClockNegedge; + // 501 - VariableTypeGroup: ClockNegedge; Production { - lhs: 611, - production: &[ParseType::N(80)], + lhs: 624, + production: &[ParseType::N(79)], }, - // 497 - VariableTypeGroup: Reset; + // 502 - VariableTypeGroup: Reset; Production { - lhs: 611, - production: &[ParseType::N(521)], + lhs: 624, + production: &[ParseType::N(520)], }, - // 498 - VariableTypeGroup: ResetAsyncHigh; + // 503 - VariableTypeGroup: ResetAsyncHigh; Production { - lhs: 611, - production: &[ParseType::N(522)], + lhs: 624, + production: &[ParseType::N(521)], }, - // 499 - VariableTypeGroup: ResetAsyncLow; + // 504 - VariableTypeGroup: ResetAsyncLow; Production { - lhs: 611, - production: &[ParseType::N(525)], + lhs: 624, + production: &[ParseType::N(524)], }, - // 500 - VariableTypeGroup: ResetSyncHigh; + // 505 - VariableTypeGroup: ResetSyncHigh; Production { - lhs: 611, - production: &[ParseType::N(528)], + lhs: 624, + production: &[ParseType::N(527)], }, - // 501 - VariableTypeGroup: ResetSyncLow; + // 506 - VariableTypeGroup: ResetSyncLow; Production { - lhs: 611, - production: &[ParseType::N(531)], + lhs: 624, + production: &[ParseType::N(530)], }, - // 502 - VariableTypeGroup: Logic; + // 507 - VariableTypeGroup: Logic; Production { - lhs: 611, - production: &[ParseType::N(369)], + lhs: 624, + production: &[ParseType::N(368)], }, - // 503 - VariableTypeGroup: Bit; + // 508 - VariableTypeGroup: Bit; Production { - lhs: 611, + lhs: 624, production: &[ParseType::N(57)], }, - // 504 - VariableTypeGroup: ScopedIdentifier; + // 509 - VariableTypeGroup: ScopedIdentifier; Production { - lhs: 611, - production: &[ParseType::N(543)], + lhs: 624, + production: &[ParseType::N(542)], }, - // 505 - VariableTypeOpt: Width; + // 510 - VariableTypeOpt: Width; Production { - lhs: 612, - production: &[ParseType::N(615)], + lhs: 625, + production: &[ParseType::N(628)], }, - // 506 - VariableTypeOpt: ; + // 511 - VariableTypeOpt: ; Production { - lhs: 612, + lhs: 625, production: &[], }, - // 507 - TypeModifier: Tri; + // 512 - TypeModifier: Tri; Production { - lhs: 591, - production: &[ParseType::N(585)], + lhs: 604, + production: &[ParseType::N(598)], }, - // 508 - TypeModifier: Signed; + // 513 - TypeModifier: Signed; Production { - lhs: 591, - production: &[ParseType::N(554)], + lhs: 604, + production: &[ParseType::N(553)], }, - // 509 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; + // 514 - ScalarType: ScalarTypeList /* Vec */ ScalarTypeGroup; Production { - lhs: 540, - production: &[ParseType::N(541), ParseType::N(542)], + lhs: 539, + production: &[ParseType::N(540), ParseType::N(541)], }, - // 510 - ScalarTypeGroup: VariableType; + // 515 - ScalarTypeGroup: VariableType; Production { - lhs: 541, - production: &[ParseType::N(610)], + lhs: 540, + production: &[ParseType::N(623)], }, - // 511 - ScalarTypeGroup: FixedType; + // 516 - ScalarTypeGroup: FixedType; Production { - lhs: 541, - production: &[ParseType::N(214)], + lhs: 540, + production: &[ParseType::N(213)], }, - // 512 - ScalarTypeList: TypeModifier ScalarTypeList; + // 517 - ScalarTypeList: TypeModifier ScalarTypeList; Production { - lhs: 542, - production: &[ParseType::N(542), ParseType::N(591)], + lhs: 541, + production: &[ParseType::N(541), ParseType::N(604)], }, - // 513 - ScalarTypeList: ; + // 518 - ScalarTypeList: ; Production { - lhs: 542, + lhs: 541, production: &[], }, - // 514 - ArrayType: ScalarType ArrayTypeOpt /* Option */; + // 519 - ArrayType: ScalarType ArrayTypeOpt /* Option */; Production { lhs: 31, - production: &[ParseType::N(32), ParseType::N(540)], + production: &[ParseType::N(32), ParseType::N(539)], }, - // 515 - ArrayTypeOpt: Array; + // 520 - ArrayTypeOpt: Array; Production { lhs: 32, production: &[ParseType::N(23)], }, - // 516 - ArrayTypeOpt: ; + // 521 - ArrayTypeOpt: ; Production { lhs: 32, production: &[], }, - // 517 - Statement: LetStatement; + // 522 - Statement: LetStatement; Production { - lhs: 562, - production: &[ParseType::N(361)], + lhs: 561, + production: &[ParseType::N(360)], }, - // 518 - Statement: IdentifierStatement; + // 523 - Statement: IdentifierStatement; Production { - lhs: 562, - production: &[ParseType::N(246)], + lhs: 561, + production: &[ParseType::N(245)], }, - // 519 - Statement: IfStatement; + // 524 - Statement: IfStatement; Production { - lhs: 562, - production: &[ParseType::N(262)], + lhs: 561, + production: &[ParseType::N(261)], }, - // 520 - Statement: IfResetStatement; + // 525 - Statement: IfResetStatement; Production { - lhs: 562, - production: &[ParseType::N(254)], + lhs: 561, + production: &[ParseType::N(253)], }, - // 521 - Statement: ReturnStatement; + // 526 - Statement: ReturnStatement; Production { - lhs: 562, - production: &[ParseType::N(537)], + lhs: 561, + production: &[ParseType::N(536)], }, - // 522 - Statement: BreakStatement; + // 527 - Statement: BreakStatement; Production { - lhs: 562, + lhs: 561, production: &[ParseType::N(61)], }, - // 523 - Statement: ForStatement; + // 528 - Statement: ForStatement; Production { - lhs: 562, - production: &[ParseType::N(216)], + lhs: 561, + production: &[ParseType::N(215)], }, - // 524 - Statement: CaseStatement; + // 529 - Statement: CaseStatement; Production { - lhs: 562, - production: &[ParseType::N(75)], + lhs: 561, + production: &[ParseType::N(74)], }, - // 525 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 530 - Statement: SwitchStatement; Production { - lhs: 361, + lhs: 561, + production: &[ParseType::N(594)], + }, + // 531 - LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; + Production { + lhs: 360, production: &[ - ParseType::N(551), - ParseType::N(165), - ParseType::N(153), + ParseType::N(550), + ParseType::N(164), + ParseType::N(152), ParseType::N(31), - ParseType::N(88), - ParseType::N(245), - ParseType::N(359), + ParseType::N(87), + ParseType::N(244), + ParseType::N(358), ], }, - // 526 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; + // 532 - IdentifierStatement: ExpressionIdentifier IdentifierStatementGroup Semicolon; Production { - lhs: 246, - production: &[ParseType::N(551), ParseType::N(247), ParseType::N(192)], + lhs: 245, + production: &[ParseType::N(550), ParseType::N(246), ParseType::N(191)], }, - // 527 - IdentifierStatementGroup: FunctionCall; + // 533 - IdentifierStatementGroup: FunctionCall; Production { - lhs: 247, - production: &[ParseType::N(222)], + lhs: 246, + production: &[ParseType::N(221)], }, - // 528 - IdentifierStatementGroup: Assignment; + // 534 - IdentifierStatementGroup: Assignment; Production { - lhs: 247, + lhs: 246, production: &[ParseType::N(40)], }, - // 529 - Assignment: AssignmentGroup Expression; + // 535 - Assignment: AssignmentGroup Expression; Production { lhs: 40, - production: &[ParseType::N(165), ParseType::N(41)], + production: &[ParseType::N(164), ParseType::N(41)], }, - // 530 - AssignmentGroup: Equ; + // 536 - AssignmentGroup: Equ; Production { lhs: 41, - production: &[ParseType::N(153)], + production: &[ParseType::N(152)], }, - // 531 - AssignmentGroup: AssignmentOperator; + // 537 - AssignmentGroup: AssignmentOperator; Production { lhs: 41, production: &[ParseType::N(42)], }, - // 532 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; + // 538 - IfStatement: If Expression LBrace IfStatementList /* Vec */ RBrace IfStatementList0 /* Vec */ IfStatementOpt /* Option */; Production { - lhs: 262, + lhs: 261, production: &[ - ParseType::N(266), - ParseType::N(264), - ParseType::N(498), + ParseType::N(265), ParseType::N(263), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), + ParseType::N(497), + ParseType::N(262), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), ], }, - // 533 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; + // 539 - IfStatementList0: Else If Expression LBrace IfStatementList0List /* Vec */ RBrace IfStatementList0; Production { - lhs: 264, + lhs: 263, production: &[ + ParseType::N(263), + ParseType::N(497), ParseType::N(264), - ParseType::N(498), - ParseType::N(265), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 534 - IfStatementList0List: Statement IfStatementList0List; + // 540 - IfStatementList0List: Statement IfStatementList0List; Production { - lhs: 265, - production: &[ParseType::N(265), ParseType::N(562)], + lhs: 264, + production: &[ParseType::N(264), ParseType::N(561)], }, - // 535 - IfStatementList0List: ; + // 541 - IfStatementList0List: ; Production { - lhs: 265, + lhs: 264, production: &[], }, - // 536 - IfStatementList0: ; + // 542 - IfStatementList0: ; Production { - lhs: 264, + lhs: 263, production: &[], }, - // 537 - IfStatementList: Statement IfStatementList; + // 543 - IfStatementList: Statement IfStatementList; Production { - lhs: 263, - production: &[ParseType::N(263), ParseType::N(562)], + lhs: 262, + production: &[ParseType::N(262), ParseType::N(561)], }, - // 538 - IfStatementList: ; + // 544 - IfStatementList: ; Production { - lhs: 263, + lhs: 262, production: &[], }, - // 539 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; + // 545 - IfStatementOpt: Else LBrace IfStatementOptList /* Vec */ RBrace; Production { - lhs: 266, + lhs: 265, production: &[ - ParseType::N(498), - ParseType::N(267), - ParseType::N(350), - ParseType::N(129), + ParseType::N(497), + ParseType::N(266), + ParseType::N(349), + ParseType::N(128), ], }, - // 540 - IfStatementOptList: Statement IfStatementOptList; + // 546 - IfStatementOptList: Statement IfStatementOptList; Production { - lhs: 267, - production: &[ParseType::N(267), ParseType::N(562)], + lhs: 266, + production: &[ParseType::N(266), ParseType::N(561)], }, - // 541 - IfStatementOptList: ; + // 547 - IfStatementOptList: ; Production { - lhs: 267, + lhs: 266, production: &[], }, - // 542 - IfStatementOpt: ; + // 548 - IfStatementOpt: ; Production { - lhs: 266, + lhs: 265, production: &[], }, - // 543 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; + // 549 - IfResetStatement: IfReset LBrace IfResetStatementList /* Vec */ RBrace IfResetStatementList0 /* Vec */ IfResetStatementOpt /* Option */; Production { - lhs: 254, + lhs: 253, production: &[ - ParseType::N(258), - ParseType::N(256), - ParseType::N(498), + ParseType::N(257), ParseType::N(255), - ParseType::N(350), - ParseType::N(253), + ParseType::N(497), + ParseType::N(254), + ParseType::N(349), + ParseType::N(252), ], }, - // 544 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; + // 550 - IfResetStatementList0: Else If Expression LBrace IfResetStatementList0List /* Vec */ RBrace IfResetStatementList0; Production { - lhs: 256, + lhs: 255, production: &[ + ParseType::N(255), + ParseType::N(497), ParseType::N(256), - ParseType::N(498), - ParseType::N(257), - ParseType::N(350), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(349), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 545 - IfResetStatementList0List: Statement IfResetStatementList0List; + // 551 - IfResetStatementList0List: Statement IfResetStatementList0List; Production { - lhs: 257, - production: &[ParseType::N(257), ParseType::N(562)], + lhs: 256, + production: &[ParseType::N(256), ParseType::N(561)], }, - // 546 - IfResetStatementList0List: ; + // 552 - IfResetStatementList0List: ; Production { - lhs: 257, + lhs: 256, production: &[], }, - // 547 - IfResetStatementList0: ; + // 553 - IfResetStatementList0: ; Production { - lhs: 256, + lhs: 255, production: &[], }, - // 548 - IfResetStatementList: Statement IfResetStatementList; + // 554 - IfResetStatementList: Statement IfResetStatementList; Production { - lhs: 255, - production: &[ParseType::N(255), ParseType::N(562)], + lhs: 254, + production: &[ParseType::N(254), ParseType::N(561)], }, - // 549 - IfResetStatementList: ; + // 555 - IfResetStatementList: ; Production { - lhs: 255, + lhs: 254, production: &[], }, - // 550 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; + // 556 - IfResetStatementOpt: Else LBrace IfResetStatementOptList /* Vec */ RBrace; Production { - lhs: 258, + lhs: 257, production: &[ - ParseType::N(498), - ParseType::N(259), - ParseType::N(350), - ParseType::N(129), + ParseType::N(497), + ParseType::N(258), + ParseType::N(349), + ParseType::N(128), ], }, - // 551 - IfResetStatementOptList: Statement IfResetStatementOptList; + // 557 - IfResetStatementOptList: Statement IfResetStatementOptList; Production { - lhs: 259, - production: &[ParseType::N(259), ParseType::N(562)], + lhs: 258, + production: &[ParseType::N(258), ParseType::N(561)], }, - // 552 - IfResetStatementOptList: ; + // 558 - IfResetStatementOptList: ; Production { - lhs: 259, + lhs: 258, production: &[], }, - // 553 - IfResetStatementOpt: ; + // 559 - IfResetStatementOpt: ; Production { - lhs: 258, + lhs: 257, production: &[], }, - // 554 - ReturnStatement: Return Expression Semicolon; + // 560 - ReturnStatement: Return Expression Semicolon; Production { - lhs: 537, - production: &[ParseType::N(551), ParseType::N(165), ParseType::N(536)], + lhs: 536, + production: &[ParseType::N(550), ParseType::N(164), ParseType::N(535)], }, - // 555 - BreakStatement: Break Semicolon; + // 561 - BreakStatement: Break Semicolon; Production { lhs: 61, - production: &[ParseType::N(551), ParseType::N(60)], + production: &[ParseType::N(550), ParseType::N(60)], }, - // 556 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; + // 562 - ForStatement: For Identifier Colon ScalarType In Range ForStatementOpt /* Option */ LBrace ForStatementList /* Vec */ RBrace; Production { - lhs: 216, + lhs: 215, production: &[ - ParseType::N(498), + ParseType::N(497), + ParseType::N(216), + ParseType::N(349), ParseType::N(217), - ParseType::N(350), - ParseType::N(218), - ParseType::N(507), - ParseType::N(275), - ParseType::N(540), - ParseType::N(88), - ParseType::N(245), - ParseType::N(215), + ParseType::N(506), + ParseType::N(274), + ParseType::N(539), + ParseType::N(87), + ParseType::N(244), + ParseType::N(214), ], }, - // 557 - ForStatementList: Statement ForStatementList; + // 563 - ForStatementList: Statement ForStatementList; Production { - lhs: 217, - production: &[ParseType::N(217), ParseType::N(562)], + lhs: 216, + production: &[ParseType::N(216), ParseType::N(561)], }, - // 558 - ForStatementList: ; + // 564 - ForStatementList: ; Production { - lhs: 217, + lhs: 216, production: &[], }, - // 559 - ForStatementOpt: Step AssignmentOperator Expression; + // 565 - ForStatementOpt: Step AssignmentOperator Expression; Production { - lhs: 218, - production: &[ParseType::N(165), ParseType::N(42), ParseType::N(563)], + lhs: 217, + production: &[ParseType::N(164), ParseType::N(42), ParseType::N(562)], }, - // 560 - ForStatementOpt: ; + // 566 - ForStatementOpt: ; Production { - lhs: 218, + lhs: 217, production: &[], }, - // 561 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; + // 567 - CaseStatement: Case Expression LBrace CaseStatementList /* Vec */ RBrace; Production { - lhs: 75, + lhs: 74, production: &[ - ParseType::N(498), - ParseType::N(76), - ParseType::N(350), - ParseType::N(165), + ParseType::N(497), + ParseType::N(75), + ParseType::N(349), + ParseType::N(164), ParseType::N(64), ], }, - // 562 - CaseStatementList: CaseItem CaseStatementList; + // 568 - CaseStatementList: CaseItem CaseStatementList; Production { - lhs: 76, - production: &[ParseType::N(76), ParseType::N(70)], + lhs: 75, + production: &[ParseType::N(75), ParseType::N(70)], }, - // 563 - CaseStatementList: ; + // 569 - CaseStatementList: ; Production { - lhs: 76, + lhs: 75, production: &[], }, - // 564 - CaseItem: CaseItemGroup Colon CaseItemGroup0; + // 570 - CaseItem: CaseItemGroup Colon CaseItemGroup0; Production { lhs: 70, - production: &[ParseType::N(72), ParseType::N(88), ParseType::N(71)], + production: &[ParseType::N(72), ParseType::N(87), ParseType::N(71)], }, - // 565 - CaseItemGroup0: Statement; + // 571 - CaseItemGroup0: Statement; Production { lhs: 72, - production: &[ParseType::N(562)], + production: &[ParseType::N(561)], }, - // 566 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; + // 572 - CaseItemGroup0: LBrace CaseItemGroup0List /* Vec */ RBrace; Production { lhs: 72, - production: &[ParseType::N(498), ParseType::N(73), ParseType::N(350)], + production: &[ParseType::N(497), ParseType::N(73), ParseType::N(349)], }, - // 567 - CaseItemGroup0List: Statement CaseItemGroup0List; + // 573 - CaseItemGroup0List: Statement CaseItemGroup0List; Production { lhs: 73, - production: &[ParseType::N(73), ParseType::N(562)], + production: &[ParseType::N(73), ParseType::N(561)], }, - // 568 - CaseItemGroup0List: ; + // 574 - CaseItemGroup0List: ; Production { lhs: 73, production: &[], }, - // 569 - CaseItemGroup: Expression CaseItemGroupList /* Vec */; + // 575 - CaseItemGroup: CaseCondition; Production { lhs: 71, - production: &[ParseType::N(74), ParseType::N(165)], + production: &[ParseType::N(65)], }, - // 570 - CaseItemGroupList: Comma Expression CaseItemGroupList; + // 576 - CaseItemGroup: Defaul; Production { - lhs: 74, - production: &[ParseType::N(74), ParseType::N(165), ParseType::N(97)], + lhs: 71, + production: &[ParseType::N(107)], }, - // 571 - CaseItemGroupList: ; + // 577 - CaseCondition: RangeItem CaseConditionList /* Vec */; Production { - lhs: 74, + lhs: 65, + production: &[ParseType::N(66), ParseType::N(507)], + }, + // 578 - CaseConditionList: Comma RangeItem CaseConditionList; + Production { + lhs: 66, + production: &[ParseType::N(66), ParseType::N(507), ParseType::N(96)], + }, + // 579 - CaseConditionList: ; + Production { + lhs: 66, production: &[], }, - // 572 - CaseItemGroup: Defaul; + // 580 - SwitchStatement: Switch LBrace SwitchStatementList /* Vec */ RBrace; Production { - lhs: 71, - production: &[ParseType::N(108)], + lhs: 594, + production: &[ + ParseType::N(497), + ParseType::N(595), + ParseType::N(349), + ParseType::N(584), + ], + }, + // 581 - SwitchStatementList: SwitchItem SwitchStatementList; + Production { + lhs: 595, + production: &[ParseType::N(595), ParseType::N(590)], + }, + // 582 - SwitchStatementList: ; + Production { + lhs: 595, + production: &[], + }, + // 583 - SwitchItem: SwitchItemGroup Colon SwitchItemGroup0; + Production { + lhs: 590, + production: &[ParseType::N(592), ParseType::N(87), ParseType::N(591)], + }, + // 584 - SwitchItemGroup0: Statement; + Production { + lhs: 592, + production: &[ParseType::N(561)], + }, + // 585 - SwitchItemGroup0: LBrace SwitchItemGroup0List /* Vec */ RBrace; + Production { + lhs: 592, + production: &[ParseType::N(497), ParseType::N(593), ParseType::N(349)], + }, + // 586 - SwitchItemGroup0List: Statement SwitchItemGroup0List; + Production { + lhs: 593, + production: &[ParseType::N(593), ParseType::N(561)], + }, + // 587 - SwitchItemGroup0List: ; + Production { + lhs: 593, + production: &[], + }, + // 588 - SwitchItemGroup: SwitchCondition; + Production { + lhs: 591, + production: &[ParseType::N(585)], + }, + // 589 - SwitchItemGroup: Defaul; + Production { + lhs: 591, + production: &[ParseType::N(107)], + }, + // 590 - SwitchCondition: Expression SwitchConditionList /* Vec */; + Production { + lhs: 585, + production: &[ParseType::N(586), ParseType::N(164)], + }, + // 591 - SwitchConditionList: Comma Expression SwitchConditionList; + Production { + lhs: 586, + production: &[ParseType::N(586), ParseType::N(164), ParseType::N(96)], + }, + // 592 - SwitchConditionList: ; + Production { + lhs: 586, + production: &[], }, - // 573 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; + // 593 - Attribute: Hash LBracket Identifier AttributeOpt /* Option */ RBracket; Production { lhs: 45, production: &[ - ParseType::N(501), + ParseType::N(500), ParseType::N(50), - ParseType::N(245), - ParseType::N(353), - ParseType::N(232), + ParseType::N(244), + ParseType::N(352), + ParseType::N(231), ], }, - // 574 - AttributeOpt: LParen AttributeList RParen; + // 594 - AttributeOpt: LParen AttributeList RParen; Production { lhs: 50, - production: &[ParseType::N(504), ParseType::N(47), ParseType::N(356)], + production: &[ParseType::N(503), ParseType::N(47), ParseType::N(355)], }, - // 575 - AttributeOpt: ; + // 595 - AttributeOpt: ; Production { lhs: 50, production: &[], }, - // 576 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; + // 596 - AttributeList: AttributeItem AttributeListList /* Vec */ AttributeListOpt /* Option */; Production { lhs: 47, production: &[ParseType::N(49), ParseType::N(48), ParseType::N(46)], }, - // 577 - AttributeListList: Comma AttributeItem AttributeListList; + // 597 - AttributeListList: Comma AttributeItem AttributeListList; Production { lhs: 48, - production: &[ParseType::N(48), ParseType::N(46), ParseType::N(97)], + production: &[ParseType::N(48), ParseType::N(46), ParseType::N(96)], }, - // 578 - AttributeListList: ; + // 598 - AttributeListList: ; Production { lhs: 48, production: &[], }, - // 579 - AttributeListOpt: Comma; + // 599 - AttributeListOpt: Comma; Production { lhs: 49, - production: &[ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 580 - AttributeListOpt: ; + // 600 - AttributeListOpt: ; Production { lhs: 49, production: &[], }, - // 581 - AttributeItem: Identifier; + // 601 - AttributeItem: Identifier; Production { lhs: 46, - production: &[ParseType::N(245)], + production: &[ParseType::N(244)], }, - // 582 - AttributeItem: StringLiteral; + // 602 - AttributeItem: StringLiteral; Production { lhs: 46, - production: &[ParseType::N(567)], + production: &[ParseType::N(566)], }, - // 583 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; + // 603 - LetDeclaration: Let Identifier Colon ArrayType Equ Expression Semicolon; Production { - lhs: 360, + lhs: 359, production: &[ - ParseType::N(551), - ParseType::N(165), - ParseType::N(153), + ParseType::N(550), + ParseType::N(164), + ParseType::N(152), ParseType::N(31), - ParseType::N(88), - ParseType::N(245), - ParseType::N(359), + ParseType::N(87), + ParseType::N(244), + ParseType::N(358), ], }, - // 584 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; + // 604 - VarDeclaration: Var Identifier Colon ArrayType Semicolon; Production { - lhs: 607, + lhs: 620, production: &[ - ParseType::N(551), + ParseType::N(550), ParseType::N(31), - ParseType::N(88), - ParseType::N(245), - ParseType::N(606), + ParseType::N(87), + ParseType::N(244), + ParseType::N(619), ], }, - // 585 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; + // 605 - LocalDeclaration: Local Identifier Colon LocalDeclarationGroup Semicolon; Production { - lhs: 365, + lhs: 364, production: &[ - ParseType::N(551), - ParseType::N(366), - ParseType::N(88), - ParseType::N(245), - ParseType::N(364), + ParseType::N(550), + ParseType::N(365), + ParseType::N(87), + ParseType::N(244), + ParseType::N(363), ], }, - // 586 - LocalDeclarationGroup: ArrayType Equ Expression; + // 606 - LocalDeclarationGroup: ArrayType Equ Expression; Production { - lhs: 366, - production: &[ParseType::N(165), ParseType::N(153), ParseType::N(31)], + lhs: 365, + production: &[ParseType::N(164), ParseType::N(152), ParseType::N(31)], }, - // 587 - LocalDeclarationGroup: Type Equ TypeExpression; + // 607 - LocalDeclarationGroup: Type Equ TypeExpression; Production { - lhs: 366, - production: &[ParseType::N(590), ParseType::N(153), ParseType::N(588)], + lhs: 365, + production: &[ParseType::N(603), ParseType::N(152), ParseType::N(601)], }, - // 588 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; + // 608 - TypeDefDeclaration: Type Identifier Equ ArrayType Semicolon; Production { - lhs: 589, + lhs: 602, production: &[ - ParseType::N(551), + ParseType::N(550), ParseType::N(31), - ParseType::N(153), - ParseType::N(245), - ParseType::N(588), + ParseType::N(152), + ParseType::N(244), + ParseType::N(601), ], }, - // 589 - AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; + // 609 - AlwaysFfDeclaration: AlwaysFf AlwaysFfDeclarationOpt /* Option */ LBrace AlwaysFfDeclarationList /* Vec */ RBrace; Production { lhs: 12, production: &[ - ParseType::N(498), + ParseType::N(497), ParseType::N(13), - ParseType::N(350), + ParseType::N(349), ParseType::N(14), ParseType::N(10), ], }, - // 590 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; + // 610 - AlwaysFfDeclarationList: Statement AlwaysFfDeclarationList; Production { lhs: 13, - production: &[ParseType::N(13), ParseType::N(562)], + production: &[ParseType::N(13), ParseType::N(561)], }, - // 591 - AlwaysFfDeclarationList: ; + // 611 - AlwaysFfDeclarationList: ; Production { lhs: 13, production: &[], }, - // 592 - AlwaysFfDeclarationOpt: AlwayfFfEventList; + // 612 - AlwaysFfDeclarationOpt: AlwayfFfEventList; Production { lhs: 14, production: &[ParseType::N(3)], }, - // 593 - AlwaysFfDeclarationOpt: ; + // 613 - AlwaysFfDeclarationOpt: ; Production { lhs: 14, production: &[], }, - // 594 - AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; + // 614 - AlwayfFfEventList: LParen AlwaysFfClock AlwayfFfEventListOpt /* Option */ RParen; Production { lhs: 3, production: &[ - ParseType::N(504), + ParseType::N(503), ParseType::N(4), ParseType::N(11), - ParseType::N(356), + ParseType::N(355), ], }, - // 595 - AlwayfFfEventListOpt: Comma AlwaysFfReset; + // 615 - AlwayfFfEventListOpt: Comma AlwaysFfReset; Production { lhs: 4, - production: &[ParseType::N(15), ParseType::N(97)], + production: &[ParseType::N(15), ParseType::N(96)], }, - // 596 - AlwayfFfEventListOpt: ; + // 616 - AlwayfFfEventListOpt: ; Production { lhs: 4, production: &[], }, - // 597 - AlwaysFfClock: HierarchicalIdentifier; + // 617 - AlwaysFfClock: HierarchicalIdentifier; Production { lhs: 11, - production: &[ParseType::N(235)], + production: &[ParseType::N(234)], }, - // 598 - AlwaysFfReset: HierarchicalIdentifier; + // 618 - AlwaysFfReset: HierarchicalIdentifier; Production { lhs: 15, - production: &[ParseType::N(235)], + production: &[ParseType::N(234)], }, - // 599 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; + // 619 - AlwaysCombDeclaration: AlwaysComb LBrace AlwaysCombDeclarationList /* Vec */ RBrace; Production { lhs: 6, production: &[ - ParseType::N(498), + ParseType::N(497), ParseType::N(7), - ParseType::N(350), + ParseType::N(349), ParseType::N(5), ], }, - // 600 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; + // 620 - AlwaysCombDeclarationList: Statement AlwaysCombDeclarationList; Production { lhs: 7, - production: &[ParseType::N(7), ParseType::N(562)], + production: &[ParseType::N(7), ParseType::N(561)], }, - // 601 - AlwaysCombDeclarationList: ; + // 621 - AlwaysCombDeclarationList: ; Production { lhs: 7, production: &[], }, - // 602 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; + // 622 - AssignDeclaration: Assign HierarchicalIdentifier Equ Expression Semicolon; Production { lhs: 37, production: &[ - ParseType::N(551), - ParseType::N(165), - ParseType::N(153), - ParseType::N(235), + ParseType::N(550), + ParseType::N(164), + ParseType::N(152), + ParseType::N(234), ParseType::N(36), ], }, - // 603 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; + // 623 - ModportDeclaration: Modport Identifier LBrace ModportList RBrace; Production { - lhs: 382, + lhs: 381, production: &[ - ParseType::N(498), - ParseType::N(387), - ParseType::N(350), - ParseType::N(245), - ParseType::N(381), + ParseType::N(497), + ParseType::N(386), + ParseType::N(349), + ParseType::N(244), + ParseType::N(380), ], }, - // 604 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; + // 624 - ModportList: ModportGroup ModportListList /* Vec */ ModportListOpt /* Option */; + Production { + lhs: 386, + production: &[ParseType::N(388), ParseType::N(387), ParseType::N(382)], + }, + // 625 - ModportListList: Comma ModportGroup ModportListList; Production { lhs: 387, - production: &[ParseType::N(389), ParseType::N(388), ParseType::N(383)], + production: &[ParseType::N(387), ParseType::N(382), ParseType::N(96)], }, - // 605 - ModportListList: Comma ModportGroup ModportListList; + // 626 - ModportListList: ; + Production { + lhs: 387, + production: &[], + }, + // 627 - ModportListOpt: Comma; Production { lhs: 388, - production: &[ParseType::N(388), ParseType::N(383), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 606 - ModportListList: ; + // 628 - ModportListOpt: ; Production { lhs: 388, production: &[], }, - // 607 - ModportListOpt: Comma; + // 629 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; Production { - lhs: 389, - production: &[ParseType::N(97)], + lhs: 382, + production: &[ParseType::N(383), ParseType::N(384)], }, - // 608 - ModportListOpt: ; + // 630 - ModportGroupGroup: LBrace ModportList RBrace; Production { - lhs: 389, - production: &[], + lhs: 383, + production: &[ParseType::N(497), ParseType::N(386), ParseType::N(349)], }, - // 609 - ModportGroup: ModportGroupList /* Vec */ ModportGroupGroup; + // 631 - ModportGroupGroup: ModportItem; Production { lhs: 383, - production: &[ParseType::N(384), ParseType::N(385)], + production: &[ParseType::N(385)], }, - // 610 - ModportGroupGroup: LBrace ModportList RBrace; + // 632 - ModportGroupList: Attribute ModportGroupList; Production { lhs: 384, - production: &[ParseType::N(498), ParseType::N(387), ParseType::N(350)], + production: &[ParseType::N(384), ParseType::N(45)], }, - // 611 - ModportGroupGroup: ModportItem; + // 633 - ModportGroupList: ; Production { lhs: 384, - production: &[ParseType::N(386)], + production: &[], }, - // 612 - ModportGroupList: Attribute ModportGroupList; + // 634 - ModportItem: Identifier Colon Direction; Production { lhs: 385, - production: &[ParseType::N(385), ParseType::N(45)], + production: &[ParseType::N(115), ParseType::N(87), ParseType::N(244)], }, - // 613 - ModportGroupList: ; + // 635 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; Production { - lhs: 385, - production: &[], + lhs: 141, + production: &[ + ParseType::N(497), + ParseType::N(147), + ParseType::N(349), + ParseType::N(539), + ParseType::N(87), + ParseType::N(244), + ParseType::N(140), + ], }, - // 614 - ModportItem: Identifier Colon Direction; + // 636 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; Production { - lhs: 386, - production: &[ParseType::N(116), ParseType::N(88), ParseType::N(245)], + lhs: 147, + production: &[ParseType::N(149), ParseType::N(148), ParseType::N(142)], }, - // 615 - EnumDeclaration: Enum Identifier Colon ScalarType LBrace EnumList RBrace; + // 637 - EnumListList: Comma EnumGroup EnumListList; Production { - lhs: 142, - production: &[ - ParseType::N(498), - ParseType::N(148), - ParseType::N(350), - ParseType::N(540), - ParseType::N(88), - ParseType::N(245), - ParseType::N(141), - ], + lhs: 148, + production: &[ParseType::N(148), ParseType::N(142), ParseType::N(96)], }, - // 616 - EnumList: EnumGroup EnumListList /* Vec */ EnumListOpt /* Option */; + // 638 - EnumListList: ; Production { lhs: 148, - production: &[ParseType::N(150), ParseType::N(149), ParseType::N(143)], + production: &[], }, - // 617 - EnumListList: Comma EnumGroup EnumListList; + // 639 - EnumListOpt: Comma; Production { lhs: 149, - production: &[ParseType::N(149), ParseType::N(143), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 618 - EnumListList: ; + // 640 - EnumListOpt: ; Production { lhs: 149, production: &[], }, - // 619 - EnumListOpt: Comma; + // 641 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; Production { - lhs: 150, - production: &[ParseType::N(97)], + lhs: 142, + production: &[ParseType::N(143), ParseType::N(144)], }, - // 620 - EnumListOpt: ; + // 642 - EnumGroupGroup: LBrace EnumList RBrace; Production { - lhs: 150, - production: &[], + lhs: 143, + production: &[ParseType::N(497), ParseType::N(147), ParseType::N(349)], }, - // 621 - EnumGroup: EnumGroupList /* Vec */ EnumGroupGroup; + // 643 - EnumGroupGroup: EnumItem; Production { lhs: 143, - production: &[ParseType::N(144), ParseType::N(145)], + production: &[ParseType::N(145)], }, - // 622 - EnumGroupGroup: LBrace EnumList RBrace; + // 644 - EnumGroupList: Attribute EnumGroupList; Production { lhs: 144, - production: &[ParseType::N(498), ParseType::N(148), ParseType::N(350)], + production: &[ParseType::N(144), ParseType::N(45)], }, - // 623 - EnumGroupGroup: EnumItem; + // 645 - EnumGroupList: ; Production { lhs: 144, - production: &[ParseType::N(146)], + production: &[], }, - // 624 - EnumGroupList: Attribute EnumGroupList; + // 646 - EnumItem: Identifier EnumItemOpt /* Option */; Production { lhs: 145, - production: &[ParseType::N(145), ParseType::N(45)], + production: &[ParseType::N(146), ParseType::N(244)], }, - // 625 - EnumGroupList: ; + // 647 - EnumItemOpt: Equ Expression; Production { - lhs: 145, - production: &[], + lhs: 146, + production: &[ParseType::N(164), ParseType::N(152)], }, - // 626 - EnumItem: Identifier EnumItemOpt /* Option */; + // 648 - EnumItemOpt: ; Production { lhs: 146, - production: &[ParseType::N(147), ParseType::N(245)], + production: &[], }, - // 627 - EnumItemOpt: Equ Expression; + // 649 - StructUnion: Struct; Production { - lhs: 147, - production: &[ParseType::N(165), ParseType::N(153)], + lhs: 574, + production: &[ParseType::N(571)], }, - // 628 - EnumItemOpt: ; + // 650 - StructUnion: Union; Production { - lhs: 147, - production: &[], + lhs: 574, + production: &[ParseType::N(616)], }, - // 629 - StructUnion: Struct; + // 651 - StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; Production { lhs: 575, - production: &[ParseType::N(572)], + production: &[ + ParseType::N(497), + ParseType::N(581), + ParseType::N(349), + ParseType::N(576), + ParseType::N(244), + ParseType::N(574), + ], }, - // 630 - StructUnion: Union; + // 652 - StructUnionDeclarationOpt: WithGenericParameter; Production { - lhs: 575, - production: &[ParseType::N(603)], + lhs: 576, + production: &[ParseType::N(636)], }, - // 631 - StructUnionDeclaration: StructUnion Identifier StructUnionDeclarationOpt /* Option */ LBrace StructUnionList RBrace; + // 653 - StructUnionDeclarationOpt: ; Production { lhs: 576, - production: &[ - ParseType::N(498), - ParseType::N(582), - ParseType::N(350), - ParseType::N(577), - ParseType::N(245), - ParseType::N(575), - ], + production: &[], }, - // 632 - StructUnionDeclarationOpt: WithGenericParameter; + // 654 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; Production { - lhs: 577, - production: &[ParseType::N(623)], + lhs: 581, + production: &[ParseType::N(583), ParseType::N(582), ParseType::N(577)], }, - // 633 - StructUnionDeclarationOpt: ; + // 655 - StructUnionListList: Comma StructUnionGroup StructUnionListList; Production { - lhs: 577, - production: &[], + lhs: 582, + production: &[ParseType::N(582), ParseType::N(577), ParseType::N(96)], }, - // 634 - StructUnionList: StructUnionGroup StructUnionListList /* Vec */ StructUnionListOpt /* Option */; + // 656 - StructUnionListList: ; Production { lhs: 582, - production: &[ParseType::N(584), ParseType::N(583), ParseType::N(578)], + production: &[], }, - // 635 - StructUnionListList: Comma StructUnionGroup StructUnionListList; + // 657 - StructUnionListOpt: Comma; Production { lhs: 583, - production: &[ParseType::N(583), ParseType::N(578), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 636 - StructUnionListList: ; + // 658 - StructUnionListOpt: ; Production { lhs: 583, production: &[], }, - // 637 - StructUnionListOpt: Comma; + // 659 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; Production { - lhs: 584, - production: &[ParseType::N(97)], + lhs: 577, + production: &[ParseType::N(578), ParseType::N(579)], }, - // 638 - StructUnionListOpt: ; + // 660 - StructUnionGroupGroup: LBrace StructUnionList RBrace; Production { - lhs: 584, - production: &[], + lhs: 578, + production: &[ParseType::N(497), ParseType::N(581), ParseType::N(349)], }, - // 639 - StructUnionGroup: StructUnionGroupList /* Vec */ StructUnionGroupGroup; + // 661 - StructUnionGroupGroup: StructUnionItem; Production { lhs: 578, - production: &[ParseType::N(579), ParseType::N(580)], + production: &[ParseType::N(580)], }, - // 640 - StructUnionGroupGroup: LBrace StructUnionList RBrace; + // 662 - StructUnionGroupList: Attribute StructUnionGroupList; Production { lhs: 579, - production: &[ParseType::N(498), ParseType::N(582), ParseType::N(350)], + production: &[ParseType::N(579), ParseType::N(45)], }, - // 641 - StructUnionGroupGroup: StructUnionItem; + // 663 - StructUnionGroupList: ; Production { lhs: 579, - production: &[ParseType::N(581)], + production: &[], }, - // 642 - StructUnionGroupList: Attribute StructUnionGroupList; + // 664 - StructUnionItem: Identifier Colon ScalarType; Production { lhs: 580, - production: &[ParseType::N(580), ParseType::N(45)], + production: &[ParseType::N(539), ParseType::N(87), ParseType::N(244)], }, - // 643 - StructUnionGroupList: ; + // 665 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; Production { - lhs: 580, - production: &[], + lhs: 282, + production: &[ + ParseType::N(497), + ParseType::N(283), + ParseType::N(349), + ParseType::N(281), + ], }, - // 644 - StructUnionItem: Identifier Colon ScalarType; - Production { - lhs: 581, - production: &[ParseType::N(540), ParseType::N(88), ParseType::N(245)], - }, - // 645 - InitialDeclaration: Initial LBrace InitialDeclarationList /* Vec */ RBrace; + // 666 - InitialDeclarationList: Statement InitialDeclarationList; Production { lhs: 283, - production: &[ - ParseType::N(498), - ParseType::N(284), - ParseType::N(350), - ParseType::N(282), - ], - }, - // 646 - InitialDeclarationList: Statement InitialDeclarationList; - Production { - lhs: 284, - production: &[ParseType::N(284), ParseType::N(562)], + production: &[ParseType::N(283), ParseType::N(561)], }, - // 647 - InitialDeclarationList: ; + // 667 - InitialDeclarationList: ; Production { - lhs: 284, + lhs: 283, production: &[], }, - // 648 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; + // 668 - FinalDeclaration: Final LBrace FinalDeclarationList /* Vec */ RBrace; Production { - lhs: 207, + lhs: 206, production: &[ - ParseType::N(498), - ParseType::N(208), - ParseType::N(350), - ParseType::N(206), + ParseType::N(497), + ParseType::N(207), + ParseType::N(349), + ParseType::N(205), ], }, - // 649 - FinalDeclarationList: Statement FinalDeclarationList; + // 669 - FinalDeclarationList: Statement FinalDeclarationList; Production { - lhs: 208, - production: &[ParseType::N(208), ParseType::N(562)], + lhs: 207, + production: &[ParseType::N(207), ParseType::N(561)], }, - // 650 - FinalDeclarationList: ; + // 670 - FinalDeclarationList: ; Production { - lhs: 208, + lhs: 207, production: &[], }, - // 651 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; + // 671 - InstDeclaration: Inst Identifier Colon ScopedIdentifier InstDeclarationOpt /* Option */ InstDeclarationOpt0 /* Option */ InstDeclarationOpt1 /* Option */ Semicolon; Production { - lhs: 298, + lhs: 297, production: &[ - ParseType::N(551), - ParseType::N(301), + ParseType::N(550), ParseType::N(300), ParseType::N(299), - ParseType::N(543), - ParseType::N(88), - ParseType::N(245), - ParseType::N(297), + ParseType::N(298), + ParseType::N(542), + ParseType::N(87), + ParseType::N(244), + ParseType::N(296), ], }, - // 652 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; + // 672 - InstDeclarationOpt1: LParen InstDeclarationOpt2 /* Option */ RParen; Production { - lhs: 301, - production: &[ParseType::N(504), ParseType::N(302), ParseType::N(356)], + lhs: 300, + production: &[ParseType::N(503), ParseType::N(301), ParseType::N(355)], }, - // 653 - InstDeclarationOpt2: InstPortList; + // 673 - InstDeclarationOpt2: InstPortList; Production { - lhs: 302, - production: &[ParseType::N(318)], + lhs: 301, + production: &[ParseType::N(317)], }, - // 654 - InstDeclarationOpt2: ; + // 674 - InstDeclarationOpt2: ; Production { - lhs: 302, + lhs: 301, production: &[], }, - // 655 - InstDeclarationOpt1: ; + // 675 - InstDeclarationOpt1: ; Production { - lhs: 301, + lhs: 300, production: &[], }, - // 656 - InstDeclarationOpt0: InstParameter; + // 676 - InstDeclarationOpt0: InstParameter; Production { - lhs: 300, - production: &[ParseType::N(303)], + lhs: 299, + production: &[ParseType::N(302)], }, - // 657 - InstDeclarationOpt0: ; + // 677 - InstDeclarationOpt0: ; Production { - lhs: 300, + lhs: 299, production: &[], }, - // 658 - InstDeclarationOpt: Array; + // 678 - InstDeclarationOpt: Array; Production { - lhs: 299, + lhs: 298, production: &[ParseType::N(23)], }, - // 659 - InstDeclarationOpt: ; + // 679 - InstDeclarationOpt: ; Production { - lhs: 299, + lhs: 298, production: &[], }, - // 660 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; + // 680 - InstParameter: Hash LParen InstParameterOpt /* Option */ RParen; Production { - lhs: 303, + lhs: 302, production: &[ - ParseType::N(504), - ParseType::N(312), - ParseType::N(356), - ParseType::N(232), + ParseType::N(503), + ParseType::N(311), + ParseType::N(355), + ParseType::N(231), ], }, - // 661 - InstParameterOpt: InstParameterList; + // 681 - InstParameterOpt: InstParameterList; Production { - lhs: 312, - production: &[ParseType::N(309)], + lhs: 311, + production: &[ParseType::N(308)], }, - // 662 - InstParameterOpt: ; + // 682 - InstParameterOpt: ; Production { - lhs: 312, + lhs: 311, production: &[], }, - // 663 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; + // 683 - InstParameterList: InstParameterGroup InstParameterListList /* Vec */ InstParameterListOpt /* Option */; + Production { + lhs: 308, + production: &[ParseType::N(310), ParseType::N(309), ParseType::N(303)], + }, + // 684 - InstParameterListList: Comma InstParameterGroup InstParameterListList; + Production { + lhs: 309, + production: &[ParseType::N(309), ParseType::N(303), ParseType::N(96)], + }, + // 685 - InstParameterListList: ; Production { lhs: 309, - production: &[ParseType::N(311), ParseType::N(310), ParseType::N(304)], + production: &[], }, - // 664 - InstParameterListList: Comma InstParameterGroup InstParameterListList; + // 686 - InstParameterListOpt: Comma; Production { lhs: 310, - production: &[ParseType::N(310), ParseType::N(304), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 665 - InstParameterListList: ; + // 687 - InstParameterListOpt: ; Production { lhs: 310, production: &[], }, - // 666 - InstParameterListOpt: Comma; + // 688 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; Production { - lhs: 311, - production: &[ParseType::N(97)], + lhs: 303, + production: &[ParseType::N(304), ParseType::N(305)], }, - // 667 - InstParameterListOpt: ; + // 689 - InstParameterGroupGroup: LBrace InstParameterList RBrace; Production { - lhs: 311, - production: &[], + lhs: 304, + production: &[ParseType::N(497), ParseType::N(308), ParseType::N(349)], }, - // 668 - InstParameterGroup: InstParameterGroupList /* Vec */ InstParameterGroupGroup; + // 690 - InstParameterGroupGroup: InstParameterItem; Production { lhs: 304, - production: &[ParseType::N(305), ParseType::N(306)], + production: &[ParseType::N(306)], }, - // 669 - InstParameterGroupGroup: LBrace InstParameterList RBrace; + // 691 - InstParameterGroupList: Attribute InstParameterGroupList; Production { lhs: 305, - production: &[ParseType::N(498), ParseType::N(309), ParseType::N(350)], + production: &[ParseType::N(305), ParseType::N(45)], }, - // 670 - InstParameterGroupGroup: InstParameterItem; + // 692 - InstParameterGroupList: ; Production { lhs: 305, - production: &[ParseType::N(307)], + production: &[], }, - // 671 - InstParameterGroupList: Attribute InstParameterGroupList; + // 693 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; Production { lhs: 306, - production: &[ParseType::N(306), ParseType::N(45)], + production: &[ParseType::N(307), ParseType::N(244)], }, - // 672 - InstParameterGroupList: ; + // 694 - InstParameterItemOpt: Colon Expression; Production { - lhs: 306, - production: &[], + lhs: 307, + production: &[ParseType::N(164), ParseType::N(87)], }, - // 673 - InstParameterItem: Identifier InstParameterItemOpt /* Option */; + // 695 - InstParameterItemOpt: ; Production { lhs: 307, - production: &[ParseType::N(308), ParseType::N(245)], + production: &[], }, - // 674 - InstParameterItemOpt: Colon Expression; + // 696 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; Production { - lhs: 308, - production: &[ParseType::N(165), ParseType::N(88)], + lhs: 317, + production: &[ParseType::N(319), ParseType::N(318), ParseType::N(312)], }, - // 675 - InstParameterItemOpt: ; + // 697 - InstPortListList: Comma InstPortGroup InstPortListList; Production { - lhs: 308, - production: &[], + lhs: 318, + production: &[ParseType::N(318), ParseType::N(312), ParseType::N(96)], }, - // 676 - InstPortList: InstPortGroup InstPortListList /* Vec */ InstPortListOpt /* Option */; + // 698 - InstPortListList: ; Production { lhs: 318, - production: &[ParseType::N(320), ParseType::N(319), ParseType::N(313)], + production: &[], }, - // 677 - InstPortListList: Comma InstPortGroup InstPortListList; + // 699 - InstPortListOpt: Comma; Production { lhs: 319, - production: &[ParseType::N(319), ParseType::N(313), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 678 - InstPortListList: ; + // 700 - InstPortListOpt: ; Production { lhs: 319, production: &[], }, - // 679 - InstPortListOpt: Comma; + // 701 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; Production { - lhs: 320, - production: &[ParseType::N(97)], + lhs: 312, + production: &[ParseType::N(313), ParseType::N(314)], }, - // 680 - InstPortListOpt: ; + // 702 - InstPortGroupGroup: LBrace InstPortList RBrace; Production { - lhs: 320, - production: &[], + lhs: 313, + production: &[ParseType::N(497), ParseType::N(317), ParseType::N(349)], }, - // 681 - InstPortGroup: InstPortGroupList /* Vec */ InstPortGroupGroup; + // 703 - InstPortGroupGroup: InstPortItem; Production { lhs: 313, - production: &[ParseType::N(314), ParseType::N(315)], + production: &[ParseType::N(315)], }, - // 682 - InstPortGroupGroup: LBrace InstPortList RBrace; + // 704 - InstPortGroupList: Attribute InstPortGroupList; Production { lhs: 314, - production: &[ParseType::N(498), ParseType::N(318), ParseType::N(350)], + production: &[ParseType::N(314), ParseType::N(45)], }, - // 683 - InstPortGroupGroup: InstPortItem; + // 705 - InstPortGroupList: ; Production { lhs: 314, - production: &[ParseType::N(316)], - }, - // 684 - InstPortGroupList: Attribute InstPortGroupList; - Production { - lhs: 315, - production: &[ParseType::N(315), ParseType::N(45)], + production: &[], }, - // 685 - InstPortGroupList: ; + // 706 - InstPortItem: Identifier InstPortItemOpt /* Option */; Production { lhs: 315, - production: &[], + production: &[ParseType::N(316), ParseType::N(244)], }, - // 686 - InstPortItem: Identifier InstPortItemOpt /* Option */; + // 707 - InstPortItemOpt: Colon Expression; Production { lhs: 316, - production: &[ParseType::N(317), ParseType::N(245)], - }, - // 687 - InstPortItemOpt: Colon Expression; - Production { - lhs: 317, - production: &[ParseType::N(165), ParseType::N(88)], + production: &[ParseType::N(164), ParseType::N(87)], }, - // 688 - InstPortItemOpt: ; + // 708 - InstPortItemOpt: ; Production { - lhs: 317, + lhs: 316, production: &[], }, - // 689 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; + // 709 - WithParameter: Hash LParen WithParameterOpt /* Option */ RParen; Production { - lhs: 629, + lhs: 642, production: &[ - ParseType::N(504), - ParseType::N(639), - ParseType::N(356), - ParseType::N(232), + ParseType::N(503), + ParseType::N(652), + ParseType::N(355), + ParseType::N(231), ], }, - // 690 - WithParameterOpt: WithParameterList; + // 710 - WithParameterOpt: WithParameterList; Production { - lhs: 639, - production: &[ParseType::N(636)], + lhs: 652, + production: &[ParseType::N(649)], }, - // 691 - WithParameterOpt: ; + // 711 - WithParameterOpt: ; Production { - lhs: 639, + lhs: 652, production: &[], }, - // 692 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; + // 712 - WithParameterList: WithParameterGroup WithParameterListList /* Vec */ WithParameterListOpt /* Option */; Production { - lhs: 636, - production: &[ParseType::N(638), ParseType::N(637), ParseType::N(630)], + lhs: 649, + production: &[ParseType::N(651), ParseType::N(650), ParseType::N(643)], }, - // 693 - WithParameterListList: Comma WithParameterGroup WithParameterListList; + // 713 - WithParameterListList: Comma WithParameterGroup WithParameterListList; Production { - lhs: 637, - production: &[ParseType::N(637), ParseType::N(630), ParseType::N(97)], + lhs: 650, + production: &[ParseType::N(650), ParseType::N(643), ParseType::N(96)], }, - // 694 - WithParameterListList: ; + // 714 - WithParameterListList: ; Production { - lhs: 637, + lhs: 650, production: &[], }, - // 695 - WithParameterListOpt: Comma; + // 715 - WithParameterListOpt: Comma; Production { - lhs: 638, - production: &[ParseType::N(97)], + lhs: 651, + production: &[ParseType::N(96)], }, - // 696 - WithParameterListOpt: ; + // 716 - WithParameterListOpt: ; Production { - lhs: 638, + lhs: 651, production: &[], }, - // 697 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; + // 717 - WithParameterGroup: WithParameterGroupList /* Vec */ WithParameterGroupGroup; Production { - lhs: 630, - production: &[ParseType::N(631), ParseType::N(632)], + lhs: 643, + production: &[ParseType::N(644), ParseType::N(645)], }, - // 698 - WithParameterGroupGroup: LBrace WithParameterList RBrace; + // 718 - WithParameterGroupGroup: LBrace WithParameterList RBrace; Production { - lhs: 631, - production: &[ParseType::N(498), ParseType::N(636), ParseType::N(350)], + lhs: 644, + production: &[ParseType::N(497), ParseType::N(649), ParseType::N(349)], }, - // 699 - WithParameterGroupGroup: WithParameterItem; + // 719 - WithParameterGroupGroup: WithParameterItem; Production { - lhs: 631, - production: &[ParseType::N(633)], + lhs: 644, + production: &[ParseType::N(646)], }, - // 700 - WithParameterGroupList: Attribute WithParameterGroupList; + // 720 - WithParameterGroupList: Attribute WithParameterGroupList; Production { - lhs: 632, - production: &[ParseType::N(632), ParseType::N(45)], + lhs: 645, + production: &[ParseType::N(645), ParseType::N(45)], }, - // 701 - WithParameterGroupList: ; + // 721 - WithParameterGroupList: ; Production { - lhs: 632, + lhs: 645, production: &[], }, - // 702 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; + // 722 - WithParameterItem: WithParameterItemGroup Identifier Colon WithParameterItemGroup0; Production { - lhs: 633, + lhs: 646, production: &[ - ParseType::N(635), - ParseType::N(88), - ParseType::N(245), - ParseType::N(634), + ParseType::N(648), + ParseType::N(87), + ParseType::N(244), + ParseType::N(647), ], }, - // 703 - WithParameterItemGroup0: ArrayType Equ Expression; + // 723 - WithParameterItemGroup0: ArrayType Equ Expression; Production { - lhs: 635, - production: &[ParseType::N(165), ParseType::N(153), ParseType::N(31)], + lhs: 648, + production: &[ParseType::N(164), ParseType::N(152), ParseType::N(31)], }, - // 704 - WithParameterItemGroup0: Type Equ TypeExpression; + // 724 - WithParameterItemGroup0: Type Equ TypeExpression; Production { - lhs: 635, - production: &[ParseType::N(590), ParseType::N(153), ParseType::N(588)], + lhs: 648, + production: &[ParseType::N(603), ParseType::N(152), ParseType::N(601)], }, - // 705 - WithParameterItemGroup: Param; + // 725 - WithParameterItemGroup: Param; Production { - lhs: 634, - production: &[ParseType::N(472)], + lhs: 647, + production: &[ParseType::N(471)], }, - // 706 - WithParameterItemGroup: Local; + // 726 - WithParameterItemGroup: Local; Production { - lhs: 634, - production: &[ParseType::N(364)], + lhs: 647, + production: &[ParseType::N(363)], }, - // 707 - WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; + // 727 - WithGenericParameter: ColonColonLAngle WithGenericParameterList RAngle; Production { - lhs: 623, - production: &[ParseType::N(495), ParseType::N(626), ParseType::N(90)], + lhs: 636, + production: &[ParseType::N(494), ParseType::N(639), ParseType::N(89)], }, - // 708 - WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; + // 728 - WithGenericParameterList: WithGenericParameterItem WithGenericParameterListList /* Vec */ WithGenericParameterListOpt /* Option */; Production { - lhs: 626, - production: &[ParseType::N(628), ParseType::N(627), ParseType::N(624)], + lhs: 639, + production: &[ParseType::N(641), ParseType::N(640), ParseType::N(637)], }, - // 709 - WithGenericParameterListList: Comma WithGenericParameterItem WithGenericParameterListList; + // 729 - WithGenericParameterListList: Comma WithGenericParameterItem WithGenericParameterListList; Production { - lhs: 627, - production: &[ParseType::N(627), ParseType::N(624), ParseType::N(97)], + lhs: 640, + production: &[ParseType::N(640), ParseType::N(637), ParseType::N(96)], }, - // 710 - WithGenericParameterListList: ; + // 730 - WithGenericParameterListList: ; Production { - lhs: 627, + lhs: 640, production: &[], }, - // 711 - WithGenericParameterListOpt: Comma; + // 731 - WithGenericParameterListOpt: Comma; Production { - lhs: 628, - production: &[ParseType::N(97)], + lhs: 641, + production: &[ParseType::N(96)], }, - // 712 - WithGenericParameterListOpt: ; + // 732 - WithGenericParameterListOpt: ; Production { - lhs: 628, + lhs: 641, production: &[], }, - // 713 - WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; + // 733 - WithGenericParameterItem: Identifier WithGenericParameterItemOpt /* Option */; Production { - lhs: 624, - production: &[ParseType::N(625), ParseType::N(245)], + lhs: 637, + production: &[ParseType::N(638), ParseType::N(244)], }, - // 714 - WithGenericParameterItemOpt: Equ WithGenericArgumentItem; + // 734 - WithGenericParameterItemOpt: Equ WithGenericArgumentItem; Production { - lhs: 625, - production: &[ParseType::N(618), ParseType::N(153)], + lhs: 638, + production: &[ParseType::N(631), ParseType::N(152)], }, - // 715 - WithGenericParameterItemOpt: ; + // 735 - WithGenericParameterItemOpt: ; Production { - lhs: 625, + lhs: 638, production: &[], }, - // 716 - WithGenericArgument: ColonColonLAngle Push(2) WithGenericArgumentOpt /* Option */ RAngle Pop; + // 736 - WithGenericArgument: ColonColonLAngle Push(2) WithGenericArgumentOpt /* Option */ RAngle Pop; Production { - lhs: 617, + lhs: 630, production: &[ ParseType::Pop, - ParseType::N(495), - ParseType::N(622), + ParseType::N(494), + ParseType::N(635), ParseType::Push(2), - ParseType::N(90), + ParseType::N(89), ], }, - // 717 - WithGenericArgumentOpt: WithGenericArgumentList; + // 737 - WithGenericArgumentOpt: WithGenericArgumentList; Production { - lhs: 622, - production: &[ParseType::N(619)], + lhs: 635, + production: &[ParseType::N(632)], }, - // 718 - WithGenericArgumentOpt: ; + // 738 - WithGenericArgumentOpt: ; Production { - lhs: 622, + lhs: 635, production: &[], }, - // 719 - WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; + // 739 - WithGenericArgumentList: WithGenericArgumentItem WithGenericArgumentListList /* Vec */ WithGenericArgumentListOpt /* Option */; Production { - lhs: 619, - production: &[ParseType::N(621), ParseType::N(620), ParseType::N(618)], + lhs: 632, + production: &[ParseType::N(634), ParseType::N(633), ParseType::N(631)], }, - // 720 - WithGenericArgumentListList: Comma WithGenericArgumentItem WithGenericArgumentListList; + // 740 - WithGenericArgumentListList: Comma WithGenericArgumentItem WithGenericArgumentListList; Production { - lhs: 620, - production: &[ParseType::N(620), ParseType::N(618), ParseType::N(97)], + lhs: 633, + production: &[ParseType::N(633), ParseType::N(631), ParseType::N(96)], }, - // 721 - WithGenericArgumentListList: ; + // 741 - WithGenericArgumentListList: ; Production { - lhs: 620, + lhs: 633, production: &[], }, - // 722 - WithGenericArgumentListOpt: Comma; + // 742 - WithGenericArgumentListOpt: Comma; Production { - lhs: 621, - production: &[ParseType::N(97)], + lhs: 634, + production: &[ParseType::N(96)], }, - // 723 - WithGenericArgumentListOpt: ; + // 743 - WithGenericArgumentListOpt: ; Production { - lhs: 621, + lhs: 634, production: &[], }, - // 724 - WithGenericArgumentItem: ScopedIdentifier; + // 744 - WithGenericArgumentItem: ScopedIdentifier; Production { - lhs: 618, - production: &[ParseType::N(543)], + lhs: 631, + production: &[ParseType::N(542)], }, - // 725 - WithGenericArgumentItem: Number; + // 745 - WithGenericArgumentItem: Number; Production { - lhs: 618, - production: &[ParseType::N(419)], + lhs: 631, + production: &[ParseType::N(418)], }, - // 726 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; + // 746 - PortDeclaration: LParen PortDeclarationOpt /* Option */ RParen; Production { - lhs: 478, - production: &[ParseType::N(504), ParseType::N(488), ParseType::N(356)], + lhs: 477, + production: &[ParseType::N(503), ParseType::N(487), ParseType::N(355)], }, - // 727 - PortDeclarationOpt: PortDeclarationList; + // 747 - PortDeclarationOpt: PortDeclarationList; Production { - lhs: 488, - production: &[ParseType::N(485)], + lhs: 487, + production: &[ParseType::N(484)], }, - // 728 - PortDeclarationOpt: ; + // 748 - PortDeclarationOpt: ; Production { - lhs: 488, + lhs: 487, production: &[], }, - // 729 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; + // 749 - PortDeclarationList: PortDeclarationGroup PortDeclarationListList /* Vec */ PortDeclarationListOpt /* Option */; + Production { + lhs: 484, + production: &[ParseType::N(486), ParseType::N(485), ParseType::N(478)], + }, + // 750 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; + Production { + lhs: 485, + production: &[ParseType::N(485), ParseType::N(478), ParseType::N(96)], + }, + // 751 - PortDeclarationListList: ; Production { lhs: 485, - production: &[ParseType::N(487), ParseType::N(486), ParseType::N(479)], + production: &[], }, - // 730 - PortDeclarationListList: Comma PortDeclarationGroup PortDeclarationListList; + // 752 - PortDeclarationListOpt: Comma; Production { lhs: 486, - production: &[ParseType::N(486), ParseType::N(479), ParseType::N(97)], + production: &[ParseType::N(96)], }, - // 731 - PortDeclarationListList: ; + // 753 - PortDeclarationListOpt: ; Production { lhs: 486, production: &[], }, - // 732 - PortDeclarationListOpt: Comma; + // 754 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; Production { - lhs: 487, - production: &[ParseType::N(97)], + lhs: 478, + production: &[ParseType::N(479), ParseType::N(480)], }, - // 733 - PortDeclarationListOpt: ; + // 755 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; Production { - lhs: 487, - production: &[], + lhs: 479, + production: &[ParseType::N(497), ParseType::N(484), ParseType::N(349)], }, - // 734 - PortDeclarationGroup: PortDeclarationGroupList /* Vec */ PortDeclarationGroupGroup; + // 756 - PortDeclarationGroupGroup: PortDeclarationItem; Production { lhs: 479, - production: &[ParseType::N(480), ParseType::N(481)], + production: &[ParseType::N(481)], }, - // 735 - PortDeclarationGroupGroup: LBrace PortDeclarationList RBrace; + // 757 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; Production { lhs: 480, - production: &[ParseType::N(498), ParseType::N(485), ParseType::N(350)], + production: &[ParseType::N(480), ParseType::N(45)], }, - // 736 - PortDeclarationGroupGroup: PortDeclarationItem; + // 758 - PortDeclarationGroupList: ; Production { lhs: 480, - production: &[ParseType::N(482)], - }, - // 737 - PortDeclarationGroupList: Attribute PortDeclarationGroupList; - Production { - lhs: 481, - production: &[ParseType::N(481), ParseType::N(45)], + production: &[], }, - // 738 - PortDeclarationGroupList: ; + // 759 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; Production { lhs: 481, - production: &[], + production: &[ParseType::N(482), ParseType::N(87), ParseType::N(244)], }, - // 739 - PortDeclarationItem: Identifier Colon PortDeclarationItemGroup; + // 760 - PortDeclarationItemGroup: Direction ArrayType; Production { lhs: 482, - production: &[ParseType::N(483), ParseType::N(88), ParseType::N(245)], + production: &[ParseType::N(31), ParseType::N(115)], }, - // 740 - PortDeclarationItemGroup: Direction ArrayType; + // 761 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; Production { - lhs: 483, - production: &[ParseType::N(31), ParseType::N(116)], + lhs: 482, + production: &[ParseType::N(483), ParseType::N(323)], }, - // 741 - PortDeclarationItemGroup: Interface PortDeclarationItemOpt /* Option */; + // 762 - PortDeclarationItemOpt: Array; Production { lhs: 483, - production: &[ParseType::N(484), ParseType::N(324)], - }, - // 742 - PortDeclarationItemOpt: Array; - Production { - lhs: 484, production: &[ParseType::N(23)], }, - // 743 - PortDeclarationItemOpt: ; + // 763 - PortDeclarationItemOpt: ; Production { - lhs: 484, + lhs: 483, production: &[], }, - // 744 - Direction: Input; + // 764 - Direction: Input; Production { - lhs: 116, - production: &[ParseType::N(290)], + lhs: 115, + production: &[ParseType::N(289)], }, - // 745 - Direction: Output; + // 765 - Direction: Output; Production { - lhs: 116, - production: &[ParseType::N(453)], + lhs: 115, + production: &[ParseType::N(452)], }, - // 746 - Direction: Inout; + // 766 - Direction: Inout; Production { - lhs: 116, - production: &[ParseType::N(287)], + lhs: 115, + production: &[ParseType::N(286)], }, - // 747 - Direction: Ref; + // 767 - Direction: Ref; Production { - lhs: 116, - production: &[ParseType::N(515)], + lhs: 115, + production: &[ParseType::N(514)], }, - // 748 - Direction: Modport; + // 768 - Direction: Modport; Production { - lhs: 116, - production: &[ParseType::N(381)], + lhs: 115, + production: &[ParseType::N(380)], }, - // 749 - Direction: Import; + // 769 - Direction: Import; Production { - lhs: 116, - production: &[ParseType::N(270)], + lhs: 115, + production: &[ParseType::N(269)], }, - // 750 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; + // 770 - FunctionDeclaration: Function Identifier FunctionDeclarationOpt /* Option */ FunctionDeclarationOpt0 /* Option */ FunctionDeclarationOpt1 /* Option */ LBrace FunctionDeclarationList /* Vec */ RBrace; Production { - lhs: 224, + lhs: 223, production: &[ - ParseType::N(498), - ParseType::N(225), - ParseType::N(350), - ParseType::N(228), + ParseType::N(497), + ParseType::N(224), + ParseType::N(349), ParseType::N(227), ParseType::N(226), - ParseType::N(245), - ParseType::N(221), + ParseType::N(225), + ParseType::N(244), + ParseType::N(220), ], }, - // 751 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; + // 771 - FunctionDeclarationList: FunctionItem FunctionDeclarationList; Production { - lhs: 225, - production: &[ParseType::N(225), ParseType::N(229)], + lhs: 224, + production: &[ParseType::N(224), ParseType::N(228)], }, - // 752 - FunctionDeclarationList: ; + // 772 - FunctionDeclarationList: ; Production { - lhs: 225, + lhs: 224, production: &[], }, - // 753 - FunctionDeclarationOpt1: MinusGT ScalarType; + // 773 - FunctionDeclarationOpt1: MinusGT ScalarType; Production { - lhs: 228, - production: &[ParseType::N(540), ParseType::N(378)], + lhs: 227, + production: &[ParseType::N(539), ParseType::N(377)], }, - // 754 - FunctionDeclarationOpt1: ; + // 774 - FunctionDeclarationOpt1: ; Production { - lhs: 228, + lhs: 227, production: &[], }, - // 755 - FunctionDeclarationOpt0: PortDeclaration; + // 775 - FunctionDeclarationOpt0: PortDeclaration; Production { - lhs: 227, - production: &[ParseType::N(478)], + lhs: 226, + production: &[ParseType::N(477)], }, - // 756 - FunctionDeclarationOpt0: ; + // 776 - FunctionDeclarationOpt0: ; Production { - lhs: 227, + lhs: 226, production: &[], }, - // 757 - FunctionDeclarationOpt: WithGenericParameter; + // 777 - FunctionDeclarationOpt: WithGenericParameter; Production { - lhs: 226, - production: &[ParseType::N(623)], + lhs: 225, + production: &[ParseType::N(636)], }, - // 758 - FunctionDeclarationOpt: ; + // 778 - FunctionDeclarationOpt: ; Production { - lhs: 226, + lhs: 225, production: &[], }, - // 759 - FunctionItem: VarDeclaration; + // 779 - FunctionItem: VarDeclaration; Production { - lhs: 229, - production: &[ParseType::N(607)], + lhs: 228, + production: &[ParseType::N(620)], }, - // 760 - FunctionItem: Statement; + // 780 - FunctionItem: Statement; Production { - lhs: 229, - production: &[ParseType::N(562)], + lhs: 228, + production: &[ParseType::N(561)], }, - // 761 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; + // 781 - ImportDeclaration: Import ScopedIdentifier ImportDeclarationOpt /* Option */ Semicolon; Production { - lhs: 271, + lhs: 270, production: &[ - ParseType::N(551), - ParseType::N(272), - ParseType::N(543), - ParseType::N(270), + ParseType::N(550), + ParseType::N(271), + ParseType::N(542), + ParseType::N(269), ], }, - // 762 - ImportDeclarationOpt: ColonColon Star; + // 782 - ImportDeclarationOpt: ColonColon Star; Production { - lhs: 272, - production: &[ParseType::N(557), ParseType::N(89)], + lhs: 271, + production: &[ParseType::N(556), ParseType::N(88)], }, - // 763 - ImportDeclarationOpt: ; + // 783 - ImportDeclarationOpt: ; Production { - lhs: 272, + lhs: 271, production: &[], }, - // 764 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; + // 784 - ExportDeclaration: Export ExportDeclarationGroup Semicolon; Production { - lhs: 160, - production: &[ParseType::N(551), ParseType::N(161), ParseType::N(159)], + lhs: 159, + production: &[ParseType::N(550), ParseType::N(160), ParseType::N(158)], }, - // 765 - ExportDeclarationGroup: Star; + // 785 - ExportDeclarationGroup: Star; Production { - lhs: 161, - production: &[ParseType::N(557)], + lhs: 160, + production: &[ParseType::N(556)], }, - // 766 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; + // 786 - ExportDeclarationGroup: ScopedIdentifier ExportDeclarationOpt /* Option */; Production { - lhs: 161, - production: &[ParseType::N(162), ParseType::N(543)], + lhs: 160, + production: &[ParseType::N(161), ParseType::N(542)], }, - // 767 - ExportDeclarationOpt: ColonColon Star; + // 787 - ExportDeclarationOpt: ColonColon Star; Production { - lhs: 162, - production: &[ParseType::N(557), ParseType::N(89)], + lhs: 161, + production: &[ParseType::N(556), ParseType::N(88)], }, - // 768 - ExportDeclarationOpt: ; + // 788 - ExportDeclarationOpt: ; Production { - lhs: 162, + lhs: 161, production: &[], }, - // 769 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; + // 789 - ModuleDeclaration: ModuleDeclarationOpt /* Option */ Module Identifier ModuleDeclarationOpt0 /* Option */ ModuleDeclarationOpt1 /* Option */ ModuleDeclarationOpt2 /* Option */ LBrace ModuleDeclarationList /* Vec */ RBrace; Production { - lhs: 393, + lhs: 392, production: &[ - ParseType::N(498), - ParseType::N(394), - ParseType::N(350), - ParseType::N(398), + ParseType::N(497), + ParseType::N(393), + ParseType::N(349), ParseType::N(397), ParseType::N(396), - ParseType::N(245), - ParseType::N(392), ParseType::N(395), + ParseType::N(244), + ParseType::N(391), + ParseType::N(394), ], }, - // 770 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; - Production { - lhs: 394, - production: &[ParseType::N(394), ParseType::N(401)], - }, - // 771 - ModuleDeclarationList: ; - Production { - lhs: 394, - production: &[], - }, - // 772 - ModuleDeclarationOpt2: PortDeclaration; + // 790 - ModuleDeclarationList: ModuleGroup ModuleDeclarationList; Production { - lhs: 398, - production: &[ParseType::N(478)], + lhs: 393, + production: &[ParseType::N(393), ParseType::N(400)], }, - // 773 - ModuleDeclarationOpt2: ; + // 791 - ModuleDeclarationList: ; Production { - lhs: 398, + lhs: 393, production: &[], }, - // 774 - ModuleDeclarationOpt1: WithParameter; + // 792 - ModuleDeclarationOpt2: PortDeclaration; Production { lhs: 397, - production: &[ParseType::N(629)], + production: &[ParseType::N(477)], }, - // 775 - ModuleDeclarationOpt1: ; + // 793 - ModuleDeclarationOpt2: ; Production { lhs: 397, production: &[], }, - // 776 - ModuleDeclarationOpt0: WithGenericParameter; + // 794 - ModuleDeclarationOpt1: WithParameter; Production { lhs: 396, - production: &[ParseType::N(623)], + production: &[ParseType::N(642)], }, - // 777 - ModuleDeclarationOpt0: ; + // 795 - ModuleDeclarationOpt1: ; Production { lhs: 396, production: &[], }, - // 778 - ModuleDeclarationOpt: Pub; + // 796 - ModuleDeclarationOpt0: WithGenericParameter; Production { lhs: 395, - production: &[ParseType::N(489)], + production: &[ParseType::N(636)], }, - // 779 - ModuleDeclarationOpt: ; + // 797 - ModuleDeclarationOpt0: ; Production { lhs: 395, production: &[], }, - // 780 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; + // 798 - ModuleDeclarationOpt: Pub; Production { - lhs: 405, + lhs: 394, + production: &[ParseType::N(488)], + }, + // 799 - ModuleDeclarationOpt: ; + Production { + lhs: 394, + production: &[], + }, + // 800 - ModuleIfDeclaration: If Expression ModuleNamedBlock ModuleIfDeclarationList /* Vec */ ModuleIfDeclarationOpt /* Option */; + Production { + lhs: 404, production: &[ - ParseType::N(407), ParseType::N(406), - ParseType::N(409), - ParseType::N(165), - ParseType::N(250), + ParseType::N(405), + ParseType::N(408), + ParseType::N(164), + ParseType::N(249), ], }, - // 781 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; + // 801 - ModuleIfDeclarationList: Else If Expression ModuleOptionalNamedBlock ModuleIfDeclarationList; Production { - lhs: 406, + lhs: 405, production: &[ - ParseType::N(406), - ParseType::N(411), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(405), + ParseType::N(410), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 782 - ModuleIfDeclarationList: ; + // 802 - ModuleIfDeclarationList: ; Production { - lhs: 406, + lhs: 405, production: &[], }, - // 783 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; + // 803 - ModuleIfDeclarationOpt: Else ModuleOptionalNamedBlock; Production { - lhs: 407, - production: &[ParseType::N(411), ParseType::N(129)], + lhs: 406, + production: &[ParseType::N(410), ParseType::N(128)], }, - // 784 - ModuleIfDeclarationOpt: ; + // 804 - ModuleIfDeclarationOpt: ; Production { - lhs: 407, + lhs: 406, production: &[], }, - // 785 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; + // 805 - ModuleForDeclaration: For Identifier In Range ModuleForDeclarationOpt /* Option */ ModuleNamedBlock; Production { - lhs: 399, + lhs: 398, production: &[ - ParseType::N(409), - ParseType::N(400), - ParseType::N(507), - ParseType::N(275), - ParseType::N(245), - ParseType::N(215), + ParseType::N(408), + ParseType::N(399), + ParseType::N(506), + ParseType::N(274), + ParseType::N(244), + ParseType::N(214), ], }, - // 786 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; + // 806 - ModuleForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 400, - production: &[ParseType::N(165), ParseType::N(42), ParseType::N(563)], + lhs: 399, + production: &[ParseType::N(164), ParseType::N(42), ParseType::N(562)], }, - // 787 - ModuleForDeclarationOpt: ; + // 807 - ModuleForDeclarationOpt: ; Production { - lhs: 400, + lhs: 399, production: &[], }, - // 788 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; + // 808 - ModuleNamedBlock: Colon Identifier LBrace ModuleNamedBlockList /* Vec */ RBrace; Production { - lhs: 409, + lhs: 408, production: &[ - ParseType::N(498), - ParseType::N(410), - ParseType::N(350), - ParseType::N(245), - ParseType::N(88), + ParseType::N(497), + ParseType::N(409), + ParseType::N(349), + ParseType::N(244), + ParseType::N(87), ], }, - // 789 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; + // 809 - ModuleNamedBlockList: ModuleGroup ModuleNamedBlockList; Production { - lhs: 410, - production: &[ParseType::N(410), ParseType::N(401)], + lhs: 409, + production: &[ParseType::N(409), ParseType::N(400)], }, - // 790 - ModuleNamedBlockList: ; + // 810 - ModuleNamedBlockList: ; Production { - lhs: 410, + lhs: 409, production: &[], }, - // 791 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; + // 811 - ModuleOptionalNamedBlock: ModuleOptionalNamedBlockOpt /* Option */ LBrace ModuleOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 411, + lhs: 410, production: &[ - ParseType::N(498), + ParseType::N(497), + ParseType::N(411), + ParseType::N(349), ParseType::N(412), - ParseType::N(350), - ParseType::N(413), ], }, - // 792 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; + // 812 - ModuleOptionalNamedBlockList: ModuleGroup ModuleOptionalNamedBlockList; Production { - lhs: 412, - production: &[ParseType::N(412), ParseType::N(401)], + lhs: 411, + production: &[ParseType::N(411), ParseType::N(400)], }, - // 793 - ModuleOptionalNamedBlockList: ; + // 813 - ModuleOptionalNamedBlockList: ; Production { - lhs: 412, + lhs: 411, production: &[], }, - // 794 - ModuleOptionalNamedBlockOpt: Colon Identifier; + // 814 - ModuleOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 413, - production: &[ParseType::N(245), ParseType::N(88)], + lhs: 412, + production: &[ParseType::N(244), ParseType::N(87)], }, - // 795 - ModuleOptionalNamedBlockOpt: ; + // 815 - ModuleOptionalNamedBlockOpt: ; Production { - lhs: 413, + lhs: 412, production: &[], }, - // 796 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; + // 816 - ModuleGroup: ModuleGroupList /* Vec */ ModuleGroupGroup; Production { - lhs: 401, - production: &[ParseType::N(402), ParseType::N(404)], + lhs: 400, + production: &[ParseType::N(401), ParseType::N(403)], }, - // 797 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; + // 817 - ModuleGroupGroup: LBrace ModuleGroupGroupList /* Vec */ RBrace; Production { - lhs: 402, - production: &[ParseType::N(498), ParseType::N(403), ParseType::N(350)], + lhs: 401, + production: &[ParseType::N(497), ParseType::N(402), ParseType::N(349)], }, - // 798 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; + // 818 - ModuleGroupGroupList: ModuleGroup ModuleGroupGroupList; Production { - lhs: 403, - production: &[ParseType::N(403), ParseType::N(401)], + lhs: 402, + production: &[ParseType::N(402), ParseType::N(400)], }, - // 799 - ModuleGroupGroupList: ; + // 819 - ModuleGroupGroupList: ; Production { - lhs: 403, + lhs: 402, production: &[], }, - // 800 - ModuleGroupGroup: ModuleItem; + // 820 - ModuleGroupGroup: ModuleItem; Production { - lhs: 402, - production: &[ParseType::N(408)], + lhs: 401, + production: &[ParseType::N(407)], }, - // 801 - ModuleGroupList: Attribute ModuleGroupList; + // 821 - ModuleGroupList: Attribute ModuleGroupList; Production { - lhs: 404, - production: &[ParseType::N(404), ParseType::N(45)], + lhs: 403, + production: &[ParseType::N(403), ParseType::N(45)], }, - // 802 - ModuleGroupList: ; + // 822 - ModuleGroupList: ; Production { - lhs: 404, + lhs: 403, production: &[], }, - // 803 - ModuleItem: LetDeclaration; + // 823 - ModuleItem: LetDeclaration; Production { - lhs: 408, - production: &[ParseType::N(360)], + lhs: 407, + production: &[ParseType::N(359)], }, - // 804 - ModuleItem: VarDeclaration; + // 824 - ModuleItem: VarDeclaration; Production { - lhs: 408, - production: &[ParseType::N(607)], + lhs: 407, + production: &[ParseType::N(620)], }, - // 805 - ModuleItem: InstDeclaration; + // 825 - ModuleItem: InstDeclaration; Production { - lhs: 408, - production: &[ParseType::N(298)], + lhs: 407, + production: &[ParseType::N(297)], }, - // 806 - ModuleItem: TypeDefDeclaration; + // 826 - ModuleItem: TypeDefDeclaration; Production { - lhs: 408, - production: &[ParseType::N(589)], + lhs: 407, + production: &[ParseType::N(602)], }, - // 807 - ModuleItem: LocalDeclaration; + // 827 - ModuleItem: LocalDeclaration; Production { - lhs: 408, - production: &[ParseType::N(365)], + lhs: 407, + production: &[ParseType::N(364)], }, - // 808 - ModuleItem: AlwaysFfDeclaration; + // 828 - ModuleItem: AlwaysFfDeclaration; Production { - lhs: 408, + lhs: 407, production: &[ParseType::N(12)], }, - // 809 - ModuleItem: AlwaysCombDeclaration; + // 829 - ModuleItem: AlwaysCombDeclaration; Production { - lhs: 408, + lhs: 407, production: &[ParseType::N(6)], }, - // 810 - ModuleItem: AssignDeclaration; + // 830 - ModuleItem: AssignDeclaration; Production { - lhs: 408, + lhs: 407, production: &[ParseType::N(37)], }, - // 811 - ModuleItem: FunctionDeclaration; + // 831 - ModuleItem: FunctionDeclaration; Production { - lhs: 408, - production: &[ParseType::N(224)], + lhs: 407, + production: &[ParseType::N(223)], }, - // 812 - ModuleItem: ModuleIfDeclaration; + // 832 - ModuleItem: ModuleIfDeclaration; Production { - lhs: 408, - production: &[ParseType::N(405)], + lhs: 407, + production: &[ParseType::N(404)], }, - // 813 - ModuleItem: ModuleForDeclaration; + // 833 - ModuleItem: ModuleForDeclaration; Production { - lhs: 408, - production: &[ParseType::N(399)], + lhs: 407, + production: &[ParseType::N(398)], }, - // 814 - ModuleItem: EnumDeclaration; + // 834 - ModuleItem: EnumDeclaration; Production { - lhs: 408, - production: &[ParseType::N(142)], + lhs: 407, + production: &[ParseType::N(141)], }, - // 815 - ModuleItem: StructUnionDeclaration; + // 835 - ModuleItem: StructUnionDeclaration; Production { - lhs: 408, - production: &[ParseType::N(576)], + lhs: 407, + production: &[ParseType::N(575)], }, - // 816 - ModuleItem: ModuleNamedBlock; + // 836 - ModuleItem: ModuleNamedBlock; Production { - lhs: 408, - production: &[ParseType::N(409)], + lhs: 407, + production: &[ParseType::N(408)], }, - // 817 - ModuleItem: ImportDeclaration; + // 837 - ModuleItem: ImportDeclaration; Production { - lhs: 408, - production: &[ParseType::N(271)], + lhs: 407, + production: &[ParseType::N(270)], }, - // 818 - ModuleItem: InitialDeclaration; + // 838 - ModuleItem: InitialDeclaration; Production { - lhs: 408, - production: &[ParseType::N(283)], + lhs: 407, + production: &[ParseType::N(282)], }, - // 819 - ModuleItem: FinalDeclaration; + // 839 - ModuleItem: FinalDeclaration; Production { - lhs: 408, - production: &[ParseType::N(207)], + lhs: 407, + production: &[ParseType::N(206)], }, - // 820 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; + // 840 - InterfaceDeclaration: InterfaceDeclarationOpt /* Option */ Interface Identifier InterfaceDeclarationOpt0 /* Option */ InterfaceDeclarationOpt1 /* Option */ LBrace InterfaceDeclarationList /* Vec */ RBrace; Production { - lhs: 325, + lhs: 324, production: &[ - ParseType::N(498), - ParseType::N(326), - ParseType::N(350), - ParseType::N(329), + ParseType::N(497), + ParseType::N(325), + ParseType::N(349), ParseType::N(328), - ParseType::N(245), - ParseType::N(324), ParseType::N(327), + ParseType::N(244), + ParseType::N(323), + ParseType::N(326), ], }, - // 821 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; + // 841 - InterfaceDeclarationList: InterfaceGroup InterfaceDeclarationList; Production { - lhs: 326, - production: &[ParseType::N(326), ParseType::N(332)], + lhs: 325, + production: &[ParseType::N(325), ParseType::N(331)], }, - // 822 - InterfaceDeclarationList: ; + // 842 - InterfaceDeclarationList: ; Production { - lhs: 326, + lhs: 325, production: &[], }, - // 823 - InterfaceDeclarationOpt1: WithParameter; + // 843 - InterfaceDeclarationOpt1: WithParameter; Production { - lhs: 329, - production: &[ParseType::N(629)], + lhs: 328, + production: &[ParseType::N(642)], }, - // 824 - InterfaceDeclarationOpt1: ; + // 844 - InterfaceDeclarationOpt1: ; Production { - lhs: 329, + lhs: 328, production: &[], }, - // 825 - InterfaceDeclarationOpt0: WithGenericParameter; + // 845 - InterfaceDeclarationOpt0: WithGenericParameter; Production { - lhs: 328, - production: &[ParseType::N(623)], + lhs: 327, + production: &[ParseType::N(636)], }, - // 826 - InterfaceDeclarationOpt0: ; + // 846 - InterfaceDeclarationOpt0: ; Production { - lhs: 328, + lhs: 327, production: &[], }, - // 827 - InterfaceDeclarationOpt: Pub; + // 847 - InterfaceDeclarationOpt: Pub; Production { - lhs: 327, - production: &[ParseType::N(489)], + lhs: 326, + production: &[ParseType::N(488)], }, - // 828 - InterfaceDeclarationOpt: ; + // 848 - InterfaceDeclarationOpt: ; Production { - lhs: 327, + lhs: 326, production: &[], }, - // 829 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; + // 849 - InterfaceIfDeclaration: If Expression InterfaceNamedBlock InterfaceIfDeclarationList /* Vec */ InterfaceIfDeclarationOpt /* Option */; Production { - lhs: 336, + lhs: 335, production: &[ - ParseType::N(338), ParseType::N(337), - ParseType::N(340), - ParseType::N(165), - ParseType::N(250), + ParseType::N(336), + ParseType::N(339), + ParseType::N(164), + ParseType::N(249), ], }, - // 830 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; + // 850 - InterfaceIfDeclarationList: Else If Expression InterfaceOptionalNamedBlock InterfaceIfDeclarationList; Production { - lhs: 337, + lhs: 336, production: &[ - ParseType::N(337), - ParseType::N(342), - ParseType::N(165), - ParseType::N(250), - ParseType::N(129), + ParseType::N(336), + ParseType::N(341), + ParseType::N(164), + ParseType::N(249), + ParseType::N(128), ], }, - // 831 - InterfaceIfDeclarationList: ; + // 851 - InterfaceIfDeclarationList: ; Production { - lhs: 337, + lhs: 336, production: &[], }, - // 832 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; + // 852 - InterfaceIfDeclarationOpt: Else InterfaceOptionalNamedBlock; Production { - lhs: 338, - production: &[ParseType::N(342), ParseType::N(129)], + lhs: 337, + production: &[ParseType::N(341), ParseType::N(128)], }, - // 833 - InterfaceIfDeclarationOpt: ; + // 853 - InterfaceIfDeclarationOpt: ; Production { - lhs: 338, + lhs: 337, production: &[], }, - // 834 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; + // 854 - InterfaceForDeclaration: For Identifier In Range InterfaceForDeclarationOpt /* Option */ InterfaceNamedBlock; Production { - lhs: 330, + lhs: 329, production: &[ - ParseType::N(340), - ParseType::N(331), - ParseType::N(507), - ParseType::N(275), - ParseType::N(245), - ParseType::N(215), + ParseType::N(339), + ParseType::N(330), + ParseType::N(506), + ParseType::N(274), + ParseType::N(244), + ParseType::N(214), ], }, - // 835 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; + // 855 - InterfaceForDeclarationOpt: Step AssignmentOperator Expression; Production { - lhs: 331, - production: &[ParseType::N(165), ParseType::N(42), ParseType::N(563)], + lhs: 330, + production: &[ParseType::N(164), ParseType::N(42), ParseType::N(562)], }, - // 836 - InterfaceForDeclarationOpt: ; + // 856 - InterfaceForDeclarationOpt: ; Production { - lhs: 331, + lhs: 330, production: &[], }, - // 837 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; + // 857 - InterfaceNamedBlock: Colon Identifier LBrace InterfaceNamedBlockList /* Vec */ RBrace; Production { - lhs: 340, + lhs: 339, production: &[ - ParseType::N(498), - ParseType::N(341), - ParseType::N(350), - ParseType::N(245), - ParseType::N(88), + ParseType::N(497), + ParseType::N(340), + ParseType::N(349), + ParseType::N(244), + ParseType::N(87), ], }, - // 838 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; + // 858 - InterfaceNamedBlockList: InterfaceGroup InterfaceNamedBlockList; Production { - lhs: 341, - production: &[ParseType::N(341), ParseType::N(332)], + lhs: 340, + production: &[ParseType::N(340), ParseType::N(331)], }, - // 839 - InterfaceNamedBlockList: ; + // 859 - InterfaceNamedBlockList: ; Production { - lhs: 341, + lhs: 340, production: &[], }, - // 840 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; + // 860 - InterfaceOptionalNamedBlock: InterfaceOptionalNamedBlockOpt /* Option */ LBrace InterfaceOptionalNamedBlockList /* Vec */ RBrace; Production { - lhs: 342, + lhs: 341, production: &[ - ParseType::N(498), + ParseType::N(497), + ParseType::N(342), + ParseType::N(349), ParseType::N(343), - ParseType::N(350), - ParseType::N(344), ], }, - // 841 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; + // 861 - InterfaceOptionalNamedBlockList: InterfaceGroup InterfaceOptionalNamedBlockList; Production { - lhs: 343, - production: &[ParseType::N(343), ParseType::N(332)], + lhs: 342, + production: &[ParseType::N(342), ParseType::N(331)], }, - // 842 - InterfaceOptionalNamedBlockList: ; + // 862 - InterfaceOptionalNamedBlockList: ; Production { - lhs: 343, + lhs: 342, production: &[], }, - // 843 - InterfaceOptionalNamedBlockOpt: Colon Identifier; + // 863 - InterfaceOptionalNamedBlockOpt: Colon Identifier; Production { - lhs: 344, - production: &[ParseType::N(245), ParseType::N(88)], + lhs: 343, + production: &[ParseType::N(244), ParseType::N(87)], }, - // 844 - InterfaceOptionalNamedBlockOpt: ; + // 864 - InterfaceOptionalNamedBlockOpt: ; Production { - lhs: 344, + lhs: 343, production: &[], }, - // 845 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; + // 865 - InterfaceGroup: InterfaceGroupList /* Vec */ InterfaceGroupGroup; Production { - lhs: 332, - production: &[ParseType::N(333), ParseType::N(335)], + lhs: 331, + production: &[ParseType::N(332), ParseType::N(334)], }, - // 846 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; + // 866 - InterfaceGroupGroup: LBrace InterfaceGroupGroupList /* Vec */ RBrace; Production { - lhs: 333, - production: &[ParseType::N(498), ParseType::N(334), ParseType::N(350)], + lhs: 332, + production: &[ParseType::N(497), ParseType::N(333), ParseType::N(349)], }, - // 847 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; + // 867 - InterfaceGroupGroupList: InterfaceGroup InterfaceGroupGroupList; Production { - lhs: 334, - production: &[ParseType::N(334), ParseType::N(332)], + lhs: 333, + production: &[ParseType::N(333), ParseType::N(331)], }, - // 848 - InterfaceGroupGroupList: ; + // 868 - InterfaceGroupGroupList: ; Production { - lhs: 334, + lhs: 333, production: &[], }, - // 849 - InterfaceGroupGroup: InterfaceItem; + // 869 - InterfaceGroupGroup: InterfaceItem; Production { - lhs: 333, - production: &[ParseType::N(339)], + lhs: 332, + production: &[ParseType::N(338)], }, - // 850 - InterfaceGroupList: Attribute InterfaceGroupList; + // 870 - InterfaceGroupList: Attribute InterfaceGroupList; Production { - lhs: 335, - production: &[ParseType::N(335), ParseType::N(45)], + lhs: 334, + production: &[ParseType::N(334), ParseType::N(45)], }, - // 851 - InterfaceGroupList: ; + // 871 - InterfaceGroupList: ; Production { - lhs: 335, + lhs: 334, production: &[], }, - // 852 - InterfaceItem: LetDeclaration; + // 872 - InterfaceItem: LetDeclaration; Production { - lhs: 339, - production: &[ParseType::N(360)], + lhs: 338, + production: &[ParseType::N(359)], }, - // 853 - InterfaceItem: VarDeclaration; + // 873 - InterfaceItem: VarDeclaration; Production { - lhs: 339, - production: &[ParseType::N(607)], + lhs: 338, + production: &[ParseType::N(620)], }, - // 854 - InterfaceItem: LocalDeclaration; + // 874 - InterfaceItem: LocalDeclaration; Production { - lhs: 339, - production: &[ParseType::N(365)], + lhs: 338, + production: &[ParseType::N(364)], }, - // 855 - InterfaceItem: ModportDeclaration; + // 875 - InterfaceItem: ModportDeclaration; Production { - lhs: 339, - production: &[ParseType::N(382)], + lhs: 338, + production: &[ParseType::N(381)], }, - // 856 - InterfaceItem: InterfaceIfDeclaration; + // 876 - InterfaceItem: InterfaceIfDeclaration; Production { - lhs: 339, - production: &[ParseType::N(336)], + lhs: 338, + production: &[ParseType::N(335)], }, - // 857 - InterfaceItem: InterfaceForDeclaration; + // 877 - InterfaceItem: InterfaceForDeclaration; Production { - lhs: 339, - production: &[ParseType::N(330)], + lhs: 338, + production: &[ParseType::N(329)], }, - // 858 - InterfaceItem: TypeDefDeclaration; + // 878 - InterfaceItem: TypeDefDeclaration; Production { - lhs: 339, - production: &[ParseType::N(589)], + lhs: 338, + production: &[ParseType::N(602)], }, - // 859 - InterfaceItem: EnumDeclaration; + // 879 - InterfaceItem: EnumDeclaration; Production { - lhs: 339, - production: &[ParseType::N(142)], + lhs: 338, + production: &[ParseType::N(141)], }, - // 860 - InterfaceItem: StructUnionDeclaration; + // 880 - InterfaceItem: StructUnionDeclaration; Production { - lhs: 339, - production: &[ParseType::N(576)], + lhs: 338, + production: &[ParseType::N(575)], }, - // 861 - InterfaceItem: InterfaceNamedBlock; + // 881 - InterfaceItem: InterfaceNamedBlock; Production { - lhs: 339, - production: &[ParseType::N(340)], + lhs: 338, + production: &[ParseType::N(339)], }, - // 862 - InterfaceItem: FunctionDeclaration; + // 882 - InterfaceItem: FunctionDeclaration; Production { - lhs: 339, - production: &[ParseType::N(224)], + lhs: 338, + production: &[ParseType::N(223)], }, - // 863 - InterfaceItem: ImportDeclaration; + // 883 - InterfaceItem: ImportDeclaration; Production { - lhs: 339, - production: &[ParseType::N(271)], + lhs: 338, + production: &[ParseType::N(270)], }, - // 864 - InterfaceItem: InitialDeclaration; + // 884 - InterfaceItem: InitialDeclaration; Production { - lhs: 339, - production: &[ParseType::N(283)], + lhs: 338, + production: &[ParseType::N(282)], }, - // 865 - InterfaceItem: FinalDeclaration; + // 885 - InterfaceItem: FinalDeclaration; Production { - lhs: 339, - production: &[ParseType::N(207)], + lhs: 338, + production: &[ParseType::N(206)], }, - // 866 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; + // 886 - PackageDeclaration: PackageDeclarationOpt /* Option */ Package Identifier PackageDeclarationOpt0 /* Option */ LBrace PackageDeclarationList /* Vec */ RBrace; Production { - lhs: 461, + lhs: 460, production: &[ - ParseType::N(498), - ParseType::N(462), - ParseType::N(350), - ParseType::N(464), - ParseType::N(245), - ParseType::N(460), + ParseType::N(497), + ParseType::N(461), + ParseType::N(349), ParseType::N(463), + ParseType::N(244), + ParseType::N(459), + ParseType::N(462), ], }, - // 867 - PackageDeclarationList: PackageGroup PackageDeclarationList; + // 887 - PackageDeclarationList: PackageGroup PackageDeclarationList; Production { - lhs: 462, - production: &[ParseType::N(462), ParseType::N(465)], + lhs: 461, + production: &[ParseType::N(461), ParseType::N(464)], }, - // 868 - PackageDeclarationList: ; + // 888 - PackageDeclarationList: ; Production { - lhs: 462, + lhs: 461, production: &[], }, - // 869 - PackageDeclarationOpt0: WithGenericParameter; + // 889 - PackageDeclarationOpt0: WithGenericParameter; Production { - lhs: 464, - production: &[ParseType::N(623)], + lhs: 463, + production: &[ParseType::N(636)], }, - // 870 - PackageDeclarationOpt0: ; + // 890 - PackageDeclarationOpt0: ; Production { - lhs: 464, + lhs: 463, production: &[], }, - // 871 - PackageDeclarationOpt: Pub; + // 891 - PackageDeclarationOpt: Pub; Production { - lhs: 463, - production: &[ParseType::N(489)], + lhs: 462, + production: &[ParseType::N(488)], }, - // 872 - PackageDeclarationOpt: ; + // 892 - PackageDeclarationOpt: ; Production { - lhs: 463, + lhs: 462, production: &[], }, - // 873 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; + // 893 - PackageGroup: PackageGroupList /* Vec */ PackageGroupGroup; Production { - lhs: 465, - production: &[ParseType::N(466), ParseType::N(468)], + lhs: 464, + production: &[ParseType::N(465), ParseType::N(467)], }, - // 874 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; + // 894 - PackageGroupGroup: LBrace PackageGroupGroupList /* Vec */ RBrace; Production { - lhs: 466, - production: &[ParseType::N(498), ParseType::N(467), ParseType::N(350)], + lhs: 465, + production: &[ParseType::N(497), ParseType::N(466), ParseType::N(349)], }, - // 875 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; + // 895 - PackageGroupGroupList: PackageGroup PackageGroupGroupList; Production { - lhs: 467, - production: &[ParseType::N(467), ParseType::N(465)], + lhs: 466, + production: &[ParseType::N(466), ParseType::N(464)], }, - // 876 - PackageGroupGroupList: ; + // 896 - PackageGroupGroupList: ; Production { - lhs: 467, + lhs: 466, production: &[], }, - // 877 - PackageGroupGroup: PackageItem; + // 897 - PackageGroupGroup: PackageItem; Production { - lhs: 466, - production: &[ParseType::N(469)], + lhs: 465, + production: &[ParseType::N(468)], }, - // 878 - PackageGroupList: Attribute PackageGroupList; + // 898 - PackageGroupList: Attribute PackageGroupList; Production { - lhs: 468, - production: &[ParseType::N(468), ParseType::N(45)], + lhs: 467, + production: &[ParseType::N(467), ParseType::N(45)], }, - // 879 - PackageGroupList: ; + // 899 - PackageGroupList: ; Production { - lhs: 468, + lhs: 467, production: &[], }, - // 880 - PackageItem: VarDeclaration; + // 900 - PackageItem: VarDeclaration; Production { - lhs: 469, - production: &[ParseType::N(607)], + lhs: 468, + production: &[ParseType::N(620)], }, - // 881 - PackageItem: LocalDeclaration; + // 901 - PackageItem: LocalDeclaration; Production { - lhs: 469, - production: &[ParseType::N(365)], + lhs: 468, + production: &[ParseType::N(364)], }, - // 882 - PackageItem: TypeDefDeclaration; + // 902 - PackageItem: TypeDefDeclaration; Production { - lhs: 469, - production: &[ParseType::N(589)], + lhs: 468, + production: &[ParseType::N(602)], }, - // 883 - PackageItem: EnumDeclaration; + // 903 - PackageItem: EnumDeclaration; Production { - lhs: 469, - production: &[ParseType::N(142)], + lhs: 468, + production: &[ParseType::N(141)], }, - // 884 - PackageItem: StructUnionDeclaration; + // 904 - PackageItem: StructUnionDeclaration; Production { - lhs: 469, - production: &[ParseType::N(576)], + lhs: 468, + production: &[ParseType::N(575)], }, - // 885 - PackageItem: FunctionDeclaration; + // 905 - PackageItem: FunctionDeclaration; Production { - lhs: 469, - production: &[ParseType::N(224)], + lhs: 468, + production: &[ParseType::N(223)], }, - // 886 - PackageItem: ImportDeclaration; + // 906 - PackageItem: ImportDeclaration; Production { - lhs: 469, - production: &[ParseType::N(271)], + lhs: 468, + production: &[ParseType::N(270)], }, - // 887 - PackageItem: ExportDeclaration; + // 907 - PackageItem: ExportDeclaration; Production { - lhs: 469, - production: &[ParseType::N(160)], + lhs: 468, + production: &[ParseType::N(159)], }, - // 888 - PackageItem: InitialDeclaration; + // 908 - PackageItem: InitialDeclaration; Production { - lhs: 469, - production: &[ParseType::N(283)], + lhs: 468, + production: &[ParseType::N(282)], }, - // 889 - PackageItem: FinalDeclaration; + // 909 - PackageItem: FinalDeclaration; Production { - lhs: 469, - production: &[ParseType::N(207)], + lhs: 468, + production: &[ParseType::N(206)], }, - // 890 - EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; + // 910 - EmbedDeclaration: Embed LParen Identifier RParen Identifier EmbedContent; Production { - lhs: 136, + lhs: 135, production: &[ - ParseType::N(133), - ParseType::N(245), - ParseType::N(504), - ParseType::N(245), - ParseType::N(356), ParseType::N(132), + ParseType::N(244), + ParseType::N(503), + ParseType::N(244), + ParseType::N(355), + ParseType::N(131), ], }, - // 891 - EmbedContent: EmbedContentToken : crate::veryl_token::VerylToken ; + // 911 - EmbedContent: EmbedContentToken : crate::veryl_token::VerylToken ; Production { - lhs: 133, - production: &[ParseType::N(134)], + lhs: 132, + production: &[ParseType::N(133)], }, - // 892 - EmbedContentToken: LBraceTerm Push(1) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm Pop Comments; + // 912 - EmbedContentToken: LBraceTerm Push(1) LBraceTerm LBraceTerm EmbedContentTokenList /* Vec */ RBraceTerm RBraceTerm RBraceTerm Pop Comments; Production { - lhs: 134, + lhs: 133, production: &[ - ParseType::N(100), + ParseType::N(99), ParseType::Pop, - ParseType::N(499), - ParseType::N(499), - ParseType::N(499), - ParseType::N(135), - ParseType::N(351), - ParseType::N(351), + ParseType::N(498), + ParseType::N(498), + ParseType::N(498), + ParseType::N(134), + ParseType::N(350), + ParseType::N(350), ParseType::Push(1), - ParseType::N(351), + ParseType::N(350), ], }, - // 893 - EmbedContentTokenList: EmbedItem EmbedContentTokenList; + // 913 - EmbedContentTokenList: EmbedItem EmbedContentTokenList; Production { - lhs: 135, - production: &[ParseType::N(135), ParseType::N(137)], + lhs: 134, + production: &[ParseType::N(134), ParseType::N(136)], }, - // 894 - EmbedContentTokenList: ; + // 914 - EmbedContentTokenList: ; Production { - lhs: 135, + lhs: 134, production: &[], }, - // 895 - EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; + // 915 - EmbedItem: LBraceTerm EmbedItemList /* Vec */ RBraceTerm; Production { - lhs: 137, - production: &[ParseType::N(499), ParseType::N(138), ParseType::N(351)], + lhs: 136, + production: &[ParseType::N(498), ParseType::N(137), ParseType::N(350)], }, - // 896 - EmbedItemList: EmbedItem EmbedItemList; + // 916 - EmbedItemList: EmbedItem EmbedItemList; Production { - lhs: 138, - production: &[ParseType::N(138), ParseType::N(137)], + lhs: 137, + production: &[ParseType::N(137), ParseType::N(136)], }, - // 897 - EmbedItemList: ; + // 917 - EmbedItemList: ; Production { - lhs: 138, + lhs: 137, production: &[], }, - // 898 - EmbedItem: AnyTerm; + // 918 - EmbedItem: AnyTerm; Production { - lhs: 137, + lhs: 136, production: &[ParseType::N(18)], }, - // 899 - IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; + // 919 - IncludeDeclaration: Include LParen Identifier Comma StringLiteral RParen Semicolon; Production { - lhs: 279, + lhs: 278, production: &[ - ParseType::N(551), - ParseType::N(504), - ParseType::N(567), - ParseType::N(97), - ParseType::N(245), - ParseType::N(356), - ParseType::N(278), + ParseType::N(550), + ParseType::N(503), + ParseType::N(566), + ParseType::N(96), + ParseType::N(244), + ParseType::N(355), + ParseType::N(277), ], }, - // 900 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; + // 920 - DescriptionGroup: DescriptionGroupList /* Vec */ DescriptionGroupGroup; Production { - lhs: 111, - production: &[ParseType::N(112), ParseType::N(114)], + lhs: 110, + production: &[ParseType::N(111), ParseType::N(113)], }, - // 901 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; + // 921 - DescriptionGroupGroup: LBrace DescriptionGroupGroupList /* Vec */ RBrace; Production { - lhs: 112, - production: &[ParseType::N(498), ParseType::N(113), ParseType::N(350)], + lhs: 111, + production: &[ParseType::N(497), ParseType::N(112), ParseType::N(349)], }, - // 902 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; + // 922 - DescriptionGroupGroupList: DescriptionGroup DescriptionGroupGroupList; Production { - lhs: 113, - production: &[ParseType::N(113), ParseType::N(111)], + lhs: 112, + production: &[ParseType::N(112), ParseType::N(110)], }, - // 903 - DescriptionGroupGroupList: ; + // 923 - DescriptionGroupGroupList: ; Production { - lhs: 113, + lhs: 112, production: &[], }, - // 904 - DescriptionGroupGroup: DescriptionItem; + // 924 - DescriptionGroupGroup: DescriptionItem; Production { - lhs: 112, - production: &[ParseType::N(115)], + lhs: 111, + production: &[ParseType::N(114)], }, - // 905 - DescriptionGroupList: Attribute DescriptionGroupList; + // 925 - DescriptionGroupList: Attribute DescriptionGroupList; Production { - lhs: 114, - production: &[ParseType::N(114), ParseType::N(45)], + lhs: 113, + production: &[ParseType::N(113), ParseType::N(45)], }, - // 906 - DescriptionGroupList: ; + // 926 - DescriptionGroupList: ; Production { - lhs: 114, + lhs: 113, production: &[], }, - // 907 - DescriptionItem: ModuleDeclaration; + // 927 - DescriptionItem: ModuleDeclaration; Production { - lhs: 115, - production: &[ParseType::N(393)], + lhs: 114, + production: &[ParseType::N(392)], }, - // 908 - DescriptionItem: InterfaceDeclaration; + // 928 - DescriptionItem: InterfaceDeclaration; Production { - lhs: 115, - production: &[ParseType::N(325)], + lhs: 114, + production: &[ParseType::N(324)], }, - // 909 - DescriptionItem: PackageDeclaration; + // 929 - DescriptionItem: PackageDeclaration; Production { - lhs: 115, - production: &[ParseType::N(461)], + lhs: 114, + production: &[ParseType::N(460)], }, - // 910 - DescriptionItem: ImportDeclaration; + // 930 - DescriptionItem: ImportDeclaration; Production { - lhs: 115, - production: &[ParseType::N(271)], + lhs: 114, + production: &[ParseType::N(270)], }, - // 911 - DescriptionItem: EmbedDeclaration; + // 931 - DescriptionItem: EmbedDeclaration; Production { - lhs: 115, - production: &[ParseType::N(136)], + lhs: 114, + production: &[ParseType::N(135)], }, - // 912 - DescriptionItem: IncludeDeclaration; + // 932 - DescriptionItem: IncludeDeclaration; Production { - lhs: 115, - production: &[ParseType::N(279)], + lhs: 114, + production: &[ParseType::N(278)], }, - // 913 - Veryl: Start VerylList /* Vec */; + // 933 - Veryl: Start VerylList /* Vec */; Production { - lhs: 613, - production: &[ParseType::N(614), ParseType::N(560)], + lhs: 626, + production: &[ParseType::N(627), ParseType::N(559)], }, - // 914 - VerylList: DescriptionGroup VerylList; + // 934 - VerylList: DescriptionGroup VerylList; Production { - lhs: 614, - production: &[ParseType::N(614), ParseType::N(111)], + lhs: 627, + production: &[ParseType::N(627), ParseType::N(110)], }, - // 915 - VerylList: ; + // 935 - VerylList: ; Production { - lhs: 614, + lhs: 627, production: &[], }, ]; @@ -21659,7 +22261,7 @@ where T: AsRef, { let mut llk_parser = LLKParser::new( - 613, + 626, LOOKAHEAD_AUTOMATA, PRODUCTIONS, TERMINAL_NAMES, diff --git a/crates/parser/src/veryl_token.rs b/crates/parser/src/veryl_token.rs index 77d3ba27..a73ee63d 100644 --- a/crates/parser/src/veryl_token.rs +++ b/crates/parser/src/veryl_token.rs @@ -352,6 +352,13 @@ impl_token_range!( r_brace, r_brace_token ); +impl_token_range!( + SwitchExpression, + switch, + switch_token, + r_brace, + r_brace_token +); impl From<&FactorGroup> for TokenRange { fn from(value: &FactorGroup) -> Self { @@ -372,6 +379,7 @@ impl From<&Factor> for TokenRange { Factor::QuoteLBraceArrayLiteralListRBrace(x) => x.into(), Factor::IfExpression(x) => x.if_expression.as_ref().into(), Factor::CaseExpression(x) => x.case_expression.as_ref().into(), + Factor::SwitchExpression(x) => x.switch_expression.as_ref().into(), Factor::StringLiteral(x) => x.string_literal.as_ref().into(), Factor::FactorGroup(x) => x.factor_group.as_ref().into(), Factor::InsideExpression(x) => x.inside_expression.as_ref().into(), @@ -819,6 +827,7 @@ token_with_comments!(Signed); token_with_comments!(Step); token_with_comments!(String); token_with_comments!(Struct); +token_with_comments!(Switch); token_with_comments!(Tri); token_with_comments!(Type); token_with_comments!(U32); diff --git a/crates/parser/src/veryl_walker.rs b/crates/parser/src/veryl_walker.rs index d1d3c080..a46cf0c2 100644 --- a/crates/parser/src/veryl_walker.rs +++ b/crates/parser/src/veryl_walker.rs @@ -727,6 +727,13 @@ pub trait VerylWalker { after!(self, r#struct, arg); } + /// Semantic action for non-terminal 'Switch' + fn switch(&mut self, arg: &Switch) { + before!(self, switch, arg); + self.veryl_token(&arg.switch_token); + after!(self, switch, arg); + } + /// Semantic action for non-terminal 'Union' fn union(&mut self, arg: &Union) { before!(self, union, arg); @@ -1055,6 +1062,9 @@ pub trait VerylWalker { Factor::CaseExpression(x) => { self.case_expression(&x.case_expression); } + Factor::SwitchExpression(x) => { + self.switch_expression(&x.switch_expression); + } Factor::StringLiteral(x) => { self.string_literal(&x.string_literal); } @@ -1192,32 +1202,49 @@ pub trait VerylWalker { self.case(&arg.case); self.expression(&arg.expression); self.l_brace(&arg.l_brace); + self.case_condition(&arg.case_condition); + self.colon(&arg.colon); self.expression(&arg.expression0); + self.comma(&arg.comma); for x in &arg.case_expression_list { - self.comma(&x.comma); + self.case_condition(&x.case_condition); + self.colon(&x.colon); self.expression(&x.expression); + self.comma(&x.comma); } - self.colon(&arg.colon); + self.defaul(&arg.defaul); + self.colon(&arg.colon0); self.expression(&arg.expression1); + if let Some(ref x) = arg.case_expression_opt { + self.comma(&x.comma); + } + self.r_brace(&arg.r_brace); + after!(self, case_expression, arg); + } + + /// Semantic action for non-terminal 'SwitchExpression' + fn switch_expression(&mut self, arg: &SwitchExpression) { + before!(self, switch_expression, arg); + self.switch(&arg.switch); + self.l_brace(&arg.l_brace); + self.switch_condition(&arg.switch_condition); + self.colon(&arg.colon); + self.expression(&arg.expression); self.comma(&arg.comma); - for x in &arg.case_expression_list0 { - self.expression(&x.expression); - for x in &x.case_expression_list0_list { - self.comma(&x.comma); - self.expression(&x.expression); - } + for x in &arg.switch_expression_list { + self.switch_condition(&x.switch_condition); self.colon(&x.colon); - self.expression(&x.expression0); + self.expression(&x.expression); self.comma(&x.comma); } self.defaul(&arg.defaul); self.colon(&arg.colon0); - self.expression(&arg.expression2); - if let Some(ref x) = arg.case_expression_opt { + self.expression(&arg.expression0); + if let Some(ref x) = arg.switch_expression_opt { self.comma(&x.comma); } self.r_brace(&arg.r_brace); - after!(self, case_expression, arg); + after!(self, switch_expression, arg); } /// Semantic action for non-terminal 'TypeExpression' @@ -1432,6 +1459,7 @@ pub trait VerylWalker { Statement::BreakStatement(x) => self.break_statement(&x.break_statement), Statement::ForStatement(x) => self.for_statement(&x.for_statement), Statement::CaseStatement(x) => self.case_statement(&x.case_statement), + Statement::SwitchStatement(x) => self.switch_statement(&x.switch_statement), }; after!(self, statement, arg); } @@ -1595,13 +1623,7 @@ pub trait VerylWalker { fn case_item(&mut self, arg: &CaseItem) { before!(self, case_item, arg); match &*arg.case_item_group { - CaseItemGroup::ExpressionCaseItemGroupList(x) => { - self.expression(&x.expression); - for x in &x.case_item_group_list { - self.comma(&x.comma); - self.expression(&x.expression); - } - } + CaseItemGroup::CaseCondition(x) => self.case_condition(&x.case_condition), CaseItemGroup::Defaul(x) => self.defaul(&x.defaul), } self.colon(&arg.colon); @@ -1618,6 +1640,61 @@ pub trait VerylWalker { after!(self, case_item, arg); } + /// Semantic action for non-terminal 'CaseCondition' + fn case_condition(&mut self, arg: &CaseCondition) { + before!(self, case_condition, arg); + self.range_item(&arg.range_item); + for x in &arg.case_condition_list { + self.comma(&x.comma); + self.range_item(&x.range_item); + } + after!(self, case_condition, arg); + } + + /// Semantic action for non-terminal 'SwitchStatement' + fn switch_statement(&mut self, arg: &SwitchStatement) { + before!(self, switch_statement, arg); + self.switch(&arg.switch); + self.l_brace(&arg.l_brace); + for x in &arg.switch_statement_list { + self.switch_item(&x.switch_item); + } + self.r_brace(&arg.r_brace); + after!(self, switch_statement, arg); + } + + /// Semantic action for non-terminal 'SwitchItem' + fn switch_item(&mut self, arg: &SwitchItem) { + before!(self, switch_item, arg); + match &*arg.switch_item_group { + SwitchItemGroup::SwitchCondition(x) => self.switch_condition(&x.switch_condition), + SwitchItemGroup::Defaul(x) => self.defaul(&x.defaul), + } + self.colon(&arg.colon); + match &*arg.switch_item_group0 { + SwitchItemGroup0::Statement(x) => self.statement(&x.statement), + SwitchItemGroup0::LBraceSwitchItemGroup0ListRBrace(x) => { + self.l_brace(&x.l_brace); + for x in &x.switch_item_group0_list { + self.statement(&x.statement); + } + self.r_brace(&x.r_brace); + } + } + after!(self, switch_item, arg); + } + + /// Semantic action for non-terminal 'SwitchCondition' + fn switch_condition(&mut self, arg: &SwitchCondition) { + before!(self, switch_condition, arg); + self.expression(&arg.expression); + for x in &arg.switch_condition_list { + self.comma(&x.comma); + self.expression(&x.expression); + } + after!(self, switch_condition, arg); + } + /// Semantic action for non-terminal 'Attribute' fn attribute(&mut self, arg: &Attribute) { before!(self, attribute, arg); diff --git a/crates/parser/veryl.par b/crates/parser/veryl.par index 67d88aed..a335e601 100644 --- a/crates/parser/veryl.par +++ b/crates/parser/veryl.par @@ -121,6 +121,7 @@ SignedTerm : /(?-u:\b)signed(?-u:\b)/ StepTerm : /(?-u:\b)step(?-u:\b)/ : Token; StringTerm : /(?-u:\b)string(?-u:\b)/ : Token; StructTerm : /(?-u:\b)struct(?-u:\b)/ : Token; +SwitchTerm : /(?-u:\b)switch(?-u:\b)/ : Token; TriTerm : /(?-u:\b)tri(?-u:\b)/ : Token; TypeTerm : /(?-u:\b)type(?-u:\b)/ : Token; U32Term : /(?-u:\b)u32(?-u:\b)/ : Token; @@ -242,6 +243,7 @@ SignedToken : SignedTerm : Token Comments; StepToken : StepTerm : Token Comments; StringToken : StringTerm : Token Comments; StructToken : StructTerm : Token Comments; +SwitchToken : SwitchTerm : Token Comments; TriToken : TriTerm : Token Comments; TypeToken : TypeTerm : Token Comments; U32Token : U32Term : Token Comments; @@ -367,6 +369,7 @@ Signed : SignedToken : VerylToken; Step : StepToken : VerylToken; Strin : StringToken : VerylToken; // avoid to conflict with Rust's String struct Struct : StructToken : VerylToken; +Switch : SwitchToken : VerylToken; Tri : TriToken : VerylToken; Type : TypeToken : VerylToken; U32 : U32Token : VerylToken; @@ -428,6 +431,7 @@ Factor: Number | QuoteLBrace ArrayLiteralList RBrace | IfExpression | CaseExpression + | SwitchExpression | StringLiteral | ( Msb | Lsb ) | InsideExpression @@ -450,7 +454,9 @@ ArrayLiteralItem: ( Expression [ Repeat Expression ] | Defaul Colon Expression ) IfExpression: If Expression LBrace Expression RBrace { Else If Expression LBrace Expression RBrace } Else LBrace Expression RBrace; -CaseExpression: Case Expression LBrace Expression { Comma Expression } Colon Expression Comma { Expression { Comma Expression } Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; +CaseExpression: Case Expression LBrace CaseCondition Colon Expression Comma { CaseCondition Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; + +SwitchExpression: Switch LBrace SwitchCondition Colon Expression Comma { SwitchCondition Colon Expression Comma } Defaul Colon Expression [ Comma ] RBrace; TypeExpression: ScalarType | Type LParen Expression RParen @@ -523,6 +529,7 @@ Statement: LetStatement | BreakStatement | ForStatement | CaseStatement + | SwitchStatement ; LetStatement: Let Identifier Colon ArrayType Equ Expression Semicolon; @@ -543,7 +550,15 @@ ForStatement: For Identifier Colon ScalarType In Range [ Step AssignmentOperator CaseStatement: Case Expression LBrace { CaseItem } RBrace; -CaseItem: ( Expression { Comma Expression } | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); +CaseItem: ( CaseCondition | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); + +CaseCondition: RangeItem { Comma RangeItem } ; + +SwitchStatement: Switch LBrace { SwitchItem } RBrace; + +SwitchItem: ( SwitchCondition | Defaul ) Colon ( Statement | LBrace { Statement } RBrace ); + +SwitchCondition: Expression { Comma Expression } ; // ---------------------------------------------------------------------------- // Attribute diff --git a/support/highlightjs/src/languages/veryl.js b/support/highlightjs/src/languages/veryl.js index 85a83f42..205cbbd4 100644 --- a/support/highlightjs/src/languages/veryl.js +++ b/support/highlightjs/src/languages/veryl.js @@ -13,7 +13,7 @@ module.exports = function (hljs) case_insensitive: false, keywords: { - keyword: 'module interface function modport package enum struct param local clock clock_posedge clock_negedge reset reset_async_high reset_async_low reset_sync_high reset_sync_low always_ff always_comb assign return as var inst import export logic bit tri signed u32 u64 i32 i64 f32 f64 input output inout ref if if_reset else for in case for in step repeat initial final inside outside default pub let break embed include', + keyword: 'module interface function modport package enum struct param local clock clock_posedge clock_negedge reset reset_async_high reset_async_low reset_sync_high reset_sync_low always_ff always_comb assign return as var inst import export logic bit tri signed u32 u64 i32 i64 f32 f64 input output inout ref if if_reset else for in case switch step repeat initial final inside outside default pub let break embed include', literal: '' }, contains: diff --git a/support/vscode/syntaxes/veryl.tmLanguage.json b/support/vscode/syntaxes/veryl.tmLanguage.json index 4e3748fa..4964f618 100644 --- a/support/vscode/syntaxes/veryl.tmLanguage.json +++ b/support/vscode/syntaxes/veryl.tmLanguage.json @@ -26,7 +26,7 @@ "patterns": [ { "name": "keyword.control.veryl", - "match": "\\b(if|if_reset|else|case|default|for|in|step|repeat|inside|outside)\\b" + "match": "\\b(if|if_reset|else|case|switch|default|for|in|step|repeat|inside|outside)\\b" }, { "name": "keyword.other.veryl", diff --git a/testcases/map/testcases/sv/16_case.sv.map b/testcases/map/testcases/sv/16_case.sv.map index 2363327e..2c9d88e3 100644 --- a/testcases/map/testcases/sv/16_case.sv.map +++ b/testcases/map/testcases/sv/16_case.sv.map @@ -1 +1 @@ -{"version":3,"file":"16_case.sv.map","sources":["../../../veryl/16_case.veryl"],"names":["","module","Module16",";","logic","a","x","=","1","y","always_comb","begin","case",")","0",":","2","end","3",",","4","-","default","endcase","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE;kBAASC,EAAEC,CAACL;IACTC,MAAHK;kBAASF,EAAEC,CAACL;;IAEhBO,YAAYC;QACRC,MAAKN,CAAEO;YACHC,CAACC,EAAEV,EAAEE,EAAEC,CAACL;YACRK,CAACO,EAAEV,EAAEE,EAAEC,CAACL;YACRa,CAACD,EAAEJ;mBACIN,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;eACTc;YACHC,CAACC,EAAEC,IAAIL,EAAEV,EAAEE,EAAEC,CAACL;YACdM,EAAEY,EAAEb,GAAGO,EAAEV,EAAEE,EAAEC,CAACL;YACdmB,OAAOP,EAAEV,EAAEE,EAAEC,CAACL;QAClBoB;IACJN;AACJO"} \ No newline at end of file +{"version":3,"file":"16_case.sv.map","sources":["../../../veryl/16_case.veryl"],"names":["","module","Module16",";","logic","a","x","=","1","y","always_comb","begin","case",") inside","0",":","2","end","3",",","4","-","default","endcase","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE;kBAASC,EAAEC,CAACL;IACTC,MAAHK;kBAASF,EAAEC,CAACL;;IAEhBO,YAAYC;QACRC,MAAKN,CAAEO;YACHC,CAACC,EAAEV,EAAEE,EAAEC,CAACL;YACRK,CAACO,EAAEV,EAAEE,EAAEC,CAACL;YACRa,CAACD,EAAEJ;mBACIN,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;mBACLE,EAAEE,EAAEC,CAACL;eACTc;YACHC,CAACC,EAAEC,IAAIL,EAAEV,EAAEE,EAAEC,CAACL;YACdM,EAAEY,EAAEb,GAAGO,EAAEV,EAAEE,EAAEC,CAACL;YACdmB,OAAOP,EAAEV,EAAEE,EAAEC,CAACL;QAClBoB;IACJN;AACJO"} \ No newline at end of file diff --git a/testcases/map/testcases/sv/16_case_switch.sv.map b/testcases/map/testcases/sv/16_case_switch.sv.map new file mode 100644 index 00000000..f34d6f7e --- /dev/null +++ b/testcases/map/testcases/sv/16_case_switch.sv.map @@ -0,0 +1 @@ +{"version":3,"file":"16_case_switch.sv.map","sources":["../../../veryl/16_case_switch.veryl"],"names":["","module","Module16",";","logic","a","b","x","=","1","y","[","3","]","z","always_comb","begin","case",") inside","0",":","2","end",",","4","5","7","-","default","endcase","(1'b1)","==","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,cAAHC,CAAWF;IACRC,cAAHE,CAAWH;IACRC,cAAHG;kBAAYC,EAAEC,CAACN;IACZC,cAAHM;kBAAYF,EAAEC,CAACN;IACZC,MAAKO,CAACC,KAACC,EAAVC;kBAAYN,EAAEC,CAACN;;IAEnBY,YAAYC;QACRC,MAAKV,CAAEW;YACHC,CAACC,EAAEf,EAAEG,EAAEC,CAACN;YACRM,CAACW,EAAEf,EAAEG,EAAEC,CAACN;YACRkB,CAACD,EAAEJ;mBACIX,EAAEG,EAAEC,CAACN;mBACLE,EAAEG,EAAEC,CAACN;mBACLE,EAAEG,EAAEC,CAACN;eACTmB;YACHV,CAACW,EAAEC,IAAIJ,EAAEf,EAAEG,EAAEC,CAACN;aACdsB,EAAIC,IAAGN,EAAEf,EAAEG,EAAEC,CAACN;YACdO,EAAEiB,EAAElB,GAAGW,EAAEf,EAAEG,EAAEC,CAACN;YACdyB,OAAOR,EAAEf,EAAEG,EAAEC,CAACN;QAClB0B;IACJP;;IAEAP,YAAYC;QACRC,KAAOa;YACHhB,EAAEiB,GAAGZ,CAACC,EAAEd,EAAEE,EAAEC,CAACN;YACbW,EAAEiB,GAAGtB,CAACW,EAAEd,EAAEE,EAAEC,CAACN;YACbW,EAAEiB,GAAGV,CAACD,EAAEJ;wBACIV,EAAEE,EAAEC,CAACN;wBACLG,EAAEE,EAAEC,CAACN;wBACLG,EAAEE,EAAEC,CAACN;oBACTmB;YACRR,EAAEiB,GAAGnB,CAACW,EAAET,EAAEiB,GAAGP,CAACJ,EAAEd,EAAEE,EAAEC,CAACN;YACrByB,cAAcR,EAAEd,EAAEE,EAAEC,CAACN;QACzB0B;IACJP;AACJU"} \ No newline at end of file diff --git a/testcases/map/testcases/sv/20_if_case_expression.sv.map b/testcases/map/testcases/sv/20_if_case_expression.sv.map index 58f7ed1e..f0a17859 100644 --- a/testcases/map/testcases/sv/20_if_case_expression.sv.map +++ b/testcases/map/testcases/sv/20_if_case_expression.sv.map @@ -1 +1 @@ -{"version":3,"file":"20_if_case_expression.sv.map","sources":["../../../veryl/20_if_case_expression.veryl"],"names":["","module","Module20",";","logic","a","b","x","=","1","y","always_comb","((",") ? (",")",":","(","2","))","0","3","4","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE,CAAQH;IACLC,MAAHG;kBAASC,EAAEC,CAACN;IACTC,MAAHM;kBAASF,EAAEC,CAACN;;IAEhBQ,YAAON,EAAEG,EAAEI,EAAGL,CAAEM;QACZJ;IACJK,EAAEC,EAAKC;QACHJ,EAAGF,CAAEG;YACDJ;QACJK,EAAEC,EAAKC;YACHC;QACJC;IACJA,EAACf;;IAEDQ,YAAOL,EAAEE,EAAEI,EAAKP,KACZI;QAASU;SADGd,KAEZY;QAASR;IAACK,KAFET,KAGZe;QAASH;IAACH,KAHET,KAGTgB;QAAMJ;IAACH;QACDM;IACbF,EAACf;AACLmB"} \ No newline at end of file +{"version":3,"file":"20_if_case_expression.sv.map","sources":["../../../veryl/20_if_case_expression.veryl"],"names":["","module","Module20",";","logic","a","b","x","=","1","y","always_comb","((",") ? (",")",":","(","2","))","0","3","4","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE,CAAQH;IACLC,MAAHG;kBAASC,EAAEC,CAACN;IACTC,MAAHM;kBAASF,EAAEC,CAACN;;IAEhBQ,YAAON,EAAEG,EAAEI,EAAGL,CAAEM;QACZJ;IACJK,EAAEC,EAAKC;QACHJ,EAAGF,CAAEG;YACDJ;QACJK,EAAEC,EAAKC;YACHC;QACJC;IACJA,EAACf;;IAEDQ,YAAOL,EAAEE,EAAEI,EAAKP,MACZI;QAASU;SADGd,MAEZY;QAASR;IAACK,KAFET,MAGZe;QAASH;IAACH,KAHET,MAGTgB;QAAMJ;IAACH;QACDM;IACbF,EAACf;AACLmB"} \ No newline at end of file diff --git a/testcases/map/testcases/sv/20_if_case_switch_expression.sv.map b/testcases/map/testcases/sv/20_if_case_switch_expression.sv.map new file mode 100644 index 00000000..a5d6b725 --- /dev/null +++ b/testcases/map/testcases/sv/20_if_case_switch_expression.sv.map @@ -0,0 +1 @@ +{"version":3,"file":"20_if_case_switch_expression.sv.map","sources":["../../../veryl/20_if_case_switch_expression.veryl"],"names":["","module","Module20",";","logic","a","b","c","x","=","1","y","always_comb","((",") ? (",")",":","(","2","))","0","3","4","5","7","(((","==","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACLC,MAAHC,CAAQF;IACLC,MAAHE,CAAQH;IACLC,MAAHG,CAAQJ;IACLC,MAAHI;kBAASC,EAAEC,CAACP;IACTC,MAAHO;kBAASF,EAAEC,CAACP;;IAEhBS,YAAOP,EAAEI,EAAEI,EAAGL,CAAEM;QACZJ;IACJK,EAAEC,EAAKC;QACHJ,EAAGF,CAAEG;YACDJ;QACJK,EAAEC,EAAKC;YACHC;QACJC;IACJA,EAAChB;;IAEDS,YAAON,EAAEG,EAAEI,EAAKR,MACZK;QAASU;SADGf,MAEZa;QAASR;IAACK,KAFEV,MAGZgB;QAASH;IAARH,KAHWV,MAGTiB;QAAMJ;IAACH,MAHEV,YAIZkB,EAAIC;QAAKH;IAACN;QACDO;IACbH,EAAChB;;IAEDS,YAAOL,EAAEE,EAAEgB,GACPpB,EAAEqB,GAAGhB;QAAWU;UAChBf,EAAEqB,GAAGR;QAAWR;IAACK,MACjBV,EAAEqB,GAAGL;QAAWH;IAAVH,MAAEV,EAAEqB,GAAGJ;QAAGJ;IAACH;QACDM;IACpBF,EAAChB;AACLwB"} \ No newline at end of file diff --git a/testcases/map/testcases/sv/53_multiline_comment_case.sv.map b/testcases/map/testcases/sv/53_multiline_comment_case.sv.map index 44cba834..f91f2872 100644 --- a/testcases/map/testcases/sv/53_multiline_comment_case.sv.map +++ b/testcases/map/testcases/sv/53_multiline_comment_case.sv.map @@ -1 +1 @@ -{"version":3,"file":"53_multiline_comment_case.sv.map","sources":["../../../veryl/53_multiline_comment_case.veryl"],"names":["","module","Module53",";","typedef enum","logic","{","EnumA_A","EnumA","_a","always_comb","begin","case",")",":","=","0","default","1","endcase","end","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACZC,aAAYC,MAAMC;QACdC,OAACP;MADAQ,MAELR;;IAEQK,MAAJI,EAASN;IACbO,YAAYC;QACRC,MAAKL,OAASM;;;YAGVN,OAAQO,EAAEL,GAAGM,EAAEC,CAACb;YAChBc,OAAQH,EAAEL,GAAGM,EAAEG,CAACf;QACpBgB;IACJC;AACJC"} \ No newline at end of file +{"version":3,"file":"53_multiline_comment_case.sv.map","sources":["../../../veryl/53_multiline_comment_case.veryl"],"names":["","module","Module53",";","typedef enum","logic","{","EnumA_A","EnumA","_a","always_comb","begin","case",") inside",":","=","0","default","1","endcase","end","endmodule"],"mappings":"AAAAA,AAAAC,sBAAOC,QAASC;IACZC,aAAYC,MAAMC;QACdC,OAACP;MADAQ,MAELR;;IAEQK,MAAJI,EAASN;IACbO,YAAYC;QACRC,MAAKL,OAASM;;;YAGVN,OAAQO,EAAEL,GAAGM,EAAEC,CAACb;YAChBc,OAAQH,EAAEL,GAAGM,EAAEG,CAACf;QACpBgB;IACJC;AACJC"} \ No newline at end of file diff --git a/testcases/sv/16_case.sv b/testcases/sv/16_case.sv deleted file mode 100644 index 690756e0..00000000 --- a/testcases/sv/16_case.sv +++ /dev/null @@ -1,23 +0,0 @@ -module veryl_testcase_Module16; - logic a; - logic x; - always_comb x = 1; - logic y; - always_comb y = 1; - - always_comb begin - case (x) - 0: a = 1; - 1: a = 1; - 2: begin - a = 1; - a = 1; - a = 1; - end - 3, 4 : a = 1; - y - 1 : a = 1; - default: a = 1; - endcase - end -endmodule -//# sourceMappingURL=../map/testcases/sv/16_case.sv.map diff --git a/testcases/sv/16_case_switch.sv b/testcases/sv/16_case_switch.sv new file mode 100644 index 00000000..9eaa4f9b --- /dev/null +++ b/testcases/sv/16_case_switch.sv @@ -0,0 +1,41 @@ +module veryl_testcase_Module16; + logic a; + logic b; + logic x; + always_comb x = 1; + logic y; + always_comb y = 1; + logic [3-1:0] z; + always_comb z = 1; + + always_comb begin + case (x) inside + 0: a = 1; + 1: a = 1; + 2: begin + a = 1; + a = 1; + a = 1; + end + 3, 4 : a = 1; + [5:7 ]: a = 1; + y - 1 : a = 1; + default: a = 1; + endcase + end + + always_comb begin + case (1'b1) + z == 0: b = 1; + z == 1: b = 1; + z == 2: begin + b = 1; + b = 1; + b = 1; + end + z == 3, z == 4: b = 1; + default : b = 1; + endcase + end +endmodule +//# sourceMappingURL=../map/testcases/sv/16_case_switch.sv.map diff --git a/testcases/sv/20_if_case_expression.sv b/testcases/sv/20_if_case_expression.sv deleted file mode 100644 index 662bd5e7..00000000 --- a/testcases/sv/20_if_case_expression.sv +++ /dev/null @@ -1,31 +0,0 @@ -module veryl_testcase_Module20; - logic a; - logic b; - logic x; - always_comb x = 1; - logic y; - always_comb y = 1; - - always_comb a = ((x) ? ( - 1 - ) : ( - ((y) ? ( - 1 - ) : ( - 2 - )) - )); - - always_comb b = ((a == 1) ? ( - 0 - ) : (a == 2) ? ( - 1 - ) : (a == 3) ? ( - 2 - ) : (a == 4) ? ( - 2 - ) : ( - 3 - )); -endmodule -//# sourceMappingURL=../map/testcases/sv/20_if_case_expression.sv.map diff --git a/testcases/sv/20_if_case_switch_expression.sv b/testcases/sv/20_if_case_switch_expression.sv new file mode 100644 index 00000000..dde7e928 --- /dev/null +++ b/testcases/sv/20_if_case_switch_expression.sv @@ -0,0 +1,46 @@ +module veryl_testcase_Module20; + logic a; + logic b; + logic c; + logic x; + always_comb x = 1; + logic y; + always_comb y = 1; + + always_comb a = ((x) ? ( + 1 + ) : ( + ((y) ? ( + 1 + ) : ( + 2 + )) + )); + + always_comb b = ((a ==? 1) ? ( + 0 + ) : (a ==? 2) ? ( + 1 + ) : (a ==? 3) ? ( + 2 + ) : (a ==? 4) ? ( + 2 + ) : ((a) inside {[5:7]}) ? ( + 3 + ) : ( + 4 + )); + + always_comb c = (((a == 1) == 1'b1) ? ( + 0 + ) : ((a == 2) == 1'b1) ? ( + 1 + ) : ((a == 3) == 1'b1) ? ( + 2 + ) : ((a == 4) == 1'b1) ? ( + 2 + ) : ( + 3 + )); +endmodule +//# sourceMappingURL=../map/testcases/sv/20_if_case_switch_expression.sv.map diff --git a/testcases/sv/53_multiline_comment_case.sv b/testcases/sv/53_multiline_comment_case.sv index 5fd9d26c..36e384ac 100644 --- a/testcases/sv/53_multiline_comment_case.sv +++ b/testcases/sv/53_multiline_comment_case.sv @@ -5,7 +5,7 @@ module veryl_testcase_Module53; logic _a; always_comb begin - case (EnumA_A) + case (EnumA_A) inside /* */ EnumA_A: _a = 0; diff --git a/testcases/veryl/16_case.veryl b/testcases/veryl/16_case.veryl deleted file mode 100644 index a755e03f..00000000 --- a/testcases/veryl/16_case.veryl +++ /dev/null @@ -1,20 +0,0 @@ -module Module16 { - var a: logic; - let x: logic = 1; - let y: logic = 1; - - always_comb { - case x { - 0: a = 1; - 1: a = 1; - 2: { - a = 1; - a = 1; - a = 1; - } - 3, 4 : a = 1; - y - 1 : a = 1; - default: a = 1; - } - } -} diff --git a/testcases/veryl/16_case_switch.veryl b/testcases/veryl/16_case_switch.veryl new file mode 100644 index 00000000..8b41f48f --- /dev/null +++ b/testcases/veryl/16_case_switch.veryl @@ -0,0 +1,37 @@ +module Module16 { + var a: logic ; + var b: logic ; + let x: logic = 1; + let y: logic = 1; + let z: logic<3> = 1; + + always_comb { + case x { + 0: a = 1; + 1: a = 1; + 2: { + a = 1; + a = 1; + a = 1; + } + 3, 4 : a = 1; + 5..=7 : a = 1; + y - 1 : a = 1; + default: a = 1; + } + } + + always_comb { + switch { + z == 0: b = 1; + z == 1: b = 1; + z == 2: { + b = 1; + b = 1; + b = 1; + } + z == 3, z == 4: b = 1; + default : b = 1; + } + } +} diff --git a/testcases/veryl/20_if_case_expression.veryl b/testcases/veryl/20_if_case_switch_expression.veryl similarity index 61% rename from testcases/veryl/20_if_case_expression.veryl rename to testcases/veryl/20_if_case_switch_expression.veryl index 90bfd841..516a7c0d 100644 --- a/testcases/veryl/20_if_case_expression.veryl +++ b/testcases/veryl/20_if_case_switch_expression.veryl @@ -1,6 +1,7 @@ module Module20 { var a: logic; var b: logic; + var c: logic; let x: logic = 1; let y: logic = 1; @@ -18,6 +19,14 @@ module Module20 { 1 : 0, 2 : 1, 3, 4 : 2, - default: 3, + 5..=7 : 3, + default: 4, + }; + + assign c = switch { + a == 1 : 0, + a == 2 : 1, + a == 3, a == 4: 2, + default : 3, }; }