Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Update manta to include convertInversion.py and denovo_scoring.py #31617

Merged
merged 3 commits into from
May 20, 2022
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
2 changes: 2 additions & 0 deletions recipes/manta/build.sh
Original file line number Diff line number Diff line change
Expand Up @@ -9,3 +9,5 @@ cp -r * $outdir
rm -rf $outdir/share/demo
sed -i.bak 's/__file__/os.path.realpath(__file__)/' $outdir/bin/configManta.py
ln -s $outdir/bin/configManta.py $PREFIX/bin
ln -s $outdir/libexec/convertInversion.py $PREFIX/bin
ln -s $outdir/libexec/denovo_scoring.py $PREFIX/bin
9 changes: 7 additions & 2 deletions recipes/manta/meta.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -3,20 +3,25 @@
package:
name: manta
version: '{{ version }}'

source:
url: https://github.com/Illumina/manta/releases/download/v{{ version }}/manta-{{ version }}.centos6_x86_64.tar.bz2
sha256: ae19b1b934cf5bb605dfb58b29e8e2b843cb469ec5ff12441ca3d9d39179abf4

build:
number: 1
number: 2

requirements:
run:
- python <3

test:
commands:
- configManta.py -h

about:
home: https://github.com/Illumina/manta
license: GPLv3
license: GPL-3.0-only
summary: Structural variant and indel caller for mapped sequencing data

extra:
Expand Down