Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Updated to create modelsim.ini file such that VHDL files also works with libraries #93

Merged
merged 1 commit into from
Dec 9, 2022

Conversation

nielshaandbaek
Copy link
Contributor

The purpose of this PR is to make it possible to compile VHDL files too when using libraries. For Verilog files the libraries can simply be listed using the -L option to the vlog command. Unfortunately, such functionality does not exist when compiling VHDL files. Instead, it is necessary to use a modelsim.ini file where the library mapping is specified.

The change introduces a new command to the Questa flow that creates a modelsim.ini file by calling the vmap command specifying the absolute path to the compiled library as well as the symbolic name.

Copy link
Contributor

@ganoam ganoam left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

LGTM, thanks.

@nielshaandbaek nielshaandbaek merged commit dba92f1 into master Dec 9, 2022
@nielshaandbaek nielshaandbaek deleted the nh/fixing-vcom-library-issue branch December 9, 2022 09:55
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants