Skip to content

Commit

Permalink
Add missing variable
Browse files Browse the repository at this point in the history
  • Loading branch information
dingusdev committed Aug 23, 2024
1 parent 1f2256e commit 7ee8b9b
Showing 1 changed file with 3 additions and 0 deletions.
3 changes: 3 additions & 0 deletions devices/common/ata/atahd.h
Original file line number Diff line number Diff line change
Expand Up @@ -69,6 +69,9 @@ class AtaHardDisk : public AtaBaseDevice
uint8_t heads;
uint8_t sectors;

//number of sectors for r/w multiple
uint8_t multiple_sector_count = 0;

uint8_t sec_per_block = 8; // sectors per block for READ_MULTIPLE/WRITE_MULTIPLE
bool multiple_enabled = true; // READ_MULTIPLE/WRITE_MULTIPLE enabled

Expand Down

0 comments on commit 7ee8b9b

Please sign in to comment.