Skip to content

Commit

Permalink
chore: Release
Browse files Browse the repository at this point in the history
  • Loading branch information
dalance committed Jul 3, 2024
1 parent f60d3d9 commit f33439a
Show file tree
Hide file tree
Showing 14 changed files with 51 additions and 49 deletions.
4 changes: 3 additions & 1 deletion CHANGELOG.md
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
# Change Log

## [Unreleased](https://github.com/veryl-lang/veryl/compare/v0.11.0...Unreleased) - ReleaseDate
## [Unreleased](https://github.com/veryl-lang/veryl/compare/v0.11.1...Unreleased) - ReleaseDate

## [v0.11.1](https://github.com/veryl-lang/veryl/compare/v0.11.0...v0.11.1) - 2024-07-03

## [v0.11.0](https://github.com/veryl-lang/veryl/compare/v0.10.1...v0.11.0) - 2024-06-24

Expand Down
18 changes: 9 additions & 9 deletions Cargo.lock

Some generated files are not rendered by default. Learn more about how customized files appear on GitHub.

2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

[![Actions Status](https://github.com/veryl-lang/veryl/workflows/Regression/badge.svg)](https://github.com/veryl-lang/veryl/actions)
[![Crates.io](https://img.shields.io/crates/v/veryl.svg)](https://crates.io/crates/veryl)
[![Changelog](https://img.shields.io/badge/changelog-v0.11.0-green.svg)](https://github.com/veryl-lang/veryl/blob/master/CHANGELOG.md)
[![Changelog](https://img.shields.io/badge/changelog-v0.11.1-green.svg)](https://github.com/veryl-lang/veryl/blob/master/CHANGELOG.md)

Veryl is a modern hardware description language.

Expand Down
6 changes: 3 additions & 3 deletions crates/analyzer/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl-analyzer"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand All @@ -17,8 +17,8 @@ Inflector = "0.11.4"
itertools = "0.13.0"
strnum_bitwidth = {workspace = true}
thiserror = {workspace = true}
veryl-metadata = {version = "0.11.0", path = "../metadata"}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-metadata = {version = "0.11.1", path = "../metadata"}
veryl-parser = {version = "0.11.1", path = "../parser"}
daggy = "0.8.0"
bimap = "0.6.3"

Expand Down
10 changes: 5 additions & 5 deletions crates/emitter/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl-emitter"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand All @@ -15,7 +15,7 @@ edition = "2021"
[dependencies]
serde = {workspace = true}
strnum_bitwidth = {workspace = true}
veryl-analyzer = {version = "0.11.0", path = "../analyzer"}
veryl-metadata = {version = "0.11.0", path = "../metadata"}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-sourcemap = {version = "0.11.0", path = "../sourcemap"}
veryl-analyzer = {version = "0.11.1", path = "../analyzer"}
veryl-metadata = {version = "0.11.1", path = "../metadata"}
veryl-parser = {version = "0.11.1", path = "../parser"}
veryl-sourcemap = {version = "0.11.1", path = "../sourcemap"}
6 changes: 3 additions & 3 deletions crates/formatter/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl-formatter"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand All @@ -13,5 +13,5 @@ edition = "2021"
# See more keys and their definitions at https://doc.rust-lang.org/cargo/reference/manifest.html

[dependencies]
veryl-metadata = {version = "0.11.0", path = "../metadata"}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-metadata = {version = "0.11.1", path = "../metadata"}
veryl-parser = {version = "0.11.1", path = "../parser"}
10 changes: 5 additions & 5 deletions crates/languageserver/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl-ls"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand All @@ -24,7 +24,7 @@ miette = {workspace = true}
serde_json = {workspace = true}
tokio = {workspace = true}
tower-lsp = "0.20.0"
veryl-analyzer = {version = "0.11.0", path = "../analyzer"}
veryl-formatter = {version = "0.11.0", path = "../formatter"}
veryl-metadata = {version = "0.11.0", path = "../metadata"}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-analyzer = {version = "0.11.1", path = "../analyzer"}
veryl-formatter = {version = "0.11.1", path = "../formatter"}
veryl-metadata = {version = "0.11.1", path = "../metadata"}
veryl-parser = {version = "0.11.1", path = "../parser"}
10 changes: 5 additions & 5 deletions crates/mdbook/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "mdbook-veryl"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand All @@ -23,7 +23,7 @@ semver = {workspace = true}
serde_json = {workspace = true}
similar = {workspace = true}
toml = {workspace = true}
veryl-analyzer = {version = "0.11.0", path = "../analyzer"}
veryl-formatter = {version = "0.11.0", path = "../formatter"}
veryl-metadata = {version = "0.11.0", path = "../metadata"}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-analyzer = {version = "0.11.1", path = "../analyzer"}
veryl-formatter = {version = "0.11.1", path = "../formatter"}
veryl-metadata = {version = "0.11.1", path = "../metadata"}
veryl-parser = {version = "0.11.1", path = "../parser"}
4 changes: 2 additions & 2 deletions crates/metadata/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl-metadata"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand All @@ -26,7 +26,7 @@ thiserror = {workspace = true}
toml = {workspace = true}
url = {workspace = true}
uuid = {version = "1.9", default-features = false, features = ["v5", "serde"]}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-parser = {version = "0.11.1", path = "../parser"}
walkdir = "2.5.0"

[target.'cfg(target_family = "wasm")'.dependencies]
Expand Down
2 changes: 1 addition & 1 deletion crates/parser/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl-parser"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand Down
2 changes: 1 addition & 1 deletion crates/sourcemap/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl-sourcemap"
version = "0.11.0"
version = "0.11.1"
edition = "2021"
authors.workspace = true
repository.workspace = true
Expand Down
10 changes: 5 additions & 5 deletions crates/tests/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -6,11 +6,11 @@ edition = "2021"
# See more keys and their definitions at https://doc.rust-lang.org/cargo/reference/manifest.html

[dependencies]
veryl-analyzer = {version = "0.11.0", path = "../analyzer"}
veryl-emitter = {version = "0.11.0", path = "../emitter"}
veryl-formatter = {version = "0.11.0", path = "../formatter"}
veryl-metadata = {version = "0.11.0", path = "../metadata"}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-analyzer = {version = "0.11.1", path = "../analyzer"}
veryl-emitter = {version = "0.11.1", path = "../emitter"}
veryl-formatter = {version = "0.11.1", path = "../formatter"}
veryl-metadata = {version = "0.11.1", path = "../metadata"}
veryl-parser = {version = "0.11.1", path = "../parser"}

[dev-dependencies]
criterion = "0.5.1"
Expand Down
14 changes: 7 additions & 7 deletions crates/veryl/Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "veryl"
version = "0.11.0"
version = "0.11.1"
authors.workspace = true
repository.workspace = true
keywords.workspace = true
Expand Down Expand Up @@ -44,9 +44,9 @@ tempfile = {workspace = true}
thiserror = {workspace = true}
tokio = {workspace = true}
tokio-util = "0.7.11"
veryl-analyzer = {version = "0.11.0", path = "../analyzer"}
veryl-emitter = {version = "0.11.0", path = "../emitter"}
veryl-formatter = {version = "0.11.0", path = "../formatter"}
veryl-metadata = {version = "0.11.0", path = "../metadata"}
veryl-parser = {version = "0.11.0", path = "../parser"}
veryl-sourcemap = {version = "0.11.0", path = "../sourcemap"}
veryl-analyzer = {version = "0.11.1", path = "../analyzer"}
veryl-emitter = {version = "0.11.1", path = "../emitter"}
veryl-formatter = {version = "0.11.1", path = "../formatter"}
veryl-metadata = {version = "0.11.1", path = "../metadata"}
veryl-parser = {version = "0.11.1", path = "../parser"}
veryl-sourcemap = {version = "0.11.1", path = "../sourcemap"}
2 changes: 1 addition & 1 deletion support/vscode/package.json
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
"name": "vscode-veryl",
"displayName": "Veryl",
"description": "Veryl extension for Visual Studio Code",
"version": "0.11.0",
"version": "0.11.1",
"publisher": "dalance",
"icon": "veryl_icon.png",
"galleryBanner": {
Expand Down

0 comments on commit f33439a

Please sign in to comment.