Skip to content

Releases: veryl-lang/veryl

v0.5.2

28 Feb 10:45
Compare
Choose a tag to compare

Full Changelog: v0.5.1...v0.5.2

v0.5.1

28 Feb 10:38
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Full Changelog: v0.5.0...v0.5.1

v0.5.0

21 Feb 10:14
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

New Contributors

Full Changelog: v0.4.0...v0.5.0

v0.4.0

13 Feb 08:46
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

  • version of dependency becomes mandatory

New Features πŸŽ‰

  • lint for naming convention by @dalance in #116
  • veryl publish command

Full Changelog: v0.3.4...v0.4.0

v0.3.4

08 Feb 10:15
Compare
Choose a tag to compare

Full Changelog: v0.3.3...v0.3.4

v0.3.3

08 Feb 08:21
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Full Changelog: v0.3.2...v0.3.3

v0.3.2

06 Feb 09:05
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Full Changelog: v0.3.1...v0.3.2

v0.3.1

30 Jan 10:28
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Full Changelog: v0.3.0...v0.3.1

v0.3.0

27 Jan 13:43
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

New Features πŸŽ‰

  • Operator completeion support of language server by @dalance in #16

Full Changelog: v0.2.2...v0.3.0

v0.2.2

24 Jan 10:51
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Full Changelog: v0.2.1...v0.2.2