Skip to content

Releases: veryl-lang/veryl

v0.2.1

19 Jan 10:45
Compare
Choose a tag to compare

What's Changed

New Features 🎉

Full Changelog: v0.2.0...v0.2.1

v0.2.0

16 Jan 10:22
Compare
Choose a tag to compare

What's Changed

Breaking Changes 🛠

  • Changed modport separator from . to :: by @dalance in #65
  • Changed [package] in Veryl.toml to [project] by @dalance in #82
  • Changed enum member scope by @dalance in #66

New Features 🎉

Full Changelog: v0.1.14...v0.2.0

v0.1.14

12 Jan 00:36
Compare
Choose a tag to compare

Full Changelog: v0.1.13...v0.1.14

v0.1.13

10 Jan 10:27
Compare
Choose a tag to compare

Full Changelog: v0.1.12...v0.1.13

v0.1.12

10 Jan 08:03
Compare
Choose a tag to compare

Full Changelog: v0.1.11...v0.1.12

v0.1.11

10 Jan 06:45
Compare
Choose a tag to compare

Full Changelog: v0.1.10...v0.1.11

v0.1.10

10 Jan 05:48
Compare
Choose a tag to compare

Full Changelog: v0.1.9...v0.1.10

v0.1.9

10 Jan 05:28
Compare
Choose a tag to compare

Full Changelog: v0.1.8...v0.1.9

v0.1.8

06 Jan 08:59
Compare
Choose a tag to compare

Full Changelog: v0.1.7...v0.1.8

v0.1.7

06 Jan 04:32
Compare
Choose a tag to compare

Full Changelog: v0.1.6...v0.1.7