Skip to content

Releases: veryl-lang/veryl

v0.13.0

12 Sep 08:29
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

New Features πŸŽ‰

Other Changes

New Contributors

Full Changelog: v0.12.0...v0.13.0

v0.12.0

21 Aug 03:53
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

New Features πŸŽ‰

Other Changes

Full Changelog: v0.11.3...v0.12.0

v0.11.3

09 Aug 10:13
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Other Changes

  • Allow struct / enum member is used as factor by @dalance in #860
  • Fix symbol resolver for generic instance by @dalance in #869
  • Improve case checker of naming lint by @dalance in #871

Full Changelog: v0.11.2...v0.11.3

v0.11.2

06 Aug 07:08
Compare
Choose a tag to compare

What's Changed

Other Changes

Full Changelog: v0.11.1...v0.11.2

v0.11.1

03 Jul 07:23
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Other Changes

Full Changelog: v0.11.0...v0.11.1

v0.11.0

24 Jun 02:33
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

New Features πŸŽ‰

Other Changes

Full Changelog: v0.10.1...v0.11.0

v0.10.1

27 May 02:27
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Other Changes

Full Changelog: v0.10.0...v0.10.1

v0.10.0

10 May 01:50
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

New Features πŸŽ‰

Other Changes

Full Changelog: v0.9.0...v0.10.0

v0.9.0

18 Apr 01:14
Compare
Choose a tag to compare

What's Changed

Breaking Changes πŸ› 

  • Reserve identifiers starting with __ for compiler usage by @dalance in #639

New Features πŸŽ‰

Other Changes

New Contributors

Full Changelog: v0.8.2...v0.9.0

v0.8.2

01 Apr 02:22
Compare
Choose a tag to compare

What's Changed

New Features πŸŽ‰

Other Changes

New Contributors

Full Changelog: v0.8.1...v0.8.2